library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity lights is port ( CLOCK_50 : in std_logic; KEY : in std_logic_vector(0 downto 0); SW : in std_logic_vector(7 downto 0); LEDG : out std_logic_vector(7 downto 0) ); end lights;