diff --git a/.gitignore b/.gitignore index e69de29..556a2db 100644 --- a/.gitignore +++ b/.gitignore @@ -0,0 +1 @@ +*.flock \ No newline at end of file diff --git a/db/lights.db_info b/db/lights.db_info index c7989f2..ee35097 100644 --- a/db/lights.db_info +++ b/db/lights.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Fri Dec 16 14:28:17 2016 +Creation_Time = Fri Dec 16 16:05:25 2016 diff --git a/lights.qws b/lights.qws deleted file mode 100644 index 63563b7..0000000 --- a/lights.qws +++ /dev/null Binary files differ diff --git a/software/qsys_tutorial_lcd4/LCD.c b/software/qsys_tutorial_lcd4/LCD.c index 5804ed6..10ff2ea 100644 --- a/software/qsys_tutorial_lcd4/LCD.c +++ b/software/qsys_tutorial_lcd4/LCD.c @@ -18,7 +18,7 @@ usleep(2000); } //------------------------------------------------------------------------- -void LCD_Show_Text(char* Text) +void LCD_Show_Text(const char* Text) { int i; for(i=0;i -#include #include "system.h" #include "hex_out.h" #include "lcd_out.h" #include "sys_register.h" #include "sys_memory.h" #include "input_int.h" -#include "inst_decoder.h" #include "sys_debug.h" #include "sys_prog.h" #define ledrs (volatile int *) LEDRS_BASE -// �v���O�������s��� -enum RunMode { RUN_STOP, RUN_INIT, RUN_PROC, RUN_TERM }; - -void wait(unsigned int s) { - usleep(s*10000); -} - -void init() { +static void init() { // lcd lcd_init(); lcd_print("Starting now..."); @@ -32,7 +23,7 @@ print_block("he", 2, HEX6_7); print_block("lo", 2, HEX4_5); print_block("you1", 4, HEX0_3); - wait(200); + delay10ms(200); clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); lcd_caret_reset2(); @@ -85,50 +76,6 @@ display_inst(inst_rec, stored_pc); } } -enum RunMode run_proc(enum RunMode mode) { - volatile struct InstRec inst_rec; - - if (RUN_INIT == mode) { - lcd_caret_reset(); - lcd_print("Run...now"); - - global_registers[Spc] = 0; - clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); - - // �v���O�������s���֑J�� - return RUN_PROC; - } - - if (RUN_PROC == mode) { - // ���߃t�F�b�` - inst_rec = inst_fetch(); - // ���߃f�R�[�h���s - inst_decode(inst_rec); - // pc�\�� - { - char buf[5]; - sprintf(buf, "%02x", (unsigned char)global_registers[Spc]); - print_block("pc", 2, HEX6_7); - print_block(buf, 2, HEX4_5); - } - - // �f�o�b�N�p - if ( global_registers[Ssw_run] ) wait(100); - - // �v���O�����I������ - if (inst_rec.inst != INST_END) return RUN_PROC; - return RUN_TERM; - } - - if (RUN_TERM == mode) { - lcd_caret_reset(); - lcd_print("Run...Exit"); - return RUN_STOP; - } - - // Default - return RUN_STOP; -} void print_change_memory(unsigned int current_memory) { char buf[17]; diff --git a/software/qsys_tutorial_lcd4/obj/default/LCD.o b/software/qsys_tutorial_lcd4/obj/default/LCD.o index 500af2b..0ef0cec 100644 --- a/software/qsys_tutorial_lcd4/obj/default/LCD.o +++ b/software/qsys_tutorial_lcd4/obj/default/LCD.o Binary files differ diff --git a/software/qsys_tutorial_lcd4/obj/default/hello_world_small.o b/software/qsys_tutorial_lcd4/obj/default/hello_world_small.o index cc78ec2..a6ae350 100644 --- a/software/qsys_tutorial_lcd4/obj/default/hello_world_small.o +++ b/software/qsys_tutorial_lcd4/obj/default/hello_world_small.o Binary files differ diff --git a/software/qsys_tutorial_lcd4/obj/default/inst_decoder.o b/software/qsys_tutorial_lcd4/obj/default/inst_decoder.o index 2e7c866..cf85fb6 100644 --- a/software/qsys_tutorial_lcd4/obj/default/inst_decoder.o +++ b/software/qsys_tutorial_lcd4/obj/default/inst_decoder.o Binary files differ diff --git a/software/qsys_tutorial_lcd4/obj/default/sys_debug.o b/software/qsys_tutorial_lcd4/obj/default/sys_debug.o index 9211581..a3a94af 100644 --- a/software/qsys_tutorial_lcd4/obj/default/sys_debug.o +++ b/software/qsys_tutorial_lcd4/obj/default/sys_debug.o Binary files differ diff --git a/software/qsys_tutorial_lcd4/obj/default/sys_memory.d b/software/qsys_tutorial_lcd4/obj/default/sys_memory.d index 4ab3444..d04c3db 100644 --- a/software/qsys_tutorial_lcd4/obj/default/sys_memory.d +++ b/software/qsys_tutorial_lcd4/obj/default/sys_memory.d @@ -1,6 +1,6 @@ obj/default/sys_memory.o: sys_memory.c ../qsys_tutorial_lcd4_bsp/system.h \ ../qsys_tutorial_lcd4_bsp/linker.h sys_memory.h sys_register.h \ - inst_decoder.h + inst_decoder.h sys_except.h ../qsys_tutorial_lcd4_bsp/system.h: @@ -11,3 +11,5 @@ sys_register.h: inst_decoder.h: + +sys_except.h: diff --git a/software/qsys_tutorial_lcd4/obj/default/sys_memory.o b/software/qsys_tutorial_lcd4/obj/default/sys_memory.o index d3edf3a..0f1e3a7 100644 --- a/software/qsys_tutorial_lcd4/obj/default/sys_memory.o +++ b/software/qsys_tutorial_lcd4/obj/default/sys_memory.o Binary files differ diff --git a/software/qsys_tutorial_lcd4/obj/default/sys_prog.d b/software/qsys_tutorial_lcd4/obj/default/sys_prog.d index 715417c..fa88e64 100644 --- a/software/qsys_tutorial_lcd4/obj/default/sys_prog.d +++ b/software/qsys_tutorial_lcd4/obj/default/sys_prog.d @@ -1,8 +1,21 @@ -obj/default/sys_prog.o: sys_prog.c sys_register.h sys_memory.h \ - inst_decoder.h +obj/default/sys_prog.o: sys_prog.c sys_prog.h sys_debug.h inst_decoder.h \ + sys_register.h sys_memory.h hex_out.h lcd_out.h \ + ../qsys_tutorial_lcd4_bsp/system.h ../qsys_tutorial_lcd4_bsp/linker.h + +sys_prog.h: + +sys_debug.h: + +inst_decoder.h: sys_register.h: sys_memory.h: -inst_decoder.h: +hex_out.h: + +lcd_out.h: + +../qsys_tutorial_lcd4_bsp/system.h: + +../qsys_tutorial_lcd4_bsp/linker.h: diff --git a/software/qsys_tutorial_lcd4/obj/default/sys_prog.o b/software/qsys_tutorial_lcd4/obj/default/sys_prog.o index 2078ff4..faf9a82 100644 --- a/software/qsys_tutorial_lcd4/obj/default/sys_prog.o +++ b/software/qsys_tutorial_lcd4/obj/default/sys_prog.o Binary files differ diff --git a/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.elf b/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.elf index 38c409e..b226624 100644 --- a/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.elf +++ b/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.elf Binary files differ diff --git a/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.map b/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.map index d7d2930..0e3cec0 100644 --- a/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.map +++ b/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.map @@ -3,7 +3,7 @@ c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) obj/default/hex_out.o (__divsi3) c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) - obj/default/hello_world_small.o (__mulsi3) + obj/default/inst_decoder.o (__mulsi3) c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) obj/default/hex_encoder.o (__ctype_ptr) c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) @@ -365,61 +365,60 @@ 0x0000028c LCD_Show_Text 0x00000314 LCD_Line2 0x00000350 LCD_Test - .text 0x000003dc 0x960 obj/default/hello_world_small.o - 0x000003dc wait - 0x00000418 init - 0x000004d8 store_value - 0x000005bc store_inst - 0x00000724 run_proc - 0x00000874 print_change_memory - 0x00000ab4 main - .text 0x00000d3c 0x630 obj/default/hex_encoder.o - 0x00000d3c encodeNumHex - 0x00000f18 encodeLatHex - .text 0x0000136c 0x318 obj/default/hex_out.o - 0x0000136c print_block - 0x000014fc clear_block - 0x0000158c print_number - .text 0x00001684 0x2c0 obj/default/input_int.o - 0x00001684 in_int - 0x00001794 push_decode - 0x00001840 push_int - .text 0x00001944 0x6d4 obj/default/inst_decoder.o - 0x00001944 inst_fetch - 0x00001990 inst_decode - 0x00001bdc inst_jump - 0x00001c38 inst_output - 0x00001ca8 inst_load - 0x00001ce0 inst_store - 0x00001d18 inst_delay - 0x00001d74 inst_add - 0x00001dd0 inst_comp - 0x00001ea0 inst_jeq - 0x00001f0c inst_jne - 0x00001f78 inst_jieq - 0x00001fc8 inst_jine - .text 0x00002018 0xc8 obj/default/lcd_out.o - 0x00002018 lcd_init - 0x00002060 lcd_caret_reset - 0x00002088 lcd_caret_reset2 - 0x000020b0 lcd_print - .text 0x000020e0 0x524 obj/default/sys_debug.o - 0x000020e0 display_inst - 0x0000218c display_mem - 0x000021f8 convertRegName - 0x00002368 convertInstName - .text 0x00002604 0x40 obj/default/sys_except.o - 0x00002604 panic - .text 0x00002644 0x240 obj/default/sys_memory.o - 0x00002644 memory_init - 0x000026c0 inst_memory_store - 0x00002710 inst_memory_load - 0x00002758 memory_store - 0x000027f4 memory_load - .text 0x00002884 0x10c obj/default/sys_prog.o - 0x00002884 inc_pc - 0x00002908 add_pc - 0x00002958 set_pc + .text 0x000003dc 0x7d4 obj/default/hello_world_small.o + 0x0000049c store_value + 0x00000580 store_inst + 0x000006e8 print_change_memory + 0x00000928 main + .text 0x00000bb0 0x630 obj/default/hex_encoder.o + 0x00000bb0 encodeNumHex + 0x00000d8c encodeLatHex + .text 0x000011e0 0x318 obj/default/hex_out.o + 0x000011e0 print_block + 0x00001370 clear_block + 0x00001400 print_number + .text 0x000014f8 0x2c0 obj/default/input_int.o + 0x000014f8 in_int + 0x00001608 push_decode + 0x000016b4 push_int + .text 0x000017b8 0x6d4 obj/default/inst_decoder.o + 0x000017b8 inst_fetch + 0x00001804 inst_decode + 0x00001a50 inst_jump + 0x00001aac inst_output + 0x00001b1c inst_load + 0x00001b54 inst_store + 0x00001b8c inst_delay + 0x00001be8 inst_add + 0x00001c44 inst_comp + 0x00001d14 inst_jeq + 0x00001d80 inst_jne + 0x00001dec inst_jieq + 0x00001e3c inst_jine + .text 0x00001e8c 0xc8 obj/default/lcd_out.o + 0x00001e8c lcd_init + 0x00001ed4 lcd_caret_reset + 0x00001efc lcd_caret_reset2 + 0x00001f24 lcd_print + .text 0x00001f54 0x560 obj/default/sys_debug.o + 0x00001f54 display_inst + 0x00002000 display_mem + 0x0000206c convertRegName + 0x000021dc convertInstName + 0x00002478 delay10ms + .text 0x000024b4 0x40 obj/default/sys_except.o + 0x000024b4 panic + .text 0x000024f4 0x240 obj/default/sys_memory.o + 0x000024f4 memory_init + 0x00002570 inst_memory_store + 0x000025c0 inst_memory_load + 0x00002608 memory_store + 0x000026a4 memory_load + .text 0x00002734 0x25c obj/default/sys_prog.o + 0x00002734 inc_pc + 0x000027b8 add_pc + 0x00002808 set_pc + 0x00002840 run_proc .text 0x00002990 0x50 obj/default/sys_register.o 0x00002990 registers_init .text 0x000029e0 0x14c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) @@ -708,392 +707,393 @@ *(.jcr) 0x0000fe98 . = ALIGN (0x4) -.rodata 0x0000fe98 0x71c +.rodata 0x0000fe98 0x724 0x0000fe98 PROVIDE (__ram_rodata_start, ABSOLUTE (.)) 0x0000fe98 . = ALIGN (0x4) *(.rodata .rodata.* .gnu.linkonce.r.*) .rodata 0x0000fe98 0x28 obj/default/LCD.o - .rodata 0x0000fec0 0xc8 obj/default/hello_world_small.o - .rodata 0x0000ff88 0xc obj/default/hex_out.o - .rodata 0x0000ff94 0x8 obj/default/inst_decoder.o - .rodata 0x0000ff9c 0x40 obj/default/sys_debug.o - .rodata 0x0000ffdc 0x8 obj/default/sys_except.o - .rodata 0x0000ffe4 0x281 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - 0x0000ffe4 _ctype_ - *fill* 0x00010265 0x3 00 + .rodata 0x0000fec0 0xac obj/default/hello_world_small.o + .rodata 0x0000ff6c 0xc obj/default/hex_out.o + .rodata 0x0000ff78 0x8 obj/default/inst_decoder.o + .rodata 0x0000ff80 0x40 obj/default/sys_debug.o + .rodata 0x0000ffc0 0x8 obj/default/sys_except.o + .rodata 0x0000ffc8 0x24 obj/default/sys_prog.o + .rodata 0x0000ffec 0x281 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + 0x0000ffec _ctype_ + *fill* 0x0001026d 0x3 00 .rodata.str1.4 - 0x00010268 0x5e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + 0x00010270 0x5e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) 0x60 (size before relaxing) - .rodata 0x000102c6 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) - *fill* 0x000102e6 0x2 00 + .rodata 0x000102ce 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + *fill* 0x000102ee 0x2 00 .rodata.str1.4 - 0x000102e8 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + 0x000102f0 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) 0x14 (size before relaxing) .rodata.str1.4 - 0x000102f8 0x2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x00010300 0x2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) 0x4 (size before relaxing) - *fill* 0x000102fa 0x2 00 + *fill* 0x00010302 0x2 00 .rodata.str1.4 - 0x000102fc 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00010304 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) 0x18 (size before relaxing) - .rodata 0x0001030c 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - .rodata 0x0001033c 0x124 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) - 0x0001033c __mprec_tens - 0x00010404 __mprec_bigtens - 0x0001042c __mprec_tinytens - .rodata 0x00010460 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - 0x00010460 __thenan_df - .rodata 0x00010474 0x100 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - 0x00010474 __clz_tab - .rodata 0x00010574 0xc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - .rodata 0x00010580 0x10 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .rodata 0x00010590 0x24 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .rodata 0x00010314 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .rodata 0x00010344 0x124 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + 0x00010344 __mprec_tens + 0x0001040c __mprec_bigtens + 0x00010434 __mprec_tinytens + .rodata 0x00010468 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + 0x00010468 __thenan_df + .rodata 0x0001047c 0x100 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + 0x0001047c __clz_tab + .rodata 0x0001057c 0xc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + .rodata 0x00010588 0x10 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .rodata 0x00010598 0x24 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) *(.rodata1) - 0x000105b4 . = ALIGN (0x4) - 0x000105b4 PROVIDE (__ram_rodata_end, ABSOLUTE (.)) + 0x000105bc . = ALIGN (0x4) + 0x000105bc PROVIDE (__ram_rodata_end, ABSOLUTE (.)) 0x0000fe98 PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) -.rwdata 0x000105b4 0x1b88 load address 0x0001213c - 0x000105b4 PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) - 0x000105b4 . = ALIGN (0x4) +.rwdata 0x000105bc 0x1b88 load address 0x00012144 + 0x000105bc PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) + 0x000105bc . = ALIGN (0x4) *(.got.plt) *(.got) *(.data1) *(.data .data.* .gnu.linkonce.d.*) - .data 0x000105b4 0x0 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o - .data 0x000105b4 0x0 obj/default/LCD.o - .data 0x000105b4 0x0 obj/default/hello_world_small.o - .data 0x000105b4 0x0 obj/default/hex_encoder.o - .data 0x000105b4 0x0 obj/default/hex_out.o - .data 0x000105b4 0x0 obj/default/input_int.o - .data 0x000105b4 0x0 obj/default/inst_decoder.o - .data 0x000105b4 0x0 obj/default/lcd_out.o - .data 0x000105b4 0x0 obj/default/sys_debug.o - .data 0x000105b4 0x0 obj/default/sys_except.o - .data 0x000105b4 0x0 obj/default/sys_memory.o - .data 0x000105b4 0x0 obj/default/sys_prog.o - .data 0x000105b4 0x0 obj/default/sys_register.o - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .data 0x000105b4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .data 0x000105b4 0x400 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .data 0x000109b4 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - 0x000109b4 __lc_ctype - .data 0x000109c0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .data 0x000109c0 0x408 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x000109c0 __malloc_av_ - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) - .data 0x00010dc8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) - .data 0x00010dc8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) - .data 0x00010dc8 0x1a8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - 0x00010dc8 alt_dev_null - 0x00010df0 alt_fd_list - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) - .data 0x00010f70 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) - .data 0x00010f70 0x1180 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) - .data 0x000120f0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .data 0x000120f0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .data 0x000120f0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .data 0x000120f0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .data 0x000120f0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .data 0x000120f0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) - 0x0001a0f0 _gp = ABSOLUTE ((. + 0x8000)) - 0x0001a0f0 PROVIDE (gp, _gp) + .data 0x000105bc 0x0 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o + .data 0x000105bc 0x0 obj/default/LCD.o + .data 0x000105bc 0x0 obj/default/hello_world_small.o + .data 0x000105bc 0x0 obj/default/hex_encoder.o + .data 0x000105bc 0x0 obj/default/hex_out.o + .data 0x000105bc 0x0 obj/default/input_int.o + .data 0x000105bc 0x0 obj/default/inst_decoder.o + .data 0x000105bc 0x0 obj/default/lcd_out.o + .data 0x000105bc 0x0 obj/default/sys_debug.o + .data 0x000105bc 0x0 obj/default/sys_except.o + .data 0x000105bc 0x0 obj/default/sys_memory.o + .data 0x000105bc 0x0 obj/default/sys_prog.o + .data 0x000105bc 0x0 obj/default/sys_register.o + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .data 0x000105bc 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .data 0x000105bc 0x400 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .data 0x000109bc 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x000109bc __lc_ctype + .data 0x000109c8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .data 0x000109c8 0x408 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x000109c8 __malloc_av_ + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .data 0x00010dd0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .data 0x00010dd0 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + .data 0x00010dd0 0x1a8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + 0x00010dd0 alt_dev_null + 0x00010df8 alt_fd_list + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + .data 0x00010f78 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + .data 0x00010f78 0x1180 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + .data 0x000120f8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .data 0x000120f8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .data 0x000120f8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .data 0x000120f8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .data 0x000120f8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .data 0x000120f8 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + 0x0001a0f8 _gp = ABSOLUTE ((. + 0x8000)) + 0x0001a0f8 PROVIDE (gp, _gp) *(.rwdata .rwdata.*) *(.sdata .sdata.* .gnu.linkonce.s.*) - .sdata 0x000120f0 0x1 obj/default/input_int.o - 0x000120f0 PUSH_EVENT - *fill* 0x000120f1 0x3 00 - .sdata 0x000120f4 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - 0x000120f4 __ctype_ptr - .sdata 0x000120f8 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - 0x000120f8 _impure_ptr - 0x000120fc _global_impure_ptr - .sdata 0x00012100 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - 0x00012100 __mb_cur_max - .sdata 0x00012108 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x00012108 __malloc_trim_threshold - 0x0001210c __malloc_sbrk_base - .sdata 0x00012110 0x14 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - 0x00012110 alt_fs_list - 0x00012118 alt_dev_list - 0x00012120 alt_max_fd - .sdata 0x00012124 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - 0x00012124 alt_errno - .sdata 0x00012128 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .sdata 0x0001212c 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .sdata 0x00012130 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - 0x00012130 alt_priority_mask - .sdata 0x00012134 0x8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - 0x00012134 alt_alarm_list + .sdata 0x000120f8 0x1 obj/default/input_int.o + 0x000120f8 PUSH_EVENT + *fill* 0x000120f9 0x3 00 + .sdata 0x000120fc 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + 0x000120fc __ctype_ptr + .sdata 0x00012100 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x00012100 _impure_ptr + 0x00012104 _global_impure_ptr + .sdata 0x00012108 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00012108 __mb_cur_max + .sdata 0x00012110 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00012110 __malloc_trim_threshold + 0x00012114 __malloc_sbrk_base + .sdata 0x00012118 0x14 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + 0x00012118 alt_fs_list + 0x00012120 alt_dev_list + 0x00012128 alt_max_fd + .sdata 0x0001212c 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + 0x0001212c alt_errno + .sdata 0x00012130 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .sdata 0x00012134 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .sdata 0x00012138 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x00012138 alt_priority_mask + .sdata 0x0001213c 0x8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + 0x0001213c alt_alarm_list *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) - 0x0001213c . = ALIGN (0x4) - 0x0001213c _edata = ABSOLUTE (.) - 0x0001213c PROVIDE (edata, ABSOLUTE (.)) - 0x0001213c PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) - 0x0001213c PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) + 0x00012144 . = ALIGN (0x4) + 0x00012144 _edata = ABSOLUTE (.) + 0x00012144 PROVIDE (edata, ABSOLUTE (.)) + 0x00012144 PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) + 0x00012144 PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) -.bss 0x00013cc4 0x810 - 0x00013cc4 __bss_start = ABSOLUTE (.) - 0x00013cc4 PROVIDE (__sbss_start, ABSOLUTE (.)) - 0x00013cc4 PROVIDE (___sbss_start, ABSOLUTE (.)) +.bss 0x00013ccc 0x810 + 0x00013ccc __bss_start = ABSOLUTE (.) + 0x00013ccc PROVIDE (__sbss_start, ABSOLUTE (.)) + 0x00013ccc PROVIDE (___sbss_start, ABSOLUTE (.)) *(.dynsbss) *(.sbss .sbss.* .gnu.linkonce.sb.*) - .sbss 0x00013cc4 0x5 obj/default/hello_world_small.o - 0x00013cc4 stack - *fill* 0x00013cc9 0x3 00 - .sbss 0x00013ccc 0x8 obj/default/input_int.o - .sbss 0x00013cd4 0x4 obj/default/sys_memory.o - 0x00013cd4 global_current_memory - .sbss 0x00013cd8 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - 0x00013cd8 __nlocale_changed - 0x00013cdc __mlocale_changed - 0x00013ce0 _PathLocale - .sbss 0x00013ce4 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x00013ce4 __malloc_top_pad - 0x00013ce8 __malloc_max_sbrked_mem - 0x00013cec __malloc_max_total_mem - .sbss 0x00013cf0 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - 0x00013cf0 errno - .sbss 0x00013cf4 0xc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - 0x00013cf4 alt_argc - 0x00013cf8 alt_argv - 0x00013cfc alt_envp - .sbss 0x00013d00 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - 0x00013d00 alt_irq_active - .sbss 0x00013d04 0x8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - 0x00013d04 _alt_tick_rate - 0x00013d08 _alt_nticks + .sbss 0x00013ccc 0x5 obj/default/hello_world_small.o + 0x00013ccc stack + *fill* 0x00013cd1 0x3 00 + .sbss 0x00013cd4 0x8 obj/default/input_int.o + .sbss 0x00013cdc 0x4 obj/default/sys_memory.o + 0x00013cdc global_current_memory + .sbss 0x00013ce0 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00013ce0 __nlocale_changed + 0x00013ce4 __mlocale_changed + 0x00013ce8 _PathLocale + .sbss 0x00013cec 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00013cec __malloc_top_pad + 0x00013cf0 __malloc_max_sbrked_mem + 0x00013cf4 __malloc_max_total_mem + .sbss 0x00013cf8 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x00013cf8 errno + .sbss 0x00013cfc 0xc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + 0x00013cfc alt_argc + 0x00013d00 alt_argv + 0x00013d04 alt_envp + .sbss 0x00013d08 0x4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x00013d08 alt_irq_active + .sbss 0x00013d0c 0x8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + 0x00013d0c _alt_tick_rate + 0x00013d10 _alt_nticks *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) *(.scommon) - 0x00013d0c PROVIDE (__sbss_end, ABSOLUTE (.)) - 0x00013d0c PROVIDE (___sbss_end, ABSOLUTE (.)) + 0x00013d14 PROVIDE (__sbss_end, ABSOLUTE (.)) + 0x00013d14 PROVIDE (___sbss_end, ABSOLUTE (.)) *(.dynbss) *(.bss .bss.* .gnu.linkonce.b.*) - .bss 0x00013d0c 0x0 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o - .bss 0x00013d0c 0x0 obj/default/LCD.o - .bss 0x00013d0c 0x0 obj/default/hello_world_small.o - .bss 0x00013d0c 0x0 obj/default/hex_encoder.o - .bss 0x00013d0c 0x0 obj/default/hex_out.o - .bss 0x00013d0c 0x0 obj/default/input_int.o - .bss 0x00013d0c 0x0 obj/default/inst_decoder.o - .bss 0x00013d0c 0x0 obj/default/lcd_out.o - .bss 0x00013d0c 0x0 obj/default/sys_debug.o - .bss 0x00013d0c 0x0 obj/default/sys_except.o - .bss 0x00013d0c 0x500 obj/default/sys_memory.o - .bss 0x0001420c 0x0 obj/default/sys_prog.o - .bss 0x0001420c 0x0 obj/default/sys_register.o - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - .bss 0x0001420c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .bss 0x0001420c 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x0001420c __malloc_current_mallinfo - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .bss 0x00014234 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .bss 0x00014234 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + .bss 0x00013d14 0x0 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o + .bss 0x00013d14 0x0 obj/default/LCD.o + .bss 0x00013d14 0x0 obj/default/hello_world_small.o + .bss 0x00013d14 0x0 obj/default/hex_encoder.o + .bss 0x00013d14 0x0 obj/default/hex_out.o + .bss 0x00013d14 0x0 obj/default/input_int.o + .bss 0x00013d14 0x0 obj/default/inst_decoder.o + .bss 0x00013d14 0x0 obj/default/lcd_out.o + .bss 0x00013d14 0x0 obj/default/sys_debug.o + .bss 0x00013d14 0x0 obj/default/sys_except.o + .bss 0x00013d14 0x500 obj/default/sys_memory.o + .bss 0x00014214 0x0 obj/default/sys_prog.o + .bss 0x00014214 0x0 obj/default/sys_register.o + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .bss 0x00014214 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .bss 0x00014214 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00014214 __malloc_current_mallinfo + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .bss 0x0001423c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .bss 0x0001423c 0x0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) *(COMMON) - COMMON 0x00014234 0xf obj/default/sys_register.o - 0x00014234 global_registers - *fill* 0x00014243 0x1 00 - COMMON 0x00014244 0x100 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - 0x00014244 alt_irq - COMMON 0x00014344 0x190 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - 0x00014344 _atexit0 - 0x000144d4 . = ALIGN (0x4) - 0x000144d4 __bss_end = ABSOLUTE (.) + COMMON 0x0001423c 0xf obj/default/sys_register.o + 0x0001423c global_registers + *fill* 0x0001424b 0x1 00 + COMMON 0x0001424c 0x100 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x0001424c alt_irq + COMMON 0x0001434c 0x190 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x0001434c _atexit0 + 0x000144dc . = ALIGN (0x4) + 0x000144dc __bss_end = ABSOLUTE (.) -.onchip_memory 0x000144d4 0x0 - 0x000144d4 PROVIDE (_alt_partition_onchip_memory_start, ABSOLUTE (.)) +.onchip_memory 0x000144dc 0x0 + 0x000144dc PROVIDE (_alt_partition_onchip_memory_start, ABSOLUTE (.)) *(.onchip_memory. onchip_memory.*) - 0x000144d4 . = ALIGN (0x4) - 0x000144d4 PROVIDE (_alt_partition_onchip_memory_end, ABSOLUTE (.)) - 0x000144d4 _end = ABSOLUTE (.) - 0x000144d4 end = ABSOLUTE (.) - 0x000144d4 __alt_stack_base = ABSOLUTE (.) - 0x000144d4 PROVIDE (_alt_partition_onchip_memory_load_addr, LOADADDR (.onchip_memory)) + 0x000144dc . = ALIGN (0x4) + 0x000144dc PROVIDE (_alt_partition_onchip_memory_end, ABSOLUTE (.)) + 0x000144dc _end = ABSOLUTE (.) + 0x000144dc end = ABSOLUTE (.) + 0x000144dc __alt_stack_base = ABSOLUTE (.) + 0x000144dc PROVIDE (_alt_partition_onchip_memory_load_addr, LOADADDR (.onchip_memory)) .stab *(.stab) @@ -1470,615 +1470,615 @@ 0x00000df8 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) .debug_pubnames - 0x00000000 0x17c4 + 0x00000000 0x17c0 *(.debug_pubnames) .debug_pubnames 0x00000000 0x4c obj/default/LCD.o .debug_pubnames - 0x0000004c 0x7b obj/default/hello_world_small.o + 0x0000004c 0x5c obj/default/hello_world_small.o .debug_pubnames - 0x000000c7 0x34 obj/default/hex_encoder.o + 0x000000a8 0x34 obj/default/hex_encoder.o .debug_pubnames - 0x000000fb 0x43 obj/default/hex_out.o + 0x000000dc 0x43 obj/default/hex_out.o .debug_pubnames - 0x0000013e 0x49 obj/default/input_int.o + 0x0000011f 0x49 obj/default/input_int.o .debug_pubnames - 0x00000187 0xcc obj/default/inst_decoder.o + 0x00000168 0xcc obj/default/inst_decoder.o .debug_pubnames - 0x00000253 0x56 obj/default/lcd_out.o + 0x00000234 0x56 obj/default/lcd_out.o .debug_pubnames - 0x000002a9 0x5a obj/default/sys_debug.o + 0x0000028a 0x68 obj/default/sys_debug.o .debug_pubnames - 0x00000303 0x1c obj/default/sys_except.o + 0x000002f2 0x1c obj/default/sys_except.o .debug_pubnames - 0x0000031f 0x88 obj/default/sys_memory.o + 0x0000030e 0x88 obj/default/sys_memory.o .debug_pubnames - 0x000003a7 0x33 obj/default/sys_prog.o + 0x00000396 0x40 obj/default/sys_prog.o .debug_pubnames - 0x000003da 0x3a obj/default/sys_register.o + 0x000003d6 0x3a obj/default/sys_register.o .debug_pubnames - 0x00000414 0x48 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + 0x00000410 0x48 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) .debug_pubnames - 0x0000045c 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + 0x00000458 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) .debug_pubnames - 0x0000047b 0x2e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + 0x00000477 0x2e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) .debug_pubnames - 0x000004a9 0x2d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + 0x000004a5 0x2d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) .debug_pubnames - 0x000004d6 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + 0x000004d2 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) .debug_pubnames - 0x000004f3 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + 0x000004ef 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) .debug_pubnames - 0x00000538 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x00000534 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) .debug_pubnames - 0x0000055a 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + 0x00000556 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) .debug_pubnames - 0x00000578 0x2b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x00000574 0x2b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) .debug_pubnames - 0x000005a3 0xdb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000059f 0xdb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) .debug_pubnames - 0x0000067e 0x31 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x0000067a 0x31 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) .debug_pubnames - 0x000006af 0x23 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x000006ab 0x23 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) .debug_pubnames - 0x000006d2 0x2e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x000006ce 0x2e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) .debug_pubnames - 0x00000700 0x39 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x000006fc 0x39 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) .debug_pubnames - 0x00000739 0xc3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00000735 0xc3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) .debug_pubnames - 0x000007fc 0x23 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x000007f8 0x23 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) .debug_pubnames - 0x0000081f 0xce c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000081b 0xce c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) .debug_pubnames - 0x000008ed 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + 0x000008e9 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) .debug_pubnames - 0x0000090a 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + 0x00000906 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) .debug_pubnames - 0x00000927 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + 0x00000923 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) .debug_pubnames - 0x00000945 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + 0x00000941 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) .debug_pubnames - 0x00000962 0x13a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + 0x0000095e 0x13a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) .debug_pubnames - 0x00000a9c 0x21 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x00000a98 0x21 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) .debug_pubnames - 0x00000abd 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + 0x00000ab9 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) .debug_pubnames - 0x00000adc 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + 0x00000ad8 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) .debug_pubnames - 0x00000afb 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + 0x00000af7 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) .debug_pubnames - 0x00000b19 0x44 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x00000b15 0x44 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) .debug_pubnames - 0x00000b5d 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + 0x00000b59 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) .debug_pubnames - 0x00000b7a 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x00000b76 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) .debug_pubnames - 0x00000b99 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + 0x00000b95 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) .debug_pubnames - 0x00000bb9 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + 0x00000bb5 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) .debug_pubnames - 0x00000bd8 0x2b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x00000bd4 0x2b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) .debug_pubnames - 0x00000c03 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x00000bff 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) .debug_pubnames - 0x00000c22 0x1c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x00000c1e 0x1c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) .debug_pubnames - 0x00000c3e 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x00000c3a 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) .debug_pubnames - 0x00000c5e 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x00000c5a 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) .debug_pubnames - 0x00000c7d 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + 0x00000c79 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) .debug_pubnames - 0x00000c9b 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + 0x00000c97 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) .debug_pubnames - 0x00000cbb 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + 0x00000cb7 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) .debug_pubnames - 0x00000cdb 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + 0x00000cd7 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) .debug_pubnames - 0x00000d07 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + 0x00000d03 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) .debug_pubnames - 0x00000d26 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + 0x00000d22 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) .debug_pubnames - 0x00000d45 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + 0x00000d41 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) .debug_pubnames - 0x00000d63 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + 0x00000d5f 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) .debug_pubnames - 0x00000d81 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + 0x00000d7d 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) .debug_pubnames - 0x00000d9f 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + 0x00000d9b 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) .debug_pubnames - 0x00000dbd 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + 0x00000db9 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) .debug_pubnames - 0x00000ddb 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + 0x00000dd7 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) .debug_pubnames - 0x00000dfd 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + 0x00000df9 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) .debug_pubnames - 0x00000e1d 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + 0x00000e19 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) .debug_pubnames - 0x00000e3f 0x24 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + 0x00000e3b 0x24 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) .debug_pubnames - 0x00000e63 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + 0x00000e5f 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) .debug_pubnames - 0x00000e82 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + 0x00000e7e 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) .debug_pubnames - 0x00000ea2 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + 0x00000e9e 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) .debug_pubnames - 0x00000ec1 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + 0x00000ebd 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) .debug_pubnames - 0x00000ee0 0x21 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + 0x00000edc 0x21 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) .debug_pubnames - 0x00000f01 0x26 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + 0x00000efd 0x26 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) .debug_pubnames - 0x00000f27 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + 0x00000f23 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) .debug_pubnames - 0x00000f43 0x63 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + 0x00000f3f 0x63 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) .debug_pubnames - 0x00000fa6 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + 0x00000fa2 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) .debug_pubnames - 0x00000fc6 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + 0x00000fc2 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) .debug_pubnames - 0x00000fe2 0x1d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + 0x00000fde 0x1d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) .debug_pubnames - 0x00000fff 0x1f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + 0x00000ffb 0x1f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) .debug_pubnames - 0x0000101e 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + 0x0000101a 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) .debug_pubnames - 0x0000103a 0x46 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + 0x00001036 0x46 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) .debug_pubnames - 0x00001080 0x38 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x0000107c 0x38 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) .debug_pubnames - 0x000010b8 0x1b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + 0x000010b4 0x1b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) .debug_pubnames - 0x000010d3 0x25 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x000010cf 0x25 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) .debug_pubnames - 0x000010f8 0x1b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x000010f4 0x1b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) .debug_pubnames - 0x00001113 0x1d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + 0x0000110f 0x1d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) .debug_pubnames - 0x00001130 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + 0x0000112c 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) .debug_pubnames - 0x0000114c 0x34 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x00001148 0x34 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) .debug_pubnames - 0x00001180 0xa5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000117c 0xa5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) .debug_pubnames - 0x00001225 0x55 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x00001221 0x55 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) .debug_pubnames - 0x0000127a 0x34 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x00001276 0x34 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) .debug_pubnames - 0x000012ae 0x33 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x000012aa 0x33 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) .debug_pubnames - 0x000012e1 0x34 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x000012dd 0x34 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) .debug_pubnames - 0x00001315 0x55 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + 0x00001311 0x55 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) .debug_pubnames - 0x0000136a 0x37 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + 0x00001366 0x37 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) .debug_pubnames - 0x000013a1 0x26 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x0000139d 0x26 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) .debug_pubnames - 0x000013c7 0x25 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x000013c3 0x25 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) .debug_pubnames - 0x000013ec 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + 0x000013e8 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) .debug_pubnames - 0x00001417 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x00001413 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) .debug_pubnames - 0x00001442 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0x0000143e 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) .debug_pubnames - 0x00001462 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0x0000145e 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) .debug_pubnames - 0x00001482 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + 0x0000147e 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) .debug_pubnames - 0x000014ad 0x6e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + 0x000014a9 0x6e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) .debug_pubnames - 0x0000151b 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x00001517 0x2b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) .debug_pubnames - 0x00001546 0x26 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x00001542 0x26 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) .debug_pubnames - 0x0000156c 0x32 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x00001568 0x32 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) .debug_pubnames - 0x0000159e 0x3b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x0000159a 0x3b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) .debug_pubnames - 0x000015d9 0x1b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + 0x000015d5 0x1b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) .debug_pubnames - 0x000015f4 0x68 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + 0x000015f0 0x68 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) .debug_pubnames - 0x0000165c 0x31 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + 0x00001658 0x31 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) .debug_pubnames - 0x0000168d 0x23 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x00001689 0x23 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) .debug_pubnames - 0x000016b0 0x24 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + 0x000016ac 0x24 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) .debug_pubnames - 0x000016d4 0x21 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x000016d0 0x21 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) .debug_pubnames - 0x000016f5 0x2a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x000016f1 0x2a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) .debug_pubnames - 0x0000171f 0x1b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + 0x0000171b 0x1b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) .debug_pubnames - 0x0000173a 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + 0x00001736 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) .debug_pubnames - 0x00001757 0x2a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x00001753 0x2a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) .debug_pubnames - 0x00001781 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x0000177d 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) .debug_pubnames - 0x000017a8 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + 0x000017a4 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) -.debug_info 0x00000000 0x251e5 +.debug_info 0x00000000 0x252ff *(.debug_info .gnu.linkonce.wi.*) .debug_info 0x00000000 0x82 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o - .debug_info 0x00000082 0x1e2 obj/default/LCD.o - .debug_info 0x00000264 0x558 obj/default/hello_world_small.o - .debug_info 0x000007bc 0x154 obj/default/hex_encoder.o - .debug_info 0x00000910 0x1dd obj/default/hex_out.o - .debug_info 0x00000aed 0x33a obj/default/input_int.o - .debug_info 0x00000e27 0x555 obj/default/inst_decoder.o - .debug_info 0x0000137c 0x1b3 obj/default/lcd_out.o - .debug_info 0x0000152f 0x387 obj/default/sys_debug.o - .debug_info 0x000018b6 0x96 obj/default/sys_except.o - .debug_info 0x0000194c 0x385 obj/default/sys_memory.o - .debug_info 0x00001cd1 0x180 obj/default/sys_prog.o - .debug_info 0x00001e51 0x105 obj/default/sys_register.o - .debug_info 0x00001f56 0x21c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_info 0x00002172 0xf3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_info 0x00002265 0xa0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - .debug_info 0x00002305 0xa16 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) - .debug_info 0x00002d1b 0xdb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_info 0x00002df6 0x10d5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) - .debug_info 0x00003ecb 0x95d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_info 0x00004828 0xd6f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) - .debug_info 0x00005597 0x9fe c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_info 0x00005f95 0xb72 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_info 0x00006b07 0xbc9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_info 0x000076d0 0xa88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_info 0x00008158 0xaed c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_info 0x00008c45 0x93d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .debug_info 0x00009582 0xb97 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - .debug_info 0x0000a119 0xb1a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_info 0x0000ac33 0xcd0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_info 0x0000b903 0x138 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_info 0x0000ba3b 0x106 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_info 0x0000bb41 0x13e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_info 0x0000bc7f 0x113 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_info 0x0000bd92 0x143b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) - .debug_info 0x0000d1cd 0xbd7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_info 0x0000dda4 0xd7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) - .debug_info 0x0000de7b 0xd5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) - .debug_info 0x0000df50 0x962 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_info 0x0000e8b2 0xaac c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_info 0x0000f35e 0xdd c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) - .debug_info 0x0000f43b 0x993 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_info 0x0000fdce 0xa1b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) - .debug_info 0x000107e9 0x958 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) - .debug_info 0x00011141 0x994 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_info 0x00011ad5 0xafc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_info 0x000125d1 0x8c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .debug_info 0x0001265d 0x958 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_info 0x00012fb5 0x981 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_info 0x00013936 0x98c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_info 0x000142c2 0x71c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) - .debug_info 0x000149de 0x749 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) - .debug_info 0x00015127 0x493 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) - .debug_info 0x000155ba 0x488 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) - .debug_info 0x00015a42 0x39b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) - .debug_info 0x00015ddd 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) - .debug_info 0x0001607a 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) - .debug_info 0x00016317 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) - .debug_info 0x000165b4 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) - .debug_info 0x00016851 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) - .debug_info 0x00016aee 0x218 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) - .debug_info 0x00016d06 0x2cd c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) - .debug_info 0x00016fd3 0x164 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - .debug_info 0x00017137 0x213 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) - .debug_info 0x0001734a 0x210 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) - .debug_info 0x0001755a 0xc9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - .debug_info 0x00017623 0x130 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) - .debug_info 0x00017753 0x2fc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) - .debug_info 0x00017a4f 0x268 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) - .debug_info 0x00017cb7 0x21b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) - .debug_info 0x00017ed2 0x46e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) - .debug_info 0x00018340 0x686 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - .debug_info 0x000189c6 0x16b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - .debug_info 0x00018b31 0x667 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_info 0x00019198 0x66d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_info 0x00019805 0x294 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) - .debug_info 0x00019a99 0x4a1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_info 0x00019f3a 0x22c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .debug_info 0x0001a166 0xc1f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_info 0x0001ad85 0x691 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) - .debug_info 0x0001b416 0x3f9 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_info 0x0001b80f 0x2be ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_info 0x0001bacd 0x16f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_info 0x0001bc3c 0x69a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) - .debug_info 0x0001c2d6 0x90e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_info 0x0001cbe4 0x7e0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_info 0x0001d3c4 0x608 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_info 0x0001d9cc 0x44a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_info 0x0001de16 0x54d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_info 0x0001e363 0x545 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_info 0x0001e8a8 0x8f5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .debug_info 0x0001f19d 0x719 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) - .debug_info 0x0001f8b6 0x449 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_info 0x0001fcff 0x1b2 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_info 0x0001feb1 0x163 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_info 0x00020014 0x2be ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_info 0x000202d2 0x116 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_info 0x000203e8 0x115 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_info 0x000204fd 0x163 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_info 0x00020660 0x49a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) - .debug_info 0x00020afa 0x31b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_info 0x00020e15 0x69c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_info 0x000214b1 0x8b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_info 0x0002153c 0x27c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_info 0x000217b8 0x16e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_info 0x00021926 0x52c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) - .debug_info 0x00021e52 0x405 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - .debug_info 0x00022257 0x16a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) - .debug_info 0x000223c1 0x91 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_info 0x00022452 0x41f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_info 0x00022871 0x426 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_info 0x00022c97 0x467 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_info 0x000230fe 0x181 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_info 0x0002327f 0x93f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_info 0x00023bbe 0x115 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_info 0x00023cd3 0x99c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_info 0x0002466f 0x9e2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_info 0x00025051 0x194 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + .debug_info 0x00000082 0x1e7 obj/default/LCD.o + .debug_info 0x00000269 0x4d8 obj/default/hello_world_small.o + .debug_info 0x00000741 0x154 obj/default/hex_encoder.o + .debug_info 0x00000895 0x1dd obj/default/hex_out.o + .debug_info 0x00000a72 0x33a obj/default/input_int.o + .debug_info 0x00000dac 0x555 obj/default/inst_decoder.o + .debug_info 0x00001301 0x1b3 obj/default/lcd_out.o + .debug_info 0x000014b4 0x3b3 obj/default/sys_debug.o + .debug_info 0x00001867 0x96 obj/default/sys_except.o + .debug_info 0x000018fd 0x385 obj/default/sys_memory.o + .debug_info 0x00001c82 0x2e9 obj/default/sys_prog.o + .debug_info 0x00001f6b 0x105 obj/default/sys_register.o + .debug_info 0x00002070 0x21c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_info 0x0000228c 0xf3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_info 0x0000237f 0xa0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_info 0x0000241f 0xa16 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_info 0x00002e35 0xdb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_info 0x00002f10 0x10d5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_info 0x00003fe5 0x95d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_info 0x00004942 0xd6f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_info 0x000056b1 0x9fe c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_info 0x000060af 0xb72 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_info 0x00006c21 0xbc9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_info 0x000077ea 0xa88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_info 0x00008272 0xaed c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_info 0x00008d5f 0x93d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_info 0x0000969c 0xb97 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_info 0x0000a233 0xb1a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_info 0x0000ad4d 0xcd0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_info 0x0000ba1d 0x138 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_info 0x0000bb55 0x106 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_info 0x0000bc5b 0x13e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_info 0x0000bd99 0x113 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_info 0x0000beac 0x143b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_info 0x0000d2e7 0xbd7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_info 0x0000debe 0xd7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_info 0x0000df95 0xd5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_info 0x0000e06a 0x962 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_info 0x0000e9cc 0xaac c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_info 0x0000f478 0xdd c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_info 0x0000f555 0x993 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_info 0x0000fee8 0xa1b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_info 0x00010903 0x958 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_info 0x0001125b 0x994 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_info 0x00011bef 0xafc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_info 0x000126eb 0x8c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_info 0x00012777 0x958 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_info 0x000130cf 0x981 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_info 0x00013a50 0x98c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_info 0x000143dc 0x71c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_info 0x00014af8 0x749 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_info 0x00015241 0x493 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_info 0x000156d4 0x488 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_info 0x00015b5c 0x39b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_info 0x00015ef7 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_info 0x00016194 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_info 0x00016431 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_info 0x000166ce 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_info 0x0001696b 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_info 0x00016c08 0x218 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_info 0x00016e20 0x2cd c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_info 0x000170ed 0x164 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_info 0x00017251 0x213 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_info 0x00017464 0x210 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_info 0x00017674 0xc9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_info 0x0001773d 0x130 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_info 0x0001786d 0x2fc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_info 0x00017b69 0x268 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_info 0x00017dd1 0x21b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_info 0x00017fec 0x46e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + .debug_info 0x0001845a 0x686 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + .debug_info 0x00018ae0 0x16b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + .debug_info 0x00018c4b 0x667 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_info 0x000192b2 0x66d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_info 0x0001991f 0x294 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + .debug_info 0x00019bb3 0x4a1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_info 0x0001a054 0x22c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .debug_info 0x0001a280 0xc1f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x0001ae9f 0x691 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + .debug_info 0x0001b530 0x3f9 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_info 0x0001b929 0x2be ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_info 0x0001bbe7 0x16f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_info 0x0001bd56 0x69a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + .debug_info 0x0001c3f0 0x90e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_info 0x0001ccfe 0x7e0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x0001d4de 0x608 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x0001dae6 0x44a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x0001df30 0x54d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x0001e47d 0x545 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0001e9c2 0x8f5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_info 0x0001f2b7 0x719 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_info 0x0001f9d0 0x449 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x0001fe19 0x1b2 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_info 0x0001ffcb 0x163 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_info 0x0002012e 0x2be ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x000203ec 0x116 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x00020502 0x115 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x00020617 0x163 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_info 0x0002077a 0x49a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + .debug_info 0x00020c14 0x31b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x00020f2f 0x69c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x000215cb 0x8b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x00021656 0x27c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x000218d2 0x16e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x00021a40 0x52c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + .debug_info 0x00021f6c 0x405 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + .debug_info 0x00022371 0x16a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_info 0x000224db 0x91 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x0002256c 0x41f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_info 0x0002298b 0x426 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_info 0x00022db1 0x467 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_info 0x00023218 0x181 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_info 0x00023399 0x93f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_info 0x00023cd8 0x115 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_info 0x00023ded 0x99c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_info 0x00024789 0x9e2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_info 0x0002516b 0x194 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) -.debug_abbrev 0x00000000 0x823c +.debug_abbrev 0x00000000 0x8258 *(.debug_abbrev) .debug_abbrev 0x00000000 0x12 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o - .debug_abbrev 0x00000012 0xa0 obj/default/LCD.o - .debug_abbrev 0x000000b2 0x188 obj/default/hello_world_small.o - .debug_abbrev 0x0000023a 0x75 obj/default/hex_encoder.o - .debug_abbrev 0x000002af 0x87 obj/default/hex_out.o - .debug_abbrev 0x00000336 0x12f obj/default/input_int.o - .debug_abbrev 0x00000465 0xe4 obj/default/inst_decoder.o - .debug_abbrev 0x00000549 0x71 obj/default/lcd_out.o - .debug_abbrev 0x000005ba 0xe9 obj/default/sys_debug.o - .debug_abbrev 0x000006a3 0x27 obj/default/sys_except.o - .debug_abbrev 0x000006ca 0x101 obj/default/sys_memory.o - .debug_abbrev 0x000007cb 0x8e obj/default/sys_prog.o - .debug_abbrev 0x00000859 0x66 obj/default/sys_register.o - .debug_abbrev 0x000008bf 0xe0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_abbrev 0x0000099f 0x7b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_abbrev 0x00000a1a 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - .debug_abbrev 0x00000a7b 0x191 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) - .debug_abbrev 0x00000c0c 0x8b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_abbrev 0x00000c97 0x30a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) - .debug_abbrev 0x00000fa1 0x170 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_abbrev 0x00001111 0x232 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) - .debug_abbrev 0x00001343 0x1c6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_abbrev 0x00001509 0x24c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_abbrev 0x00001755 0x1d9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_abbrev 0x0000192e 0x1a3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_abbrev 0x00001ad1 0x1e3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_abbrev 0x00001cb4 0x157 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .debug_abbrev 0x00001e0b 0x1ed c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - .debug_abbrev 0x00001ff8 0x197 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_abbrev 0x0000218f 0x216 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_abbrev 0x000023a5 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_abbrev 0x0000245a 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_abbrev 0x0000250f 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_abbrev 0x000025c4 0xb8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_abbrev 0x0000267c 0x297 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) - .debug_abbrev 0x00002913 0x1c3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_abbrev 0x00002ad6 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) - .debug_abbrev 0x00002b4f 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) - .debug_abbrev 0x00002bc8 0x18c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_abbrev 0x00002d54 0x1c9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_abbrev 0x00002f1d 0x80 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) - .debug_abbrev 0x00002f9d 0x184 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_abbrev 0x00003121 0x1b8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) - .debug_abbrev 0x000032d9 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) - .debug_abbrev 0x00003458 0x1a7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_abbrev 0x000035ff 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_abbrev 0x0000378d 0x3b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .debug_abbrev 0x000037c8 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_abbrev 0x00003947 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_abbrev 0x00003ad5 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_abbrev 0x00003c54 0x16b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) - .debug_abbrev 0x00003dbf 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) - .debug_abbrev 0x00003f4d 0x1d2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) - .debug_abbrev 0x0000411f 0x204 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) - .debug_abbrev 0x00004323 0x1e3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) - .debug_abbrev 0x00004506 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) - .debug_abbrev 0x00004657 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) - .debug_abbrev 0x000047a8 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) - .debug_abbrev 0x000048f9 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) - .debug_abbrev 0x00004a4a 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) - .debug_abbrev 0x00004b9b 0x13f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) - .debug_abbrev 0x00004cda 0x176 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) - .debug_abbrev 0x00004e50 0xba c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - .debug_abbrev 0x00004f0a 0x155 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) - .debug_abbrev 0x0000505f 0xfb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) - .debug_abbrev 0x0000515a 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - .debug_abbrev 0x000051bb 0xb9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) - .debug_abbrev 0x00005274 0x1b1 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) - .debug_abbrev 0x00005425 0x149 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) - .debug_abbrev 0x0000556e 0x132 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) - .debug_abbrev 0x000056a0 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) - .debug_abbrev 0x0000579b 0xc7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - .debug_abbrev 0x00005862 0x5e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - .debug_abbrev 0x000058c0 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_abbrev 0x000059bb 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_abbrev 0x00005ab6 0x88 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) - .debug_abbrev 0x00005b3e 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_abbrev 0x00005c39 0xb7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .debug_abbrev 0x00005cf0 0x181 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_abbrev 0x00005e71 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) - .debug_abbrev 0x00005f6c 0xc1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_abbrev 0x0000602d 0x115 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_abbrev 0x00006142 0x5d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_abbrev 0x0000619f 0x100 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) - .debug_abbrev 0x0000629f 0x158 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_abbrev 0x000063f7 0xf7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_abbrev 0x000064ee 0x17c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_abbrev 0x0000666a 0xf0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_abbrev 0x0000675a 0x191 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_abbrev 0x000068eb 0x18d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_abbrev 0x00006a78 0x1c3 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .debug_abbrev 0x00006c3b 0x104 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) - .debug_abbrev 0x00006d3f 0x172 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_abbrev 0x00006eb1 0x6c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_abbrev 0x00006f1d 0x3f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_abbrev 0x00006f5c 0xf6 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_abbrev 0x00007052 0x76 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_abbrev 0x000070c8 0x76 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_abbrev 0x0000713e 0x3f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_abbrev 0x0000717d 0x134 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) - .debug_abbrev 0x000072b1 0x14e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_abbrev 0x000073ff 0xf0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_abbrev 0x000074ef 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_abbrev 0x00007501 0x10c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_abbrev 0x0000760d 0x44 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_abbrev 0x00007651 0x112 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) - .debug_abbrev 0x00007763 0x150 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - .debug_abbrev 0x000078b3 0x3f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) - .debug_abbrev 0x000078f2 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_abbrev 0x00007904 0xcf ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_abbrev 0x000079d3 0xcf ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_abbrev 0x00007aa2 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_abbrev 0x00007b9d 0xb7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_abbrev 0x00007c54 0x16e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_abbrev 0x00007dc2 0x7c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_abbrev 0x00007e3e 0x1ae c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_abbrev 0x00007fec 0x1de c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_abbrev 0x000081ca 0x72 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + .debug_abbrev 0x00000012 0xa7 obj/default/LCD.o + .debug_abbrev 0x000000b9 0x146 obj/default/hello_world_small.o + .debug_abbrev 0x000001ff 0x75 obj/default/hex_encoder.o + .debug_abbrev 0x00000274 0x87 obj/default/hex_out.o + .debug_abbrev 0x000002fb 0x12f obj/default/input_int.o + .debug_abbrev 0x0000042a 0xe4 obj/default/inst_decoder.o + .debug_abbrev 0x0000050e 0x71 obj/default/lcd_out.o + .debug_abbrev 0x0000057f 0xe9 obj/default/sys_debug.o + .debug_abbrev 0x00000668 0x27 obj/default/sys_except.o + .debug_abbrev 0x0000068f 0x101 obj/default/sys_memory.o + .debug_abbrev 0x00000790 0xe5 obj/default/sys_prog.o + .debug_abbrev 0x00000875 0x66 obj/default/sys_register.o + .debug_abbrev 0x000008db 0xe0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_abbrev 0x000009bb 0x7b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_abbrev 0x00000a36 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_abbrev 0x00000a97 0x191 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_abbrev 0x00000c28 0x8b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_abbrev 0x00000cb3 0x30a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_abbrev 0x00000fbd 0x170 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000112d 0x232 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_abbrev 0x0000135f 0x1c6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_abbrev 0x00001525 0x24c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_abbrev 0x00001771 0x1d9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_abbrev 0x0000194a 0x1a3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x00001aed 0x1e3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_abbrev 0x00001cd0 0x157 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_abbrev 0x00001e27 0x1ed c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_abbrev 0x00002014 0x197 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_abbrev 0x000021ab 0x216 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_abbrev 0x000023c1 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_abbrev 0x00002476 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_abbrev 0x0000252b 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_abbrev 0x000025e0 0xb8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_abbrev 0x00002698 0x297 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_abbrev 0x0000292f 0x1c3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_abbrev 0x00002af2 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_abbrev 0x00002b6b 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_abbrev 0x00002be4 0x18c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x00002d70 0x1c9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_abbrev 0x00002f39 0x80 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_abbrev 0x00002fb9 0x184 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_abbrev 0x0000313d 0x1b8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_abbrev 0x000032f5 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_abbrev 0x00003474 0x1a7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_abbrev 0x0000361b 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_abbrev 0x000037a9 0x3b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_abbrev 0x000037e4 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_abbrev 0x00003963 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_abbrev 0x00003af1 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_abbrev 0x00003c70 0x16b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_abbrev 0x00003ddb 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_abbrev 0x00003f69 0x1d2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_abbrev 0x0000413b 0x204 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_abbrev 0x0000433f 0x1e3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_abbrev 0x00004522 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_abbrev 0x00004673 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_abbrev 0x000047c4 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_abbrev 0x00004915 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_abbrev 0x00004a66 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_abbrev 0x00004bb7 0x13f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_abbrev 0x00004cf6 0x176 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_abbrev 0x00004e6c 0xba c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_abbrev 0x00004f26 0x155 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_abbrev 0x0000507b 0xfb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_abbrev 0x00005176 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_abbrev 0x000051d7 0xb9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_abbrev 0x00005290 0x1b1 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_abbrev 0x00005441 0x149 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_abbrev 0x0000558a 0x132 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_abbrev 0x000056bc 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + .debug_abbrev 0x000057b7 0xc7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x0000587e 0x5e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x000058dc 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x000059d7 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x00005ad2 0x88 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + .debug_abbrev 0x00005b5a 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x00005c55 0xb7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .debug_abbrev 0x00005d0c 0x181 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x00005e8d 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + .debug_abbrev 0x00005f88 0xc1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x00006049 0x115 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x0000615e 0x5d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_abbrev 0x000061bb 0x100 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + .debug_abbrev 0x000062bb 0x158 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x00006413 0xf7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x0000650a 0x17c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x00006686 0xf0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x00006776 0x191 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x00006907 0x18d ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x00006a94 0x1c3 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_abbrev 0x00006c57 0x104 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_abbrev 0x00006d5b 0x172 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x00006ecd 0x6c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_abbrev 0x00006f39 0x3f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_abbrev 0x00006f78 0xf6 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x0000706e 0x76 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x000070e4 0x76 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x0000715a 0x3f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_abbrev 0x00007199 0x134 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x000072cd 0x14e ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000741b 0xf0 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000750b 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000751d 0x10c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x00007629 0x44 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000766d 0x112 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + .debug_abbrev 0x0000777f 0x150 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x000078cf 0x3f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_abbrev 0x0000790e 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x00007920 0xcf ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x000079ef 0xcf ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x00007abe 0xfb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x00007bb9 0xb7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_abbrev 0x00007c70 0x16e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_abbrev 0x00007dde 0x7c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_abbrev 0x00007e5a 0x1ae c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_abbrev 0x00008008 0x1de c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x000081e6 0x72 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) -.debug_line 0x00000000 0x15b9c +.debug_line 0x00000000 0x15d29 *(.debug_line) .debug_line 0x00000000 0x66 ../qsys_tutorial_lcd4_bsp//obj/HAL/src/crt0.o .debug_line 0x00000066 0x308 obj/default/LCD.o - .debug_line 0x0000036e 0x6a8 obj/default/hello_world_small.o - .debug_line 0x00000a16 0x494 obj/default/hex_encoder.o - .debug_line 0x00000eaa 0x195 obj/default/hex_out.o - .debug_line 0x0000103f 0x1bb obj/default/input_int.o - .debug_line 0x000011fa 0x41c obj/default/inst_decoder.o - .debug_line 0x00001616 0x222 obj/default/lcd_out.o - .debug_line 0x00001838 0x3cc obj/default/sys_debug.o - .debug_line 0x00001c04 0x80 obj/default/sys_except.o - .debug_line 0x00001c84 0x12f obj/default/sys_memory.o - .debug_line 0x00001db3 0xf5 obj/default/sys_prog.o - .debug_line 0x00001ea8 0x7f obj/default/sys_register.o - .debug_line 0x00001f27 0x24f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_line 0x00002176 0x11a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_line 0x00002290 0x81 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) - .debug_line 0x00002311 0x325 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) - .debug_line 0x00002636 0x270 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_line 0x000028a6 0x110f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) - .debug_line 0x000039b5 0x2f3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_line 0x00003ca8 0x1309 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) - .debug_line 0x00004fb1 0x410 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_line 0x000053c1 0x60c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_line 0x000059cd 0x5ee c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_line 0x00005fbb 0x644 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_line 0x000065ff 0x34d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_line 0x0000694c 0x230 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .debug_line 0x00006b7c 0x2cb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) - .debug_line 0x00006e47 0x3c6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_line 0x0000720d 0x80d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_line 0x00007a1a 0x306 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_line 0x00007d20 0x1af c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_line 0x00007ecf 0x30d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_line 0x000081dc 0x304 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_line 0x000084e0 0x125e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) - .debug_line 0x0000973e 0x58d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_line 0x00009ccb 0x225 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) - .debug_line 0x00009ef0 0x21d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) - .debug_line 0x0000a10d 0x29c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_line 0x0000a3a9 0x38f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_line 0x0000a738 0x2d8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) - .debug_line 0x0000aa10 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_line 0x0000acad 0x25f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) - .debug_line 0x0000af0c 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) - .debug_line 0x0000b1a9 0x2eb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_line 0x0000b494 0x267 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_line 0x0000b6fb 0x1e2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .debug_line 0x0000b8dd 0x29e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_line 0x0000bb7b 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_line 0x0000be18 0x29c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_line 0x0000c0b4 0x2f2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) - .debug_line 0x0000c3a6 0x405 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) - .debug_line 0x0000c7ab 0x430 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) - .debug_line 0x0000cbdb 0x3e5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) - .debug_line 0x0000cfc0 0x301 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) - .debug_line 0x0000d2c1 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) - .debug_line 0x0000d3ea 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) - .debug_line 0x0000d513 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) - .debug_line 0x0000d63c 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) - .debug_line 0x0000d765 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) - .debug_line 0x0000d88e 0x175 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) - .debug_line 0x0000da03 0x149 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) - .debug_line 0x0000db4c 0x94 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - .debug_line 0x0000dbe0 0x189 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) - .debug_line 0x0000dd69 0x14f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) - .debug_line 0x0000deb8 0xaa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - .debug_line 0x0000df62 0x105 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) - .debug_line 0x0000e067 0x222 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) - .debug_line 0x0000e289 0x1e4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) - .debug_line 0x0000e46d 0x187 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) - .debug_line 0x0000e5f4 0x299 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) - .debug_line 0x0000e88d 0x254 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - .debug_line 0x0000eae1 0x1aa ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - .debug_line 0x0000ec8b 0x2a1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_line 0x0000ef2c 0x2a2 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_line 0x0000f1ce 0x217 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) - .debug_line 0x0000f3e5 0x2a1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_line 0x0000f686 0x2ca ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .debug_line 0x0000f950 0x20f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_line 0x0000fb5f 0x2c8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) - .debug_line 0x0000fe27 0xed ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_line 0x0000ff14 0x261 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_line 0x00010175 0x202 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_line 0x00010377 0x2c9 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) - .debug_line 0x00010640 0x2eb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_line 0x0001092b 0x217 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_line 0x00010b42 0x4ab ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_line 0x00010fed 0x317 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_line 0x00011304 0x3f7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_line 0x000116fb 0x405 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_line 0x00011b00 0x8c1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .debug_line 0x000123c1 0x1b7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) - .debug_line 0x00012578 0x2dc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_line 0x00012854 0x25c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_line 0x00012ab0 0x1b5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_line 0x00012c65 0x25a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_line 0x00012ebf 0x83 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_line 0x00012f42 0x83 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_line 0x00012fc5 0x1b5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_line 0x0001317a 0x2c8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) - .debug_line 0x00013442 0x249 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_line 0x0001368b 0x2b4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_line 0x0001393f 0x6b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_line 0x000139aa 0x248 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_line 0x00013bf2 0x72 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_line 0x00013c64 0x2ff ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) - .debug_line 0x00013f63 0x2dc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - .debug_line 0x0001423f 0x1ce ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) - .debug_line 0x0001440d 0xab ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_line 0x000144b8 0x26b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_line 0x00014723 0x27c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_line 0x0001499f 0x297 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_line 0x00014c36 0x203 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_line 0x00014e39 0x23b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_line 0x00015074 0x2a2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_line 0x00015316 0x316 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_line 0x0001562c 0x36a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_line 0x00015996 0x206 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + .debug_line 0x0000036e 0x5ee obj/default/hello_world_small.o + .debug_line 0x0000095c 0x494 obj/default/hex_encoder.o + .debug_line 0x00000df0 0x195 obj/default/hex_out.o + .debug_line 0x00000f85 0x1bb obj/default/input_int.o + .debug_line 0x00001140 0x42a obj/default/inst_decoder.o + .debug_line 0x0000156a 0x222 obj/default/lcd_out.o + .debug_line 0x0000178c 0x3e4 obj/default/sys_debug.o + .debug_line 0x00001b70 0x80 obj/default/sys_except.o + .debug_line 0x00001bf0 0x12f obj/default/sys_memory.o + .debug_line 0x00001d1f 0x316 obj/default/sys_prog.o + .debug_line 0x00002035 0x7f obj/default/sys_register.o + .debug_line 0x000020b4 0x24f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_line 0x00002303 0x11a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_line 0x0000241d 0x81 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_line 0x0000249e 0x325 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_line 0x000027c3 0x270 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_line 0x00002a33 0x110f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_line 0x00003b42 0x2f3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_line 0x00003e35 0x1309 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_line 0x0000513e 0x410 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_line 0x0000554e 0x60c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_line 0x00005b5a 0x5ee c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_line 0x00006148 0x644 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_line 0x0000678c 0x34d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_line 0x00006ad9 0x230 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_line 0x00006d09 0x2cb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_line 0x00006fd4 0x3c6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_line 0x0000739a 0x80d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_line 0x00007ba7 0x306 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_line 0x00007ead 0x1af c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_line 0x0000805c 0x30d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_line 0x00008369 0x304 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_line 0x0000866d 0x125e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_line 0x000098cb 0x58d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_line 0x00009e58 0x225 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_line 0x0000a07d 0x21d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_line 0x0000a29a 0x29c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_line 0x0000a536 0x38f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_line 0x0000a8c5 0x2d8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_line 0x0000ab9d 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_line 0x0000ae3a 0x25f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_line 0x0000b099 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_line 0x0000b336 0x2eb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_line 0x0000b621 0x267 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_line 0x0000b888 0x1e2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_line 0x0000ba6a 0x29e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_line 0x0000bd08 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_line 0x0000bfa5 0x29c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_line 0x0000c241 0x2f2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_line 0x0000c533 0x405 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_line 0x0000c938 0x430 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_line 0x0000cd68 0x3e5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_line 0x0000d14d 0x301 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_line 0x0000d44e 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_line 0x0000d577 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_line 0x0000d6a0 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_line 0x0000d7c9 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_line 0x0000d8f2 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_line 0x0000da1b 0x175 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_line 0x0000db90 0x149 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_line 0x0000dcd9 0x94 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_line 0x0000dd6d 0x189 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_line 0x0000def6 0x14f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_line 0x0000e045 0xaa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_line 0x0000e0ef 0x105 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_line 0x0000e1f4 0x222 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_line 0x0000e416 0x1e4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_line 0x0000e5fa 0x187 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_line 0x0000e781 0x299 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + .debug_line 0x0000ea1a 0x254 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + .debug_line 0x0000ec6e 0x1aa ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + .debug_line 0x0000ee18 0x2a1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_line 0x0000f0b9 0x2a2 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_line 0x0000f35b 0x217 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + .debug_line 0x0000f572 0x2a1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_line 0x0000f813 0x2ca ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .debug_line 0x0000fadd 0x20f ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x0000fcec 0x2c8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + .debug_line 0x0000ffb4 0xed ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_line 0x000100a1 0x261 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_line 0x00010302 0x202 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_line 0x00010504 0x2c9 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + .debug_line 0x000107cd 0x2eb ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_line 0x00010ab8 0x217 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x00010ccf 0x4ab ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x0001117a 0x317 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x00011491 0x3f7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x00011888 0x405 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x00011c8d 0x8c1 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_line 0x0001254e 0x1b7 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_line 0x00012705 0x2dc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x000129e1 0x25c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_line 0x00012c3d 0x1b5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_line 0x00012df2 0x25a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x0001304c 0x83 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x000130cf 0x83 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x00013152 0x1b5 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_line 0x00013307 0x2c8 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + .debug_line 0x000135cf 0x249 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x00013818 0x2b4 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x00013acc 0x6b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x00013b37 0x248 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x00013d7f 0x72 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x00013df1 0x2ff ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + .debug_line 0x000140f0 0x2dc ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + .debug_line 0x000143cc 0x1ce ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_line 0x0001459a 0xab ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x00014645 0x26b ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_line 0x000148b0 0x27c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_line 0x00014b2c 0x297 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_line 0x00014dc3 0x203 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_line 0x00014fc6 0x23b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_line 0x00015201 0x2a2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_line 0x000154a3 0x316 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_line 0x000157b9 0x36a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_line 0x00015b23 0x206 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) .debug_frame 0x00000000 0x1ff4 *(.debug_frame) .debug_frame 0x00000000 0x84 obj/default/LCD.o - .debug_frame 0x00000084 0x144 obj/default/hello_world_small.o - .debug_frame 0x000001c8 0x48 obj/default/hex_encoder.o - .debug_frame 0x00000210 0x64 obj/default/hex_out.o - .debug_frame 0x00000274 0x80 obj/default/input_int.o - .debug_frame 0x000002f4 0x17c obj/default/inst_decoder.o - .debug_frame 0x00000470 0x80 obj/default/lcd_out.o - .debug_frame 0x000004f0 0x80 obj/default/sys_debug.o - .debug_frame 0x00000570 0x2c obj/default/sys_except.o - .debug_frame 0x0000059c 0x9c obj/default/sys_memory.o - .debug_frame 0x00000638 0x64 obj/default/sys_prog.o + .debug_frame 0x00000084 0x10c obj/default/hello_world_small.o + .debug_frame 0x00000190 0x48 obj/default/hex_encoder.o + .debug_frame 0x000001d8 0x64 obj/default/hex_out.o + .debug_frame 0x0000023c 0x80 obj/default/input_int.o + .debug_frame 0x000002bc 0x17c obj/default/inst_decoder.o + .debug_frame 0x00000438 0x80 obj/default/lcd_out.o + .debug_frame 0x000004b8 0x9c obj/default/sys_debug.o + .debug_frame 0x00000554 0x2c obj/default/sys_except.o + .debug_frame 0x00000580 0x9c obj/default/sys_memory.o + .debug_frame 0x0000061c 0x80 obj/default/sys_prog.o .debug_frame 0x0000069c 0x2c obj/default/sys_register.o .debug_frame 0x000006c8 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) .debug_frame 0x00000738 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) @@ -2177,187 +2177,188 @@ .debug_frame 0x00001f60 0x4c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) .debug_frame 0x00001fac 0x48 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) -.debug_str 0x00000000 0x23ac +.debug_str 0x00000000 0x23b5 *(.debug_str) .debug_str 0x00000000 0x12 obj/default/LCD.o - .debug_str 0x00000012 0x25 obj/default/hello_world_small.o - .debug_str 0x00000037 0x1f obj/default/inst_decoder.o - .debug_str 0x00000056 0x12 obj/default/lcd_out.o - .debug_str 0x00000068 0x25 obj/default/sys_debug.o - .debug_str 0x0000008d 0x9 obj/default/sys_memory.o - .debug_str 0x00000096 0x167 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_str 0x00000012 0x1c obj/default/hello_world_small.o + .debug_str 0x0000002e 0x1f obj/default/inst_decoder.o + .debug_str 0x0000004d 0x12 obj/default/lcd_out.o + .debug_str 0x0000005f 0x25 obj/default/sys_debug.o + .debug_str 0x00000084 0x9 obj/default/sys_memory.o + .debug_str 0x0000008d 0x12 obj/default/sys_prog.o + .debug_str 0x0000009f 0x167 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) 0x1b4 (size before relaxing) - .debug_str 0x000001fd 0x37 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_str 0x00000206 0x37 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) 0x175 (size before relaxing) - .debug_str 0x00000234 0xf0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_str 0x0000023d 0xf0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) 0x113 (size before relaxing) - .debug_str 0x00000324 0x457 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_str 0x0000032d 0x457 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) 0x539 (size before relaxing) - .debug_str 0x0000077b 0xe9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_str 0x00000784 0xe9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) 0x18b (size before relaxing) - .debug_str 0x00000864 0x1c4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_str 0x0000086d 0x1c4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) 0x706 (size before relaxing) - .debug_str 0x00000a28 0x49 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_str 0x00000a31 0x49 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) 0x51a (size before relaxing) - .debug_str 0x00000a71 0x19c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_str 0x00000a7a 0x19c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) 0x60f (size before relaxing) - .debug_str 0x00000c0d 0x68 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_str 0x00000c16 0x68 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) 0x540 (size before relaxing) - .debug_str 0x00000c75 0x105 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_str 0x00000c7e 0x105 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) 0x602 (size before relaxing) - .debug_str 0x00000d7a 0x15e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_str 0x00000d83 0x15e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) 0x651 (size before relaxing) - .debug_str 0x00000ed8 0x69 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_str 0x00000ee1 0x69 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) 0x571 (size before relaxing) - .debug_str 0x00000f41 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_str 0x00000f4a 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) 0x543 (size before relaxing) - .debug_str 0x00000fa2 0xdf c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_str 0x00000fab 0xdf c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) 0x528 (size before relaxing) - .debug_str 0x00001081 0x233 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_str 0x0000108a 0x233 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) 0x6b7 (size before relaxing) - .debug_str 0x000012b4 0x126 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_str 0x000012bd 0x126 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) 0x614 (size before relaxing) - .debug_str 0x000013da 0xef c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_str 0x000013e3 0xef c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) 0x6ff (size before relaxing) - .debug_str 0x000014c9 0x5f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_str 0x000014d2 0x5f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) 0x199 (size before relaxing) - .debug_str 0x00001528 0x6c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_str 0x00001531 0x6c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) 0x136 (size before relaxing) - .debug_str 0x00001594 0x50 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_str 0x0000159d 0x50 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) 0x1ab (size before relaxing) - .debug_str 0x000015e4 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_str 0x000015ed 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) 0x18c (size before relaxing) - .debug_str 0x00001629 0xfb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_str 0x00001632 0xfb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) 0x61c (size before relaxing) - .debug_str 0x00001724 0x59 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_str 0x0000172d 0x59 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) 0x5d1 (size before relaxing) - .debug_str 0x0000177d 0xea c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_str 0x00001786 0xea c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) 0x198 (size before relaxing) - .debug_str 0x00001867 0x49 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_str 0x00001870 0x49 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) 0x198 (size before relaxing) - .debug_str 0x000018b0 0x53 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_str 0x000018b9 0x53 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) 0x519 (size before relaxing) - .debug_str 0x00001903 0x65 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_str 0x0000190c 0x65 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) 0x53f (size before relaxing) - .debug_str 0x00001968 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_str 0x00001971 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) 0x171 (size before relaxing) - .debug_str 0x000019ad 0x4f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_str 0x000019b6 0x4f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) 0x51c (size before relaxing) - .debug_str 0x000019fc 0x19 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_str 0x00001a05 0x19 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) 0x550 (size before relaxing) - .debug_str 0x00001a15 0x46 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_str 0x00001a1e 0x46 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) 0x50c (size before relaxing) - .debug_str 0x00001a5b 0x4e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_str 0x00001a64 0x4e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) 0x524 (size before relaxing) - .debug_str 0x00001aa9 0x4c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_str 0x00001ab2 0x4c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) 0x5ff (size before relaxing) - .debug_str 0x00001af5 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_str 0x00001afe 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) 0x171 (size before relaxing) - .debug_str 0x00001b35 0x48 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_str 0x00001b3e 0x48 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) 0x50e (size before relaxing) - .debug_str 0x00001b7d 0x46 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_str 0x00001b86 0x46 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) 0x51a (size before relaxing) - .debug_str 0x00001bc3 0x44 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_str 0x00001bcc 0x44 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) 0x51a (size before relaxing) - .debug_str 0x00001c07 0xb2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_str 0x00001c10 0xb2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) 0x1f7 (size before relaxing) - .debug_str 0x00001cb9 0xa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_str 0x00001cc2 0xa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) 0x1f7 (size before relaxing) - .debug_str 0x00001cc3 0x137 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_str 0x00001ccc 0x137 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) 0x26f (size before relaxing) - .debug_str 0x00001dfa 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_str 0x00001e03 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) 0x241 (size before relaxing) - .debug_str 0x00001e3a 0x35 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_str 0x00001e43 0x35 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) 0x231 (size before relaxing) - .debug_str 0x00001e6f 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_str 0x00001e78 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) 0x1fe (size before relaxing) - .debug_str 0x00001e77 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_str 0x00001e80 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) 0x1fe (size before relaxing) - .debug_str 0x00001e7f 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_str 0x00001e88 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) 0x1fe (size before relaxing) - .debug_str 0x00001e87 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_str 0x00001e90 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) 0x1fe (size before relaxing) - .debug_str 0x00001e8f 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_str 0x00001e98 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) 0x1fe (size before relaxing) - .debug_str 0x00001e97 0x18 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_str 0x00001ea0 0x18 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) 0x1de (size before relaxing) - .debug_str 0x00001eaf 0xa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_str 0x00001eb8 0xa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) 0x207 (size before relaxing) .debug_str 0x00000000 0x1a3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) - .debug_str 0x00001eb9 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_str 0x00001ec2 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) 0x1da (size before relaxing) - .debug_str 0x00001ecd 0x9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_str 0x00001ed6 0x9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) 0x1ac (size before relaxing) .debug_str 0x00000000 0x161 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) - .debug_str 0x00001ed6 0x9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_str 0x00001edf 0x9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) 0x17e (size before relaxing) - .debug_str 0x00001edf 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_str 0x00001ee8 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) 0x206 (size before relaxing) - .debug_str 0x00001eef 0xb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_str 0x00001ef8 0xb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) 0x1e8 (size before relaxing) - .debug_str 0x00001efa 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_str 0x00001f03 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) 0x1c6 (size before relaxing) - .debug_str 0x00001f0a 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) - .debug_str 0x00001f1c 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) - .debug_str 0x00001f2e 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) - .debug_str 0x00001f40 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_str 0x00001f52 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_str 0x00001f64 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) - .debug_str 0x00001f76 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_str 0x00001f88 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) - .debug_str 0x00001f9a 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_str 0x00001fba 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) - .debug_str 0x00001fcc 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_str 0x00001fe6 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_str 0x00001ff8 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) - .debug_str 0x0000200a 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_str 0x0000201c 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_str 0x0000202e 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_str 0x00002048 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_str 0x0000205a 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_str 0x00002074 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_str 0x0000208e 0x25 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) - .debug_str 0x000020b3 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) - .debug_str 0x000020c5 0x23 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_str 0x000020e8 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_str 0x000020fa 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_str 0x0000210c 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_str 0x0000211e 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_str 0x00002130 0x18 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) - .debug_str 0x00002148 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_str 0x00002162 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_str 0x00002174 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_str 0x00002186 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) - .debug_str 0x00002198 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) - .debug_str 0x000021b2 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) - .debug_str 0x000021c4 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_str 0x000021d6 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_str 0x000021e8 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_str 0x000021fa 0x3e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_str 0x00001f13 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_close.o) + .debug_str 0x00001f25 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev.o) + .debug_str 0x00001f37 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_errno.o) + .debug_str 0x00001f49 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_str 0x00001f5b 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_str 0x00001f6d 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_load.o) + .debug_str 0x00001f7f 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_str 0x00001f91 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_main.o) + .debug_str 0x00001fa3 0x20 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_str 0x00001fc3 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_read.o) + .debug_str 0x00001fd5 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_str 0x00001fef 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_str 0x00002001 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_write.o) + .debug_str 0x00002013 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_str 0x00002025 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_str 0x00002037 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_str 0x00002051 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_str 0x00002063 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_str 0x0000207d 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_str 0x00002097 0x25 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_str 0x000020bc 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_str 0x000020ce 0x23 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_str 0x000020f1 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_str 0x00002103 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_str 0x00002115 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_str 0x00002127 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_str 0x00002139 0x18 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic.o) + .debug_str 0x00002151 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_str 0x0000216b 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_str 0x0000217d 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_str 0x0000218f 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_open.o) + .debug_str 0x000021a1 0x1a ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_tick.o) + .debug_str 0x000021bb 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_str 0x000021cd 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_str 0x000021df 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_str 0x000021f1 0x12 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_str 0x00002203 0x3e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) 0x1cd (size before relaxing) - .debug_str 0x00002238 0x41 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_str 0x00002241 0x41 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) 0x51a (size before relaxing) - .debug_str 0x00002279 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_str 0x00002282 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) 0x178 (size before relaxing) - .debug_str 0x000022be 0x54 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_str 0x000022c7 0x54 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) 0x532 (size before relaxing) - .debug_str 0x00002312 0x7e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_str 0x0000231b 0x7e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) 0x55c (size before relaxing) - .debug_str 0x00002390 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) + .debug_str 0x00002399 0x1c ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_exit.o) .debug_loc 0x00000000 0xc785 *(.debug_loc) .debug_loc 0x00000000 0xac obj/default/LCD.o - .debug_loc 0x000000ac 0x1d9 obj/default/hello_world_small.o - .debug_loc 0x00000285 0x56 obj/default/hex_encoder.o - .debug_loc 0x000002db 0x81 obj/default/hex_out.o - .debug_loc 0x0000035c 0xac obj/default/input_int.o - .debug_loc 0x00000408 0x22f obj/default/inst_decoder.o - .debug_loc 0x00000637 0xac obj/default/lcd_out.o - .debug_loc 0x000006e3 0xac obj/default/sys_debug.o - .debug_loc 0x0000078f 0x2b obj/default/sys_except.o - .debug_loc 0x000007ba 0xd7 obj/default/sys_memory.o - .debug_loc 0x00000891 0x81 obj/default/sys_prog.o + .debug_loc 0x000000ac 0x183 obj/default/hello_world_small.o + .debug_loc 0x0000022f 0x56 obj/default/hex_encoder.o + .debug_loc 0x00000285 0x81 obj/default/hex_out.o + .debug_loc 0x00000306 0xac obj/default/input_int.o + .debug_loc 0x000003b2 0x22f obj/default/inst_decoder.o + .debug_loc 0x000005e1 0xac obj/default/lcd_out.o + .debug_loc 0x0000068d 0xd7 obj/default/sys_debug.o + .debug_loc 0x00000764 0x2b obj/default/sys_except.o + .debug_loc 0x0000078f 0xd7 obj/default/sys_memory.o + .debug_loc 0x00000866 0xac obj/default/sys_prog.o .debug_loc 0x00000912 0x2b obj/default/sys_register.o .debug_loc 0x0000093d 0x1d2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) .debug_loc 0x00000b0f 0x4f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) @@ -2479,8 +2480,8 @@ 0x00000010 0x30 ../qsys_tutorial_lcd4_bsp/\libhal_bsp.a(alt_busy_sleep.o) 0x00032000 __alt_data_end = 0x32000 0x00032000 PROVIDE (__alt_stack_pointer, __alt_data_end) - 0x000144d4 PROVIDE (__alt_stack_limit, __alt_stack_base) - 0x000144d4 PROVIDE (__alt_heap_start, end) + 0x000144dc PROVIDE (__alt_stack_limit, __alt_stack_base) + 0x000144dc PROVIDE (__alt_heap_start, end) 0x00032000 PROVIDE (__alt_heap_limit, 0x32000) OUTPUT(qsys_tutorial_lcd4.elf elf32-littlenios2) diff --git a/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.objdump b/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.objdump index 9ac00fc..8d3995c 100644 --- a/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.objdump +++ b/software/qsys_tutorial_lcd4/qsys_tutorial_lcd4.objdump @@ -9,10 +9,10 @@ LOAD off 0x00001000 vaddr 0x00000000 paddr 0x00000000 align 2**12 filesz 0x00000020 memsz 0x00000020 flags r-x LOAD off 0x00001020 vaddr 0x00000020 paddr 0x00000020 align 2**12 - filesz 0x00010594 memsz 0x00010594 flags r-x - LOAD off 0x000115b4 vaddr 0x000105b4 paddr 0x0001213c align 2**12 + filesz 0x0001059c memsz 0x0001059c flags r-x + LOAD off 0x000115bc vaddr 0x000105bc paddr 0x00012144 align 2**12 filesz 0x00001b88 memsz 0x00001b88 flags rw- - LOAD off 0x00013cc4 vaddr 0x00013cc4 paddr 0x00013cc4 align 2**12 + LOAD off 0x00013ccc vaddr 0x00013ccc paddr 0x00013ccc align 2**12 filesz 0x00000000 memsz 0x00000810 flags rw- Sections: @@ -23,61 +23,61 @@ CONTENTS, ALLOC, LOAD, READONLY, CODE 2 .text 0000fce4 000001b4 000001b4 000011b4 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 3 .rodata 0000071c 0000fe98 0000fe98 00010e98 2**2 + 3 .rodata 00000724 0000fe98 0000fe98 00010e98 2**2 CONTENTS, ALLOC, LOAD, READONLY, DATA - 4 .rwdata 00001b88 000105b4 0001213c 000115b4 2**2 + 4 .rwdata 00001b88 000105bc 00012144 000115bc 2**2 CONTENTS, ALLOC, LOAD, DATA, SMALL_DATA - 5 .bss 00000810 00013cc4 00013cc4 00013cc4 2**2 + 5 .bss 00000810 00013ccc 00013ccc 00013ccc 2**2 ALLOC, SMALL_DATA - 6 .comment 00000026 00000000 00000000 0001313c 2**0 + 6 .comment 00000026 00000000 00000000 00013144 2**0 CONTENTS, READONLY - 7 .debug_aranges 00000e18 00000000 00000000 00013168 2**3 + 7 .debug_aranges 00000e18 00000000 00000000 00013170 2**3 CONTENTS, READONLY, DEBUGGING - 8 .debug_pubnames 000017c4 00000000 00000000 00013f80 2**0 + 8 .debug_pubnames 000017c0 00000000 00000000 00013f88 2**0 CONTENTS, READONLY, DEBUGGING - 9 .debug_info 000251e5 00000000 00000000 00015744 2**0 + 9 .debug_info 000252ff 00000000 00000000 00015748 2**0 CONTENTS, READONLY, DEBUGGING - 10 .debug_abbrev 0000823c 00000000 00000000 0003a929 2**0 + 10 .debug_abbrev 00008258 00000000 00000000 0003aa47 2**0 CONTENTS, READONLY, DEBUGGING - 11 .debug_line 00015b9c 00000000 00000000 00042b65 2**0 + 11 .debug_line 00015d29 00000000 00000000 00042c9f 2**0 CONTENTS, READONLY, DEBUGGING - 12 .debug_frame 00001ff4 00000000 00000000 00058704 2**2 + 12 .debug_frame 00001ff4 00000000 00000000 000589c8 2**2 CONTENTS, READONLY, DEBUGGING - 13 .debug_str 000023ac 00000000 00000000 0005a6f8 2**0 + 13 .debug_str 000023b5 00000000 00000000 0005a9bc 2**0 CONTENTS, READONLY, DEBUGGING - 14 .debug_loc 0000c785 00000000 00000000 0005caa4 2**0 + 14 .debug_loc 0000c785 00000000 00000000 0005cd71 2**0 CONTENTS, READONLY, DEBUGGING - 15 .debug_alt_sim_info 00000040 00000000 00000000 0006922c 2**2 + 15 .debug_alt_sim_info 00000040 00000000 00000000 000694f8 2**2 CONTENTS, READONLY, DEBUGGING - 16 .debug_ranges 000009e0 00000000 00000000 00069270 2**3 + 16 .debug_ranges 000009e0 00000000 00000000 00069538 2**3 CONTENTS, READONLY, DEBUGGING - 17 .thread_model 00000003 00000000 00000000 0006d43a 2**0 + 17 .thread_model 00000003 00000000 00000000 0006d707 2**0 CONTENTS, READONLY - 18 .cpu 0000000f 00000000 00000000 0006d43d 2**0 + 18 .cpu 0000000f 00000000 00000000 0006d70a 2**0 CONTENTS, READONLY - 19 .qsys 00000001 00000000 00000000 0006d44c 2**0 + 19 .qsys 00000001 00000000 00000000 0006d719 2**0 CONTENTS, READONLY - 20 .simulation_enabled 00000001 00000000 00000000 0006d44d 2**0 + 20 .simulation_enabled 00000001 00000000 00000000 0006d71a 2**0 CONTENTS, READONLY - 21 .stderr_dev 00000009 00000000 00000000 0006d44e 2**0 + 21 .stderr_dev 00000009 00000000 00000000 0006d71b 2**0 CONTENTS, READONLY - 22 .stdin_dev 00000009 00000000 00000000 0006d457 2**0 + 22 .stdin_dev 00000009 00000000 00000000 0006d724 2**0 CONTENTS, READONLY - 23 .stdout_dev 00000009 00000000 00000000 0006d460 2**0 + 23 .stdout_dev 00000009 00000000 00000000 0006d72d 2**0 CONTENTS, READONLY - 24 .sopc_system_name 0000000b 00000000 00000000 0006d469 2**0 + 24 .sopc_system_name 0000000b 00000000 00000000 0006d736 2**0 CONTENTS, READONLY - 25 .quartus_project_dir 00000030 00000000 00000000 0006d474 2**0 + 25 .quartus_project_dir 00000030 00000000 00000000 0006d741 2**0 CONTENTS, READONLY - 26 .sopcinfo 0007d2ad 00000000 00000000 0006d4a4 2**0 + 26 .sopcinfo 0007d2ad 00000000 00000000 0006d771 2**0 CONTENTS, READONLY SYMBOL TABLE: 00000000 l d .entry 00000000 .entry 00000020 l d .exceptions 00000000 .exceptions 000001b4 l d .text 00000000 .text 0000fe98 l d .rodata 00000000 .rodata -000105b4 l d .rwdata 00000000 .rwdata -00013cc4 l d .bss 00000000 .bss +000105bc l d .rwdata 00000000 .rwdata +00013ccc l d .bss 00000000 .bss 00000000 l d .comment 00000000 .comment 00000000 l d .debug_aranges 00000000 .debug_aranges 00000000 l d .debug_pubnames 00000000 .debug_pubnames @@ -93,36 +93,37 @@ 00000000 l df *ABS* 00000000 alt_irq_handler.c 00000000 l df *ABS* 00000000 LCD.c 00000000 l df *ABS* 00000000 hello_world_small.c -000008e4 l F .text 00000044 reset_mem_cancel -00000928 l F .text 000000b4 reset_mem -000009dc l F .text 00000044 reset_pc_cancel -00000a20 l F .text 00000094 reset_pc +000003dc l F .text 000000c0 init +00000758 l F .text 00000044 reset_mem_cancel +0000079c l F .text 000000b4 reset_mem +00000850 l F .text 00000044 reset_pc_cancel +00000894 l F .text 00000094 reset_pc 00000000 l df *ABS* 00000000 hex_encoder.c 00000000 l df *ABS* 00000000 hex_out.c 00000000 l df *ABS* 00000000 input_int.c -000016ac l F .text 000000e8 update_sw_reg -00013ccc l O .bss 00000004 event_code.1400 -00013cd0 l O .bss 00000001 status.1399 +00001520 l F .text 000000e8 update_sw_reg +00013cd4 l O .bss 00000004 event_code.1400 +00013cd8 l O .bss 00000001 status.1399 00000000 l df *ABS* 00000000 inst_decoder.c 00000000 l df *ABS* 00000000 lcd_out.c 00000000 l df *ABS* 00000000 sys_debug.c 00000000 l df *ABS* 00000000 sys_except.c 00000000 l df *ABS* 00000000 sys_memory.c -00013d0c l O .bss 00000100 memory -00013e0c l O .bss 00000400 inst_memory +00013d14 l O .bss 00000100 memory +00013e14 l O .bss 00000400 inst_memory 00000000 l df *ABS* 00000000 sys_prog.c 00000000 l df *ABS* 00000000 sys_register.c 00000000 l df *ABS* 00000000 lib2-divmod.c 000029e0 l F .text 0000007c udivmodsi4 00000000 l df *ABS* 00000000 lib2-mul.c 00000000 l df *ABS* 00000000 ctype_.c -000100e5 l O .rodata 00000180 _ctype_b +000100ed l O .rodata 00000180 _ctype_b 00000000 l df *ABS* 00000000 sprintf.c 00000000 l df *ABS* 00000000 strlen.c 00000000 l df *ABS* 00000000 vfprintf.c 00002cac l F .text 00000058 __sprint_r -000102d6 l O .rodata 00000010 blanks.3452 -000102c6 l O .rodata 00000010 zeroes.3453 +000102de l O .rodata 00000010 blanks.3452 +000102ce l O .rodata 00000010 zeroes.3453 00000000 l df *ABS* 00000000 wsetup.c 00000000 l df *ABS* 00000000 dtoa.c 00004d28 l F .text 00000244 quorem @@ -135,10 +136,10 @@ 00000000 l df *ABS* 00000000 fvwrite.c 00000000 l df *ABS* 00000000 fwalk.c 00000000 l df *ABS* 00000000 impure.c -000105b4 l O .rwdata 00000400 impure_data +000105bc l O .rwdata 00000400 impure_data 00000000 l df *ABS* 00000000 locale.c -00012104 l O .rwdata 00000004 charset -0001030c l O .rodata 00000030 lconv +0001210c l O .rwdata 00000004 charset +00010314 l O .rodata 00000030 lconv 00000000 l df *ABS* 00000000 makebuf.c 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 memchr.c @@ -146,7 +147,7 @@ 00000000 l df *ABS* 00000000 memmove.c 00000000 l df *ABS* 00000000 memset.c 00000000 l df *ABS* 00000000 mprec.c -00010454 l O .rodata 0000000c p05.2458 +0001045c l O .rodata 0000000c p05.2458 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 s_isinfd.c 00000000 l df *ABS* 00000000 s_isnand.c @@ -202,13 +203,13 @@ 0000cbec l F .text 00000060 alt_get_errno 00000000 l df *ABS* 00000000 alt_release_fd.c 00000000 l df *ABS* 00000000 alt_sbrk.c -00012128 l O .rwdata 00000004 heap_end +00012130 l O .rwdata 00000004 heap_end 00000000 l df *ABS* 00000000 alt_usleep.c 00000000 l df *ABS* 00000000 alt_write.c 0000ced4 l F .text 00000060 alt_get_errno 00000000 l df *ABS* 00000000 alt_sys_init.c -00010f70 l O .rwdata 00001060 jtag_uart -00011fd0 l O .rwdata 00000120 lcd_16207_0 +00010f78 l O .rwdata 00001060 jtag_uart +00011fd8 l O .rwdata 00000120 lcd_16207_0 0000cfc4 l F .text 00000038 alt_dev_reg 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_fd.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_init.c @@ -218,7 +219,7 @@ 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_read.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_write.c 00000000 l df *ABS* 00000000 altera_avalon_lcd_16207.c -0001212c l O .rwdata 00000004 colstart +00012134 l O .rwdata 00000004 colstart 0000daa8 l F .text 000000b8 lcd_write_command 0000db60 l F .text 000000d4 lcd_write_data 0000dc34 l F .text 000000d8 lcd_clear_screen @@ -259,24 +260,24 @@ 00008540 g F .text 00000094 _mprec_log10 0000862c g F .text 00000088 __any_on 00009de4 g F .text 00000070 _isatty_r -0001042c g O .rodata 00000028 __mprec_tinytens -00001ca8 g F .text 00000038 inst_load +00010434 g O .rodata 00000028 __mprec_tinytens +00001b1c g F .text 00000038 inst_load 0000ca1c g F .text 0000006c alt_main -00014244 g O .bss 00000100 alt_irq +0001424c g O .bss 00000100 alt_irq 00009e54 g F .text 00000078 _lseek_r -0001213c g *ABS* 00000000 __flash_rwdata_start +00012144 g *ABS* 00000000 __flash_rwdata_start 0000b730 g F .text 00000088 __eqdf2 -000144d4 g *ABS* 00000000 __alt_heap_start +000144dc g *ABS* 00000000 __alt_heap_start 0000989c g F .text 00000068 __sseek 000067b8 g F .text 000000a4 __sinit -00002884 g F .text 00000084 inc_pc -000014fc g F .text 00000090 clear_block +00002734 g F .text 00000084 inc_pc +00001370 g F .text 00000090 clear_block 000074c4 g F .text 00000084 _setlocale_r -000004d8 g F .text 000000e4 store_value +0000049c g F .text 000000e4 store_value 000068b8 g F .text 0000009c __sfmoreglue 0000caa8 g F .text 00000020 __malloc_unlock -00001d74 g F .text 0000005c inst_add -00001fc8 g F .text 00000050 inst_jine +00001be8 g F .text 0000005c inst_add +00001e3c g F .text 00000050 inst_jine 00007fbc g F .text 000000e0 memmove 000068a8 g F .text 00000010 _cleanup 000086b4 g F .text 000000bc _Balloc @@ -286,12 +287,13 @@ 00000000 g F .entry 0000000c __reset 00000020 g *ABS* 00000000 __flash_exceptions_start 00009d70 g F .text 00000074 _fstat_r -00013cf0 g O .bss 00000004 errno -00013cf8 g O .bss 00000004 alt_argv -0001a0f0 g *ABS* 00000000 _gp +00013cf8 g O .bss 00000004 errno +00013d00 g O .bss 00000004 alt_argv +0001a0f8 g *ABS* 00000000 _gp 0000cd80 g F .text 00000030 usleep -00010df0 g O .rwdata 00000180 alt_fd_list -00001bdc g F .text 0000005c inst_jump +00010df8 g O .rwdata 00000180 alt_fd_list +00001a50 g F .text 0000005c inst_jump +00002478 g F .text 0000003c delay10ms 0000f808 g F .text 00000094 alt_find_dev 00007f1c g F .text 000000a0 memcpy 0000689c g F .text 0000000c _cleanup_r @@ -300,71 +302,71 @@ 0000b950 g F .text 00000088 __ltdf2 0000fe98 g *ABS* 00000000 __DTOR_END__ 00008490 g F .text 000000b0 __ratio -00000724 g F .text 00000150 run_proc +00002840 g F .text 00000150 run_proc 0000d644 g F .text 00000224 altera_avalon_jtag_uart_read 00000000 w *UND* 00000000 malloc 00002b1c g F .text 00000008 __udivsi3 0000c6b8 g F .text 000000c4 isatty -0001033c g O .rodata 000000c8 __mprec_tens +00010344 g O .rodata 000000c8 __mprec_tens 000074a0 g F .text 00000008 __locale_charset -00013ce4 g O .bss 00000004 __malloc_top_pad -00012100 g O .rwdata 00000004 __mb_cur_max +00013cec g O .bss 00000004 __malloc_top_pad +00012108 g O .rwdata 00000004 __mb_cur_max 000074a8 g F .text 0000000c _localeconv_r 00008e18 g F .text 0000003c __i2b 00006e84 g F .text 0000049c __sfvwrite_r 00009824 g F .text 00000070 _sbrk_r -00002060 g F .text 00000028 lcd_caret_reset -00001f78 g F .text 00000050 inst_jieq +00001ed4 g F .text 00000028 lcd_caret_reset +00001dec g F .text 00000050 inst_jieq 00009ecc g F .text 00000078 _read_r -000109b4 g O .rwdata 0000000c __lc_ctype -00012120 g O .rwdata 00000004 alt_max_fd +000109bc g O .rwdata 0000000c __lc_ctype +00012128 g O .rwdata 00000004 alt_max_fd 0000c1f4 g F .text 00000138 __unpack_d 00009c4c g F .text 00000110 _fclose_r -000021f8 g F .text 00000170 convertRegName +0000206c g F .text 00000170 convertRegName 0000671c g F .text 00000034 fflush -00013ce8 g O .bss 00000004 __malloc_max_sbrked_mem -00001c38 g F .text 00000070 inst_output +00013cf0 g O .bss 00000004 __malloc_max_sbrked_mem +00001aac g F .text 00000070 inst_output 0000b0a0 g F .text 00000074 __adddf3 00008370 g F .text 00000120 __b2d -00002758 g F .text 0000009c memory_store +00002608 g F .text 0000009c memory_store 0000a5b0 g F .text 00000660 __umoddi3 0000c8c8 g F .text 000000f4 lseek -000120fc g O .rwdata 00000004 _global_impure_ptr +00012104 g O .rwdata 00000004 _global_impure_ptr 000091c0 g F .text 000005f4 _realloc_r -000144d4 g *ABS* 00000000 __bss_end +000144dc g *ABS* 00000000 __bss_end 0000f118 g F .text 000000f8 alt_iic_isr_register 0000f6dc g F .text 0000010c alt_tick -00013cc4 g O .bss 00000005 stack +00013ccc g O .bss 00000005 stack 00009f44 g F .text 0000066c __udivdi3 -00010404 g O .rodata 00000028 __mprec_bigtens +0001040c g O .rodata 00000028 __mprec_bigtens 000090a0 g F .text 00000120 __s2b 0000bba8 g F .text 00000194 __floatunsidf 00008290 g F .text 00000060 __mcmp -000120f4 g O .rwdata 00000004 __ctype_ptr +000120fc g O .rwdata 00000004 __ctype_ptr 00006884 g F .text 00000018 __fp_lock_all 0000f0d0 g F .text 00000048 alt_ic_irq_enabled 0000f644 g F .text 00000098 alt_alarm_stop -00013d00 g O .bss 00000004 alt_irq_active -00001944 g F .text 0000004c inst_fetch +00013d08 g O .bss 00000004 alt_irq_active +000017b8 g F .text 0000004c inst_fetch 000000ec g F .exceptions 000000c8 alt_irq_handler -00010dc8 g O .rwdata 00000028 alt_dev_null +00010dd0 g O .rwdata 00000028 alt_dev_null 0000ed30 g F .text 0000001c alt_dcache_flush_all 0000815c g F .text 00000070 __hi0bits -00014234 g O .bss 0000000f global_registers +0001423c g O .bss 0000000f global_registers 0000bad0 g F .text 000000d8 __fixdfsi -00001ea0 g F .text 0000006c inst_jeq -0001213c g *ABS* 00000000 __ram_rwdata_end +00001d14 g F .text 0000006c inst_jeq +00012144 g *ABS* 00000000 __ram_rwdata_end 00000000 g *ABS* 00000000 __alt_mem_onchip_memory -00012118 g O .rwdata 00000008 alt_dev_list +00012120 g O .rwdata 00000008 alt_dev_list 0000cdb0 g F .text 00000124 write -000105b4 g *ABS* 00000000 __ram_rodata_end +000105bc g *ABS* 00000000 __ram_rodata_end 0000c580 g F .text 000000d8 fstat 00008f80 g F .text 00000120 __pow5mult -00013cd8 g O .bss 00000004 __nlocale_changed +00013ce0 g O .bss 00000004 __nlocale_changed 00002b24 g F .text 00000008 __umodsi3 -000144d4 g *ABS* 00000000 end +000144dc g *ABS* 00000000 end 0000e2e4 g F .text 0000053c altera_avalon_lcd_16207_write -00002908 g F .text 00000050 add_pc +000027b8 g F .text 00000050 add_pc 0000d144 g F .text 000000c0 altera_avalon_jtag_uart_init 0000fe94 g *ABS* 00000000 __CTOR_LIST__ 00032000 g *ABS* 00000000 __alt_stack_pointer @@ -375,46 +377,44 @@ 00002d04 g F .text 00001ec4 ___vfprintf_internal_r 00002bd8 g F .text 00000060 _sprintf_r 00006b70 g F .text 00000314 _free_r -000003dc g F .text 0000003c wait 0000fc84 g F .text 000001b0 __call_exitprocs -00013cdc g O .bss 00000004 __mlocale_changed -0000158c g F .text 000000f8 print_number -0001210c g O .rwdata 00000004 __malloc_sbrk_base +00013ce4 g O .bss 00000004 __mlocale_changed +00001400 g F .text 000000f8 print_number +00012114 g O .rwdata 00000004 __malloc_sbrk_base 000001b4 g F .text 0000003c _start -00013d04 g O .bss 00000004 _alt_tick_rate -0000136c g F .text 00000190 print_block +00013d0c g O .bss 00000004 _alt_tick_rate +000011e0 g F .text 00000190 print_block 00008a78 g F .text 0000014c __lshift -00013d08 g O .bss 00000004 _alt_nticks +00013d10 g O .bss 00000004 _alt_nticks 0000cac8 g F .text 00000124 read 0000cf68 g F .text 0000005c alt_sys_init 0000fb4c g F .text 00000134 __register_exitproc 00008bc4 g F .text 00000254 __multiply -000020b0 g F .text 00000030 lcd_print +00001f24 g F .text 00000030 lcd_print 0000d4dc g F .text 00000074 altera_avalon_jtag_uart_close 00002b2c g F .text 00000038 __mulsi3 -000105b4 g *ABS* 00000000 __ram_rwdata_start +000105bc g *ABS* 00000000 __ram_rwdata_start 0000fe98 g *ABS* 00000000 __ram_rodata_start -0001420c g O .bss 00000028 __malloc_current_mallinfo +00014214 g O .bss 00000028 __malloc_current_mallinfo 00008770 g F .text 0000017c __d2b 0000cffc g F .text 00000058 altera_avalon_jtag_uart_read_fd 0000f9bc g F .text 000000d0 alt_get_fd -00002604 g F .text 00000040 panic +000024b4 g F .text 00000040 panic 0000ebd4 g F .text 0000015c alt_busy_sleep 0000c32c g F .text 000000c8 __fpcmp_parts_d 00009bdc g F .text 00000070 _close_r 0000fad8 g F .text 00000074 memcmp 0000d0ac g F .text 00000048 altera_avalon_jtag_uart_close_fd -000144d4 g *ABS* 00000000 __alt_stack_base +000144dc g *ABS* 00000000 __alt_stack_base 0000d0f4 g F .text 00000050 altera_avalon_jtag_uart_ioctl_fd -00001d18 g F .text 0000005c inst_delay -00001ce0 g F .text 00000038 inst_store -000020e0 g F .text 000000ac display_inst +00001b8c g F .text 0000005c inst_delay +00001b54 g F .text 00000038 inst_store +00001f54 g F .text 000000ac display_inst 00004bec g F .text 0000013c __swsetup_r -00000418 g F .text 000000c0 init 0000b4d8 g F .text 00000258 __divdf3 00006954 g F .text 000000f0 __sfp 000085d4 g F .text 00000058 __copybits -000109c0 g O .rwdata 00000408 __malloc_av_ +000109c8 g O .rwdata 00000408 __malloc_av_ 000067b4 g F .text 00000004 __sinit_lock_release 0000b114 g F .text 000003c4 __muldf3 00009980 g F .text 00000060 __sread @@ -424,28 +424,28 @@ 0000ccc4 g F .text 000000bc sbrk 00006520 g F .text 000001fc _fflush_r 00009b14 g F .text 000000c8 _calloc_r -00013cc4 g *ABS* 00000000 __bss_start +00013ccc g *ABS* 00000000 __bss_start 000001f0 g F .text 0000009c LCD_Init 0000809c g F .text 00000098 memset -00002018 g F .text 00000048 lcd_init -00000ab4 g F .text 00000288 main -00013cfc g O .bss 00000004 alt_envp -00013cec g O .bss 00000004 __malloc_max_total_mem +00001e8c g F .text 00000048 lcd_init +00000928 g F .text 00000288 main +00013d04 g O .bss 00000004 alt_envp +00013cf4 g O .bss 00000004 __malloc_max_total_mem 0000d054 g F .text 00000058 altera_avalon_jtag_uart_write_fd 0000e8ec g F .text 0000013c altera_avalon_lcd_16207_init 00000314 g F .text 0000003c LCD_Line2 -00000d3c g F .text 000001dc encodeNumHex +00000bb0 g F .text 000001dc encodeNumHex 00009894 g F .text 00000008 __sclose 00032000 g *ABS* 00000000 __alt_heap_limit 00009d5c g F .text 00000014 fclose -00014344 g O .bss 00000190 _atexit0 +0001434c g O .bss 00000190 _atexit0 00004f6c g F .text 000015b4 _dtoa_r 000076f8 g F .text 00000740 _malloc_r -00012124 g O .rwdata 00000004 alt_errno +0001212c g O .rwdata 00000004 alt_errno 000073e8 g F .text 000000b8 _fwalk -00001684 g F .text 00000028 in_int +000014f8 g F .text 00000028 in_int 00002a5c g F .text 00000060 __divsi3 -00010460 g O .rodata 00000014 __thenan_df +00010468 g O .rodata 00000014 __thenan_df 00006a44 g F .text 0000012c _malloc_trim_r 0000fe98 g *ABS* 00000000 __CTOR_END__ 000099e0 g F .text 000000bc strcmp @@ -456,77 +456,77 @@ 0000028c g F .text 00000088 LCD_Show_Text 0000cc4c g F .text 00000078 alt_release_fd 00002b64 g F .text 00000074 sprintf -00010474 g O .rodata 00000100 __clz_tab -00013ce0 g O .bss 00000004 _PathLocale +0001047c g O .rodata 00000100 __clz_tab +00013ce8 g O .bss 00000004 _PathLocale 0000fa8c g F .text 00000014 atexit -00002958 g F .text 00000038 set_pc +00002808 g F .text 00000038 set_pc 00009a9c g F .text 00000078 _write_r 00007548 g F .text 0000001c setlocale -00000874 g F .text 00000070 print_change_memory -00001840 g F .text 00000104 push_int -0000218c g F .text 0000006c display_mem -000120f8 g O .rwdata 00000004 _impure_ptr -00013cf4 g O .bss 00000004 alt_argc -00013cd4 g O .bss 00000004 global_current_memory +000006e8 g F .text 00000070 print_change_memory +000016b4 g F .text 00000104 push_int +00002000 g F .text 0000006c display_mem +00012100 g O .rwdata 00000004 _impure_ptr +00013cfc g O .bss 00000004 alt_argc +00013cdc g O .bss 00000004 global_current_memory 0000eec4 g F .text 00000064 _do_dtors 00000020 g .exceptions 00000000 alt_irq_entry 000082f0 g F .text 00000080 __ulp 000097b4 g F .text 00000040 __isinfd 0000686c g F .text 00000018 __fp_unlock_all 0000ea28 g F .text 00000058 altera_avalon_lcd_16207_write_fd -00012110 g O .rwdata 00000008 alt_fs_list +00012118 g O .rwdata 00000008 alt_fs_list 00000020 g *ABS* 00000000 __ram_exceptions_start 000074b4 g F .text 00000010 localeconv -00000f18 g F .text 00000454 encodeLatHex -00002368 g F .text 0000029c convertInstName +00000d8c g F .text 00000454 encodeLatHex +000021dc g F .text 0000029c convertInstName 0000ef44 g F .text 00000050 alt_ic_isr_register -0001213c g *ABS* 00000000 _edata -000144d4 g *ABS* 00000000 _end +00012144 g *ABS* 00000000 _edata +000144dc g *ABS* 00000000 _end 000001b4 g *ABS* 00000000 __ram_exceptions_end 0000d550 g F .text 000000f4 altera_avalon_jtag_uart_ioctl -00002088 g F .text 00000028 lcd_caret_reset2 +00001efc g F .text 00000028 lcd_caret_reset2 0000f030 g F .text 000000a0 alt_ic_irq_disable -00002644 g F .text 0000007c memory_init +000024f4 g F .text 0000007c memory_init 00009904 g F .text 0000007c __swrite -00012108 g O .rwdata 00000004 __malloc_trim_threshold +00012110 g O .rwdata 00000004 __malloc_trim_threshold 0000f7e8 g F .text 00000020 altera_nios2_qsys_irq_init 0000faa0 g F .text 00000038 exit -000027f4 g F .text 00000090 memory_load +000026a4 g F .text 00000090 memory_load 00007320 g F .text 000000c8 _fwalk_reent 000088ec g F .text 0000018c __mdiff 00002abc g F .text 00000060 __modsi3 00032000 g *ABS* 00000000 __alt_data_end 00000020 g F .exceptions 00000000 alt_exception 000067ac g F .text 00000004 __sfp_lock_release -00001990 g F .text 0000024c inst_decode -0000ffe4 g O .rodata 00000101 _ctype_ -00001dd0 g F .text 000000d0 inst_comp +00001804 g F .text 0000024c inst_decode +0000ffec g O .rodata 00000101 _ctype_ +00001c44 g F .text 000000d0 inst_comp 0000fe74 g F .text 00000020 _exit 000097f4 g F .text 00000030 __isnand 00002990 g F .text 00000050 registers_init 0000ea80 g F .text 00000154 alt_alarm_start 0000bd3c g F .text 00000124 __muldi3 00007564 g F .text 00000194 __smakebuf_r -00001f0c g F .text 0000006c inst_jne +00001d80 g F .text 0000006c inst_jne 00002c38 g F .text 00000074 strlen 0000f458 g F .text 0000018c open 0000b8c8 g F .text 00000088 __gedf2 -000005bc g F .text 00000168 store_inst +00000580 g F .text 00000168 store_inst 0000ef28 g F .text 0000001c alt_icache_flush_all -00012130 g O .rwdata 00000004 alt_priority_mask +00012138 g O .rwdata 00000004 alt_priority_mask 0000ef94 g F .text 0000009c alt_ic_irq_enable 00004bc8 g F .text 00000024 __vfprintf_internal 0000b020 g F .text 00000080 __subdf3 000081cc g F .text 000000c4 __lo0bits -00012134 g O .rwdata 00000008 alt_alarm_list +0001213c g O .rwdata 00000008 alt_alarm_list 0000ee60 g F .text 00000064 _do_ctors -000026c0 g F .text 00000050 inst_memory_store +00002570 g F .text 00000050 inst_memory_store 0000c3f4 g F .text 00000100 close -00001794 g F .text 000000ac push_decode +00001608 g F .text 000000ac push_decode 0000c7dc g F .text 00000080 alt_load 0000bee0 g F .text 00000314 __pack_d -000120f0 g O .rwdata 00000001 PUSH_EVENT -00002710 g F .text 00000048 inst_memory_load +000120f8 g O .rwdata 00000001 PUSH_EVENT +000025c0 g F .text 00000048 inst_memory_load 00000000 w *UND* 00000000 free 000067b0 g F .text 00000004 __sinit_lock_acquire 00008e54 g F .text 0000012c __multadd @@ -776,13 +776,13 @@ alt_irq[i].handler(alt_irq[i].context); 12c: e0bffd17 ldw r2,-12(fp) 130: 00c00074 movhi r3,1 - 134: 18d09104 addi r3,r3,16964 + 134: 18d09304 addi r3,r3,16972 138: 100490fa slli r2,r2,3 13c: 10c5883a add r2,r2,r3 140: 11400017 ldw r5,0(r2) 144: e0bffd17 ldw r2,-12(fp) 148: 00c00074 movhi r3,1 - 14c: 18d09104 addi r3,r3,16964 + 14c: 18d09304 addi r3,r3,16972 150: 100490fa slli r2,r2,3 154: 10c5883a add r2,r2,r3 158: 10800104 addi r2,r2,4 @@ -857,7 +857,7 @@ movhi gp, %hi(_gp) 1bc: 06800074 movhi gp,1 ori gp, gp, %lo(_gp) - 1c0: d6a83c14 ori gp,gp,41200 + 1c0: d6a83e14 ori gp,gp,41208 */ #ifndef ALT_SIM_OPTIMIZE /* Log that the BSS is about to be cleared. */ @@ -866,12 +866,12 @@ movhi r2, %hi(__bss_start) 1c4: 00800074 movhi r2,1 ori r2, r2, %lo(__bss_start) - 1c8: 108f3114 ori r2,r2,15556 + 1c8: 108f3314 ori r2,r2,15564 movhi r3, %hi(__bss_end) 1cc: 00c00074 movhi r3,1 ori r3, r3, %lo(__bss_end) - 1d0: 18d13514 ori r3,r3,17620 + 1d0: 18d13714 ori r3,r3,17628 beq r2, r3, 1f 1d4: 10c00326 beq r2,r3,1e4 <_start+0x30> @@ -965,7 +965,7 @@ 0000028c : //------------------------------------------------------------------------- -void LCD_Show_Text(char* Text) +void LCD_Show_Text(const char* Text) { 28c: defffb04 addi sp,sp,-20 290: dfc00415 stw ra,16(sp) @@ -995,7 +995,7 @@ 2dc: 000cd800 call cd80 } //------------------------------------------------------------------------- -void LCD_Show_Text(char* Text) +void LCD_Show_Text(const char* Text) { int i; for(i=0;i: +000003dc : +#include "sys_debug.h" +#include "sys_prog.h" + #define ledrs (volatile int *) LEDRS_BASE -// �v���O�������s��� -enum RunMode { RUN_STOP, RUN_INIT, RUN_PROC, RUN_TERM }; - -void wait(unsigned int s) { - 3dc: defffd04 addi sp,sp,-12 - 3e0: dfc00215 stw ra,8(sp) - 3e4: df000115 stw fp,4(sp) - 3e8: df000104 addi fp,sp,4 - 3ec: e13fff15 stw r4,-4(fp) - usleep(s*10000); - 3f0: e13fff17 ldw r4,-4(fp) - 3f4: 0149c404 movi r5,10000 - 3f8: 0002b2c0 call 2b2c <__mulsi3> - 3fc: 1009883a mov r4,r2 - 400: 000cd800 call cd80 -} - 404: e037883a mov sp,fp - 408: dfc00117 ldw ra,4(sp) - 40c: df000017 ldw fp,0(sp) - 410: dec00204 addi sp,sp,8 - 414: f800283a ret - -00000418 : - -void init() { - 418: defffe04 addi sp,sp,-8 - 41c: dfc00115 stw ra,4(sp) - 420: df000015 stw fp,0(sp) - 424: d839883a mov fp,sp +static void init() { + 3dc: defffe04 addi sp,sp,-8 + 3e0: dfc00115 stw ra,4(sp) + 3e4: df000015 stw fp,0(sp) + 3e8: d839883a mov fp,sp // lcd lcd_init(); - 428: 00020180 call 2018 + 3ec: 0001e8c0 call 1e8c lcd_print("Starting now..."); - 42c: 01000074 movhi r4,1 - 430: 213fb004 addi r4,r4,-320 - 434: 00020b00 call 20b0 + 3f0: 01000074 movhi r4,1 + 3f4: 213fb004 addi r4,r4,-320 + 3f8: 0001f240 call 1f24 registers_init(); - 438: 00029900 call 2990 + 3fc: 00029900 call 2990 memory_init(); - 43c: 00026440 call 2644 + 400: 00024f40 call 24f4 // hex clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); - 440: 0009883a mov r4,zero - 444: 00014fc0 call 14fc - 448: 01000044 movi r4,1 - 44c: 00014fc0 call 14fc - 450: 01000084 movi r4,2 - 454: 00014fc0 call 14fc + 404: 0009883a mov r4,zero + 408: 00013700 call 1370 + 40c: 01000044 movi r4,1 + 410: 00013700 call 1370 + 414: 01000084 movi r4,2 + 418: 00013700 call 1370 print_block("he", 2, HEX6_7); - 458: 01000074 movhi r4,1 - 45c: 213fb404 addi r4,r4,-304 - 460: 01400084 movi r5,2 - 464: 01800084 movi r6,2 - 468: 000136c0 call 136c + 41c: 01000074 movhi r4,1 + 420: 213fb404 addi r4,r4,-304 + 424: 01400084 movi r5,2 + 428: 01800084 movi r6,2 + 42c: 00011e00 call 11e0 print_block("lo", 2, HEX4_5); - 46c: 01000074 movhi r4,1 - 470: 213fb504 addi r4,r4,-300 - 474: 01400084 movi r5,2 - 478: 01800044 movi r6,1 - 47c: 000136c0 call 136c + 430: 01000074 movhi r4,1 + 434: 213fb504 addi r4,r4,-300 + 438: 01400084 movi r5,2 + 43c: 01800044 movi r6,1 + 440: 00011e00 call 11e0 print_block("you1", 4, HEX0_3); - 480: 01000074 movhi r4,1 - 484: 213fb604 addi r4,r4,-296 - 488: 01400104 movi r5,4 - 48c: 000d883a mov r6,zero - 490: 000136c0 call 136c - wait(200); - 494: 01003204 movi r4,200 - 498: 00003dc0 call 3dc + 444: 01000074 movhi r4,1 + 448: 213fb604 addi r4,r4,-296 + 44c: 01400104 movi r5,4 + 450: 000d883a mov r6,zero + 454: 00011e00 call 11e0 + delay10ms(200); + 458: 01003204 movi r4,200 + 45c: 00024780 call 2478 clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); - 49c: 0009883a mov r4,zero - 4a0: 00014fc0 call 14fc - 4a4: 01000044 movi r4,1 - 4a8: 00014fc0 call 14fc - 4ac: 01000084 movi r4,2 - 4b0: 00014fc0 call 14fc + 460: 0009883a mov r4,zero + 464: 00013700 call 1370 + 468: 01000044 movi r4,1 + 46c: 00013700 call 1370 + 470: 01000084 movi r4,2 + 474: 00013700 call 1370 lcd_caret_reset2(); - 4b4: 00020880 call 2088 + 478: 0001efc0 call 1efc lcd_print("Ok!"); - 4b8: 01000074 movhi r4,1 - 4bc: 213fb804 addi r4,r4,-288 - 4c0: 00020b00 call 20b0 + 47c: 01000074 movhi r4,1 + 480: 213fb804 addi r4,r4,-288 + 484: 0001f240 call 1f24 } - 4c4: e037883a mov sp,fp - 4c8: dfc00117 ldw ra,4(sp) - 4cc: df000017 ldw fp,0(sp) - 4d0: dec00204 addi sp,sp,8 - 4d4: f800283a ret + 488: e037883a mov sp,fp + 48c: dfc00117 ldw ra,4(sp) + 490: df000017 ldw fp,0(sp) + 494: dec00204 addi sp,sp,8 + 498: f800283a ret -000004d8 : +0000049c : char stack[5]; void store_value(){ - 4d8: defffb04 addi sp,sp,-20 - 4dc: dfc00415 stw ra,16(sp) - 4e0: df000315 stw fp,12(sp) - 4e4: df000304 addi fp,sp,12 + 49c: defffb04 addi sp,sp,-20 + 4a0: dfc00415 stw ra,16(sp) + 4a4: df000315 stw fp,12(sp) + 4a8: df000304 addi fp,sp,12 unsigned int memi = global_registers[Ssw_memi]; - 4e8: 00800074 movhi r2,1 - 4ec: 10908d04 addi r2,r2,16948 - 4f0: 10800283 ldbu r2,10(r2) - 4f4: 10803fcc andi r2,r2,255 - 4f8: 1080201c xori r2,r2,128 - 4fc: 10bfe004 addi r2,r2,-128 - 500: e0bffd15 stw r2,-12(fp) + 4ac: 00800074 movhi r2,1 + 4b0: 10908f04 addi r2,r2,16956 + 4b4: 10800283 ldbu r2,10(r2) + 4b8: 10803fcc andi r2,r2,255 + 4bc: 1080201c xori r2,r2,128 + 4c0: 10bfe004 addi r2,r2,-128 + 4c4: e0bffd15 stw r2,-12(fp) memory_store(memi, Ssw_data); - 504: e13ffd17 ldw r4,-12(fp) - 508: 014001c4 movi r5,7 - 50c: 00027580 call 2758 + 4c8: e13ffd17 ldw r4,-12(fp) + 4cc: 014001c4 movi r5,7 + 4d0: 00026080 call 2608 { // �f�o�b�N�\�� char buf[5]; sprintf(buf, "%02x", (unsigned char)memi); - 510: e0bffd17 ldw r2,-12(fp) - 514: 11803fcc andi r6,r2,255 - 518: e13ffe04 addi r4,fp,-8 - 51c: 01400074 movhi r5,1 - 520: 297fb904 addi r5,r5,-284 - 524: 0002b640 call 2b64 + 4d4: e0bffd17 ldw r2,-12(fp) + 4d8: 11803fcc andi r6,r2,255 + 4dc: e13ffe04 addi r4,fp,-8 + 4e0: 01400074 movhi r5,1 + 4e4: 297fb904 addi r5,r5,-284 + 4e8: 0002b640 call 2b64 print_block(buf, 2, HEX6_7); - 528: e13ffe04 addi r4,fp,-8 - 52c: 01400084 movi r5,2 - 530: 01800084 movi r6,2 - 534: 000136c0 call 136c + 4ec: e13ffe04 addi r4,fp,-8 + 4f0: 01400084 movi r5,2 + 4f4: 01800084 movi r6,2 + 4f8: 00011e00 call 11e0 print_block("--", 2, HEX4_5); - 538: 01000074 movhi r4,1 - 53c: 213fbb04 addi r4,r4,-276 - 540: 01400084 movi r5,2 - 544: 01800044 movi r6,1 - 548: 000136c0 call 136c + 4fc: 01000074 movhi r4,1 + 500: 213fbb04 addi r4,r4,-276 + 504: 01400084 movi r5,2 + 508: 01800044 movi r6,1 + 50c: 00011e00 call 11e0 sprintf(buf, "%04d", global_registers[Ssw_data]); - 54c: 00800074 movhi r2,1 - 550: 10908d04 addi r2,r2,16948 - 554: 108001c3 ldbu r2,7(r2) - 558: 11803fcc andi r6,r2,255 - 55c: 3180201c xori r6,r6,128 - 560: 31bfe004 addi r6,r6,-128 - 564: e13ffe04 addi r4,fp,-8 - 568: 01400074 movhi r5,1 - 56c: 297fbc04 addi r5,r5,-272 - 570: 0002b640 call 2b64 + 510: 00800074 movhi r2,1 + 514: 10908f04 addi r2,r2,16956 + 518: 108001c3 ldbu r2,7(r2) + 51c: 11803fcc andi r6,r2,255 + 520: 3180201c xori r6,r6,128 + 524: 31bfe004 addi r6,r6,-128 + 528: e13ffe04 addi r4,fp,-8 + 52c: 01400074 movhi r5,1 + 530: 297fbc04 addi r5,r5,-272 + 534: 0002b640 call 2b64 print_block(buf, 4, HEX0_3); - 574: e13ffe04 addi r4,fp,-8 - 578: 01400104 movi r5,4 - 57c: 000d883a mov r6,zero - 580: 000136c0 call 136c + 538: e13ffe04 addi r4,fp,-8 + 53c: 01400104 movi r5,4 + 540: 000d883a mov r6,zero + 544: 00011e00 call 11e0 display_mem((unsigned char)memi, global_registers[Ssw_data]); - 584: e0bffd17 ldw r2,-12(fp) - 588: 11003fcc andi r4,r2,255 - 58c: 00800074 movhi r2,1 - 590: 10908d04 addi r2,r2,16948 - 594: 108001c3 ldbu r2,7(r2) - 598: 11403fcc andi r5,r2,255 - 59c: 2940201c xori r5,r5,128 - 5a0: 297fe004 addi r5,r5,-128 - 5a4: 000218c0 call 218c + 548: e0bffd17 ldw r2,-12(fp) + 54c: 11003fcc andi r4,r2,255 + 550: 00800074 movhi r2,1 + 554: 10908f04 addi r2,r2,16956 + 558: 108001c3 ldbu r2,7(r2) + 55c: 11403fcc andi r5,r2,255 + 560: 2940201c xori r5,r5,128 + 564: 297fe004 addi r5,r5,-128 + 568: 00020000 call 2000 } } - 5a8: e037883a mov sp,fp - 5ac: dfc00117 ldw ra,4(sp) - 5b0: df000017 ldw fp,0(sp) - 5b4: dec00204 addi sp,sp,8 - 5b8: f800283a ret + 56c: e037883a mov sp,fp + 570: dfc00117 ldw ra,4(sp) + 574: df000017 ldw fp,0(sp) + 578: dec00204 addi sp,sp,8 + 57c: f800283a ret -000005bc : +00000580 : void store_inst(){ - 5bc: defff904 addi sp,sp,-28 - 5c0: dfc00615 stw ra,24(sp) - 5c4: df000515 stw fp,20(sp) - 5c8: df000504 addi fp,sp,20 + 580: defff904 addi sp,sp,-28 + 584: dfc00615 stw ra,24(sp) + 588: df000515 stw fp,20(sp) + 58c: df000504 addi fp,sp,20 char reg_index; unsigned int stored_pc; struct InstRec inst_rec; // �K�v�ȏ��̎擾 inst = global_registers[Ssw_inst]; - 5cc: 00800074 movhi r2,1 - 5d0: 10908d04 addi r2,r2,16948 - 5d4: 10800203 ldbu r2,8(r2) - 5d8: e0bffc85 stb r2,-14(fp) + 590: 00800074 movhi r2,1 + 594: 10908f04 addi r2,r2,16956 + 598: 10800203 ldbu r2,8(r2) + 59c: e0bffc85 stb r2,-14(fp) mem_index = global_registers[Ssw_memi]; - 5dc: 00800074 movhi r2,1 - 5e0: 10908d04 addi r2,r2,16948 - 5e4: 10800283 ldbu r2,10(r2) - 5e8: e0bffc45 stb r2,-15(fp) + 5a0: 00800074 movhi r2,1 + 5a4: 10908f04 addi r2,r2,16956 + 5a8: 10800283 ldbu r2,10(r2) + 5ac: e0bffc45 stb r2,-15(fp) reg_index = global_registers[Ssw_regi]; - 5ec: 00800074 movhi r2,1 - 5f0: 10908d04 addi r2,r2,16948 - 5f4: 10800243 ldbu r2,9(r2) - 5f8: e0bffc05 stb r2,-16(fp) + 5b0: 00800074 movhi r2,1 + 5b4: 10908f04 addi r2,r2,16956 + 5b8: 10800243 ldbu r2,9(r2) + 5bc: e0bffc05 stb r2,-16(fp) inst_rec.inst = (unsigned int)inst; - 5fc: e0bffc83 ldbu r2,-14(fp) - 600: 108003cc andi r2,r2,15 - 604: 10803fcc andi r2,r2,255 - 608: 110003cc andi r4,r2,15 - 60c: e0fffd17 ldw r3,-12(fp) - 610: 00bffc04 movi r2,-16 - 614: 1884703a and r2,r3,r2 - 618: 1104b03a or r2,r2,r4 - 61c: e0bffd15 stw r2,-12(fp) + 5c0: e0bffc83 ldbu r2,-14(fp) + 5c4: 108003cc andi r2,r2,15 + 5c8: 10803fcc andi r2,r2,255 + 5cc: 110003cc andi r4,r2,15 + 5d0: e0fffd17 ldw r3,-12(fp) + 5d4: 00bffc04 movi r2,-16 + 5d8: 1884703a and r2,r3,r2 + 5dc: 1104b03a or r2,r2,r4 + 5e0: e0bffd15 stw r2,-12(fp) inst_rec.memi = (unsigned int)mem_index; - 620: e0bffc43 ldbu r2,-15(fp) - 624: 108003cc andi r2,r2,15 - 628: 10803fcc andi r2,r2,255 - 62c: 108003cc andi r2,r2,15 - 630: 1008913a slli r4,r2,4 - 634: e0fffd17 ldw r3,-12(fp) - 638: 00bfc3c4 movi r2,-241 - 63c: 1884703a and r2,r3,r2 - 640: 1104b03a or r2,r2,r4 - 644: e0bffd15 stw r2,-12(fp) + 5e4: e0bffc43 ldbu r2,-15(fp) + 5e8: 108003cc andi r2,r2,15 + 5ec: 10803fcc andi r2,r2,255 + 5f0: 108003cc andi r2,r2,15 + 5f4: 1008913a slli r4,r2,4 + 5f8: e0fffd17 ldw r3,-12(fp) + 5fc: 00bfc3c4 movi r2,-241 + 600: 1884703a and r2,r3,r2 + 604: 1104b03a or r2,r2,r4 + 608: e0bffd15 stw r2,-12(fp) inst_rec.regi = (unsigned int)reg_index; - 648: e0bffc03 ldbu r2,-16(fp) - 64c: 108003cc andi r2,r2,15 - 650: 10803fcc andi r2,r2,255 - 654: 108003cc andi r2,r2,15 - 658: 1008923a slli r4,r2,8 - 65c: e0fffd17 ldw r3,-12(fp) - 660: 00bc3fc4 movi r2,-3841 - 664: 1884703a and r2,r3,r2 - 668: 1104b03a or r2,r2,r4 - 66c: e0bffd15 stw r2,-12(fp) + 60c: e0bffc03 ldbu r2,-16(fp) + 610: 108003cc andi r2,r2,15 + 614: 10803fcc andi r2,r2,255 + 618: 108003cc andi r2,r2,15 + 61c: 1008923a slli r4,r2,8 + 620: e0fffd17 ldw r3,-12(fp) + 624: 00bc3fc4 movi r2,-3841 + 628: 1884703a and r2,r3,r2 + 62c: 1104b03a or r2,r2,r4 + 630: e0bffd15 stw r2,-12(fp) // �X�g�A���� stored_pc = (unsigned char)global_registers[Spc]; - 670: 00800074 movhi r2,1 - 674: 10908d04 addi r2,r2,16948 - 678: 10800043 ldbu r2,1(r2) - 67c: 10803fcc andi r2,r2,255 - 680: e0bffb15 stw r2,-20(fp) + 634: 00800074 movhi r2,1 + 638: 10908f04 addi r2,r2,16956 + 63c: 10800043 ldbu r2,1(r2) + 640: 10803fcc andi r2,r2,255 + 644: e0bffb15 stw r2,-20(fp) inst_memory_store((unsigned int)global_registers[Spc], inst_rec); - 684: 00800074 movhi r2,1 - 688: 10908d04 addi r2,r2,16948 - 68c: 10800043 ldbu r2,1(r2) - 690: 11003fcc andi r4,r2,255 - 694: 2100201c xori r4,r4,128 - 698: 213fe004 addi r4,r4,-128 - 69c: e17ffd17 ldw r5,-12(fp) - 6a0: 00026c00 call 26c0 + 648: 00800074 movhi r2,1 + 64c: 10908f04 addi r2,r2,16956 + 650: 10800043 ldbu r2,1(r2) + 654: 11003fcc andi r4,r2,255 + 658: 2100201c xori r4,r4,128 + 65c: 213fe004 addi r4,r4,-128 + 660: e17ffd17 ldw r5,-12(fp) + 664: 00025700 call 2570 inc_pc(); - 6a4: 00028840 call 2884 + 668: 00027340 call 2734 { // �f�o�b�N�\�� char buf[5]; sprintf(buf, "%04d", inst_rec.inst); - 6a8: e0bffd17 ldw r2,-12(fp) - 6ac: 108003cc andi r2,r2,15 - 6b0: 11803fcc andi r6,r2,255 - 6b4: e13ffe04 addi r4,fp,-8 - 6b8: 01400074 movhi r5,1 - 6bc: 297fbc04 addi r5,r5,-272 - 6c0: 0002b640 call 2b64 + 66c: e0bffd17 ldw r2,-12(fp) + 670: 108003cc andi r2,r2,15 + 674: 11803fcc andi r6,r2,255 + 678: e13ffe04 addi r4,fp,-8 + 67c: 01400074 movhi r5,1 + 680: 297fbc04 addi r5,r5,-272 + 684: 0002b640 call 2b64 print_block(buf, 4, HEX0_3); - 6c4: e13ffe04 addi r4,fp,-8 - 6c8: 01400104 movi r5,4 - 6cc: 000d883a mov r6,zero - 6d0: 000136c0 call 136c + 688: e13ffe04 addi r4,fp,-8 + 68c: 01400104 movi r5,4 + 690: 000d883a mov r6,zero + 694: 00011e00 call 11e0 sprintf(buf, "%02x", (unsigned char)global_registers[Spc]); - 6d4: 00800074 movhi r2,1 - 6d8: 10908d04 addi r2,r2,16948 - 6dc: 10800043 ldbu r2,1(r2) - 6e0: 11803fcc andi r6,r2,255 - 6e4: e13ffe04 addi r4,fp,-8 - 6e8: 01400074 movhi r5,1 - 6ec: 297fb904 addi r5,r5,-284 - 6f0: 0002b640 call 2b64 + 698: 00800074 movhi r2,1 + 69c: 10908f04 addi r2,r2,16956 + 6a0: 10800043 ldbu r2,1(r2) + 6a4: 11803fcc andi r6,r2,255 + 6a8: e13ffe04 addi r4,fp,-8 + 6ac: 01400074 movhi r5,1 + 6b0: 297fb904 addi r5,r5,-284 + 6b4: 0002b640 call 2b64 print_block(buf, 2, HEX4_5); - 6f4: e13ffe04 addi r4,fp,-8 - 6f8: 01400084 movi r5,2 - 6fc: 01800044 movi r6,1 - 700: 000136c0 call 136c + 6b8: e13ffe04 addi r4,fp,-8 + 6bc: 01400084 movi r5,2 + 6c0: 01800044 movi r6,1 + 6c4: 00011e00 call 11e0 display_inst(inst_rec, stored_pc); - 704: e13ffd17 ldw r4,-12(fp) - 708: e17ffb17 ldw r5,-20(fp) - 70c: 00020e00 call 20e0 + 6c8: e13ffd17 ldw r4,-12(fp) + 6cc: e17ffb17 ldw r5,-20(fp) + 6d0: 0001f540 call 1f54 } } - 710: e037883a mov sp,fp - 714: dfc00117 ldw ra,4(sp) - 718: df000017 ldw fp,0(sp) - 71c: dec00204 addi sp,sp,8 - 720: f800283a ret + 6d4: e037883a mov sp,fp + 6d8: dfc00117 ldw ra,4(sp) + 6dc: df000017 ldw fp,0(sp) + 6e0: dec00204 addi sp,sp,8 + 6e4: f800283a ret -00000724 : -enum RunMode run_proc(enum RunMode mode) { - 724: defff904 addi sp,sp,-28 - 728: dfc00615 stw ra,24(sp) - 72c: df000515 stw fp,20(sp) - 730: df000504 addi fp,sp,20 - 734: e13ffe15 stw r4,-8(fp) - volatile struct InstRec inst_rec; - - if (RUN_INIT == mode) { - 738: e0bffe17 ldw r2,-8(fp) - 73c: 10800058 cmpnei r2,r2,1 - 740: 1000101e bne r2,zero,784 - lcd_caret_reset(); - 744: 00020600 call 2060 - lcd_print("Run...now"); - 748: 01000074 movhi r4,1 - 74c: 213fbe04 addi r4,r4,-264 - 750: 00020b00 call 20b0 - - global_registers[Spc] = 0; - 754: 00800074 movhi r2,1 - 758: 10908d04 addi r2,r2,16948 - 75c: 10000045 stb zero,1(r2) - clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); - 760: 0009883a mov r4,zero - 764: 00014fc0 call 14fc - 768: 01000044 movi r4,1 - 76c: 00014fc0 call 14fc - 770: 01000084 movi r4,2 - 774: 00014fc0 call 14fc - - // �v���O�������s���֑J�� - return RUN_PROC; - 778: 00800084 movi r2,2 - 77c: e0bfff15 stw r2,-4(fp) - 780: 00003606 br 85c - } - - if (RUN_PROC == mode) { - 784: e0bffe17 ldw r2,-8(fp) - 788: 10800098 cmpnei r2,r2,2 - 78c: 1000291e bne r2,zero,834 - // ���߃t�F�b�` - inst_rec = inst_fetch(); - 790: 00019440 call 1944 - 794: e0bffb15 stw r2,-20(fp) - // ���߃f�R�[�h���s - inst_decode(inst_rec); - 798: e13ffb17 ldw r4,-20(fp) - 79c: 00019900 call 1990 - // pc�\�� - { - char buf[5]; - sprintf(buf, "%02x", (unsigned char)global_registers[Spc]); - 7a0: 00800074 movhi r2,1 - 7a4: 10908d04 addi r2,r2,16948 - 7a8: 10800043 ldbu r2,1(r2) - 7ac: 11803fcc andi r6,r2,255 - 7b0: e13ffc04 addi r4,fp,-16 - 7b4: 01400074 movhi r5,1 - 7b8: 297fb904 addi r5,r5,-284 - 7bc: 0002b640 call 2b64 - print_block("pc", 2, HEX6_7); - 7c0: 01000074 movhi r4,1 - 7c4: 213fc104 addi r4,r4,-252 - 7c8: 01400084 movi r5,2 - 7cc: 01800084 movi r6,2 - 7d0: 000136c0 call 136c - print_block(buf, 2, HEX4_5); - 7d4: e13ffc04 addi r4,fp,-16 - 7d8: 01400084 movi r5,2 - 7dc: 01800044 movi r6,1 - 7e0: 000136c0 call 136c - } - - // �f�o�b�N�p - if ( global_registers[Ssw_run] ) wait(100); - 7e4: 00800074 movhi r2,1 - 7e8: 10908d04 addi r2,r2,16948 - 7ec: 10800343 ldbu r2,13(r2) - 7f0: 10803fcc andi r2,r2,255 - 7f4: 1080201c xori r2,r2,128 - 7f8: 10bfe004 addi r2,r2,-128 - 7fc: 1005003a cmpeq r2,r2,zero - 800: 1000021e bne r2,zero,80c - 804: 01001904 movi r4,100 - 808: 00003dc0 call 3dc - - // �v���O�����I������ - if (inst_rec.inst != INST_END) return RUN_PROC; - 80c: e0bffb17 ldw r2,-20(fp) - 810: 108003cc andi r2,r2,15 - 814: 1005003a cmpeq r2,r2,zero - 818: 1000031e bne r2,zero,828 - 81c: 00800084 movi r2,2 - 820: e0bfff15 stw r2,-4(fp) - 824: 00000d06 br 85c - return RUN_TERM; - 828: 008000c4 movi r2,3 - 82c: e0bfff15 stw r2,-4(fp) - 830: 00000a06 br 85c - } - - if (RUN_TERM == mode) { - 834: e0bffe17 ldw r2,-8(fp) - 838: 108000d8 cmpnei r2,r2,3 - 83c: 1000061e bne r2,zero,858 - lcd_caret_reset(); - 840: 00020600 call 2060 - lcd_print("Run...Exit"); - 844: 01000074 movhi r4,1 - 848: 213fc204 addi r4,r4,-248 - 84c: 00020b00 call 20b0 - return RUN_STOP; - 850: e03fff15 stw zero,-4(fp) - 854: 00000106 br 85c - } - - // Default - return RUN_STOP; - 858: e03fff15 stw zero,-4(fp) - 85c: e0bfff17 ldw r2,-4(fp) -} - 860: e037883a mov sp,fp - 864: dfc00117 ldw ra,4(sp) - 868: df000017 ldw fp,0(sp) - 86c: dec00204 addi sp,sp,8 - 870: f800283a ret - -00000874 : +000006e8 : void print_change_memory(unsigned int current_memory) { - 874: defff804 addi sp,sp,-32 - 878: dfc00715 stw ra,28(sp) - 87c: df000615 stw fp,24(sp) - 880: df000604 addi fp,sp,24 - 884: e13fff15 stw r4,-4(fp) + 6e8: defff804 addi sp,sp,-32 + 6ec: dfc00715 stw ra,28(sp) + 6f0: df000615 stw fp,24(sp) + 6f4: df000604 addi fp,sp,24 + 6f8: e13fff15 stw r4,-4(fp) char buf[17]; sprintf(buf, "Current page:%2d", current_memory); - 888: e13ffa04 addi r4,fp,-24 - 88c: 01400074 movhi r5,1 - 890: 297fc504 addi r5,r5,-236 - 894: e1bfff17 ldw r6,-4(fp) - 898: 0002b640 call 2b64 + 6fc: e13ffa04 addi r4,fp,-24 + 700: 01400074 movhi r5,1 + 704: 297fbe04 addi r5,r5,-264 + 708: e1bfff17 ldw r6,-4(fp) + 70c: 0002b640 call 2b64 lcd_caret_reset(); - 89c: 00020600 call 2060 + 710: 0001ed40 call 1ed4 lcd_print("Change program"); - 8a0: 01000074 movhi r4,1 - 8a4: 213fca04 addi r4,r4,-216 - 8a8: 00020b00 call 20b0 + 714: 01000074 movhi r4,1 + 718: 213fc304 addi r4,r4,-244 + 71c: 0001f240 call 1f24 lcd_caret_reset2(); - 8ac: 00020880 call 2088 + 720: 0001efc0 call 1efc lcd_print(buf); - 8b0: e13ffa04 addi r4,fp,-24 - 8b4: 00020b00 call 20b0 + 724: e13ffa04 addi r4,fp,-24 + 728: 0001f240 call 1f24 clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); - 8b8: 0009883a mov r4,zero - 8bc: 00014fc0 call 14fc - 8c0: 01000044 movi r4,1 - 8c4: 00014fc0 call 14fc - 8c8: 01000084 movi r4,2 - 8cc: 00014fc0 call 14fc + 72c: 0009883a mov r4,zero + 730: 00013700 call 1370 + 734: 01000044 movi r4,1 + 738: 00013700 call 1370 + 73c: 01000084 movi r4,2 + 740: 00013700 call 1370 } - 8d0: e037883a mov sp,fp - 8d4: dfc00117 ldw ra,4(sp) - 8d8: df000017 ldw fp,0(sp) - 8dc: dec00204 addi sp,sp,8 - 8e0: f800283a ret + 744: e037883a mov sp,fp + 748: dfc00117 ldw ra,4(sp) + 74c: df000017 ldw fp,0(sp) + 750: dec00204 addi sp,sp,8 + 754: f800283a ret -000008e4 : +00000758 : static void reset_mem_cancel() { - 8e4: defffe04 addi sp,sp,-8 - 8e8: dfc00115 stw ra,4(sp) - 8ec: df000015 stw fp,0(sp) - 8f0: d839883a mov fp,sp + 758: defffe04 addi sp,sp,-8 + 75c: dfc00115 stw ra,4(sp) + 760: df000015 stw fp,0(sp) + 764: d839883a mov fp,sp lcd_caret_reset(); - 8f4: 00020600 call 2060 + 768: 0001ed40 call 1ed4 lcd_print("rewrite all 0?"); - 8f8: 01000074 movhi r4,1 - 8fc: 213fce04 addi r4,r4,-200 - 900: 00020b00 call 20b0 + 76c: 01000074 movhi r4,1 + 770: 213fc704 addi r4,r4,-228 + 774: 0001f240 call 1f24 lcd_caret_reset2(); - 904: 00020880 call 2088 + 778: 0001efc0 call 1efc lcd_print("push again -> NG"); - 908: 01000074 movhi r4,1 - 90c: 213fd204 addi r4,r4,-184 - 910: 00020b00 call 20b0 + 77c: 01000074 movhi r4,1 + 780: 213fcb04 addi r4,r4,-212 + 784: 0001f240 call 1f24 +} + 788: e037883a mov sp,fp + 78c: dfc00117 ldw ra,4(sp) + 790: df000017 ldw fp,0(sp) + 794: dec00204 addi sp,sp,8 + 798: f800283a ret + +0000079c : +static char reset_mem(char confirmed) { + 79c: defffb04 addi sp,sp,-20 + 7a0: dfc00415 stw ra,16(sp) + 7a4: df000315 stw fp,12(sp) + 7a8: df000304 addi fp,sp,12 + 7ac: e13ffe05 stb r4,-8(fp) + if (confirmed == 0) { + 7b0: e0bffe07 ldb r2,-8(fp) + 7b4: 1004c03a cmpne r2,r2,zero + 7b8: 10000b1e bne r2,zero,7e8 + lcd_caret_reset(); + 7bc: 0001ed40 call 1ed4 + lcd_print("rewrite all 0?"); + 7c0: 01000074 movhi r4,1 + 7c4: 213fc704 addi r4,r4,-228 + 7c8: 0001f240 call 1f24 + lcd_caret_reset2(); + 7cc: 0001efc0 call 1efc + lcd_print("push again"); + 7d0: 01000074 movhi r4,1 + 7d4: 213fd004 addi r4,r4,-192 + 7d8: 0001f240 call 1f24 + // �m�F�v�� + return 1; + 7dc: 00800044 movi r2,1 + 7e0: e0bfff15 stw r2,-4(fp) + 7e4: 00001406 br 838 + } else { + int i; + for (i = 0; i < MEM_SIZE; i++){ + 7e8: e03ffd15 stw zero,-12(fp) + 7ec: 00000606 br 808 + memory_store(i,Szero); + 7f0: e13ffd17 ldw r4,-12(fp) + 7f4: 000b883a mov r5,zero + 7f8: 00026080 call 2608 + lcd_print("push again"); + // �m�F�v�� + return 1; + } else { + int i; + for (i = 0; i < MEM_SIZE; i++){ + 7fc: e0bffd17 ldw r2,-12(fp) + 800: 10800044 addi r2,r2,1 + 804: e0bffd15 stw r2,-12(fp) + 808: e0bffd17 ldw r2,-12(fp) + 80c: 10800410 cmplti r2,r2,16 + 810: 103ff71e bne r2,zero,7f0 + memory_store(i,Szero); + } + lcd_caret_reset(); + 814: 0001ed40 call 1ed4 + lcd_print("rewrite all 0?"); + 818: 01000074 movhi r4,1 + 81c: 213fc704 addi r4,r4,-228 + 820: 0001f240 call 1f24 + lcd_caret_reset2(); + 824: 0001efc0 call 1efc + lcd_print("push again -> OK"); + 828: 01000074 movhi r4,1 + 82c: 213fd304 addi r4,r4,-180 + 830: 0001f240 call 1f24 + + // PC���Z�b�g���� + return 0; + 834: e03fff15 stw zero,-4(fp) + 838: e0bfff17 ldw r2,-4(fp) + } +} + 83c: e037883a mov sp,fp + 840: dfc00117 ldw ra,4(sp) + 844: df000017 ldw fp,0(sp) + 848: dec00204 addi sp,sp,8 + 84c: f800283a ret + +00000850 : +static void reset_pc_cancel() { + 850: defffe04 addi sp,sp,-8 + 854: dfc00115 stw ra,4(sp) + 858: df000015 stw fp,0(sp) + 85c: d839883a mov fp,sp + lcd_caret_reset(); + 860: 0001ed40 call 1ed4 + lcd_print("reset pc?"); + 864: 01000074 movhi r4,1 + 868: 213fd804 addi r4,r4,-160 + 86c: 0001f240 call 1f24 + lcd_caret_reset2(); + 870: 0001efc0 call 1efc + lcd_print("push again -> NG"); + 874: 01000074 movhi r4,1 + 878: 213fcb04 addi r4,r4,-212 + 87c: 0001f240 call 1f24 +} + 880: e037883a mov sp,fp + 884: dfc00117 ldw ra,4(sp) + 888: df000017 ldw fp,0(sp) + 88c: dec00204 addi sp,sp,8 + 890: f800283a ret + +00000894 : +static char reset_pc(char confirmed) { + 894: defffc04 addi sp,sp,-16 + 898: dfc00315 stw ra,12(sp) + 89c: df000215 stw fp,8(sp) + 8a0: df000204 addi fp,sp,8 + 8a4: e13ffe05 stb r4,-8(fp) + if (confirmed == 0) { + 8a8: e0bffe07 ldb r2,-8(fp) + 8ac: 1004c03a cmpne r2,r2,zero + 8b0: 10000b1e bne r2,zero,8e0 + lcd_caret_reset(); + 8b4: 0001ed40 call 1ed4 + lcd_print("reset pc?"); + 8b8: 01000074 movhi r4,1 + 8bc: 213fd804 addi r4,r4,-160 + 8c0: 0001f240 call 1f24 + lcd_caret_reset2(); + 8c4: 0001efc0 call 1efc + lcd_print("push again"); + 8c8: 01000074 movhi r4,1 + 8cc: 213fd004 addi r4,r4,-192 + 8d0: 0001f240 call 1f24 + // �m�F�v�� + return 1; + 8d4: 00800044 movi r2,1 + 8d8: e0bfff15 stw r2,-4(fp) + 8dc: 00000c06 br 910 + } else { + global_registers[Spc]=0; + 8e0: 00800074 movhi r2,1 + 8e4: 10908f04 addi r2,r2,16956 + 8e8: 10000045 stb zero,1(r2) + + lcd_caret_reset(); + 8ec: 0001ed40 call 1ed4 + lcd_print("reset pc?"); + 8f0: 01000074 movhi r4,1 + 8f4: 213fd804 addi r4,r4,-160 + 8f8: 0001f240 call 1f24 + lcd_caret_reset2(); + 8fc: 0001efc0 call 1efc + lcd_print("push again -> OK"); + 900: 01000074 movhi r4,1 + 904: 213fd304 addi r4,r4,-180 + 908: 0001f240 call 1f24 + + // PC���Z�b�g���� + return 0; + 90c: e03fff15 stw zero,-4(fp) + 910: e0bfff17 ldw r2,-4(fp) + } } 914: e037883a mov sp,fp 918: dfc00117 ldw ra,4(sp) @@ -1578,2953 +1587,2946 @@ 920: dec00204 addi sp,sp,8 924: f800283a ret -00000928 : -static char reset_mem(char confirmed) { - 928: defffb04 addi sp,sp,-20 - 92c: dfc00415 stw ra,16(sp) - 930: df000315 stw fp,12(sp) - 934: df000304 addi fp,sp,12 - 938: e13ffe05 stb r4,-8(fp) - if (confirmed == 0) { - 93c: e0bffe07 ldb r2,-8(fp) - 940: 1004c03a cmpne r2,r2,zero - 944: 10000b1e bne r2,zero,974 - lcd_caret_reset(); - 948: 00020600 call 2060 - lcd_print("rewrite all 0?"); - 94c: 01000074 movhi r4,1 - 950: 213fce04 addi r4,r4,-200 - 954: 00020b00 call 20b0 - lcd_caret_reset2(); - 958: 00020880 call 2088 - lcd_print("push again"); - 95c: 01000074 movhi r4,1 - 960: 213fd704 addi r4,r4,-164 - 964: 00020b00 call 20b0 - // �m�F�v�� - return 1; - 968: 00800044 movi r2,1 - 96c: e0bfff15 stw r2,-4(fp) - 970: 00001406 br 9c4 - } else { - int i; - for (i = 0; i < MEM_SIZE; i++){ - 974: e03ffd15 stw zero,-12(fp) - 978: 00000606 br 994 - memory_store(i,Szero); - 97c: e13ffd17 ldw r4,-12(fp) - 980: 000b883a mov r5,zero - 984: 00027580 call 2758 - lcd_print("push again"); - // �m�F�v�� - return 1; - } else { - int i; - for (i = 0; i < MEM_SIZE; i++){ - 988: e0bffd17 ldw r2,-12(fp) - 98c: 10800044 addi r2,r2,1 - 990: e0bffd15 stw r2,-12(fp) - 994: e0bffd17 ldw r2,-12(fp) - 998: 10800410 cmplti r2,r2,16 - 99c: 103ff71e bne r2,zero,97c - memory_store(i,Szero); - } - lcd_caret_reset(); - 9a0: 00020600 call 2060 - lcd_print("rewrite all 0?"); - 9a4: 01000074 movhi r4,1 - 9a8: 213fce04 addi r4,r4,-200 - 9ac: 00020b00 call 20b0 - lcd_caret_reset2(); - 9b0: 00020880 call 2088 - lcd_print("push again -> OK"); - 9b4: 01000074 movhi r4,1 - 9b8: 213fda04 addi r4,r4,-152 - 9bc: 00020b00 call 20b0 - - // PC���Z�b�g���� - return 0; - 9c0: e03fff15 stw zero,-4(fp) - 9c4: e0bfff17 ldw r2,-4(fp) - } -} - 9c8: e037883a mov sp,fp - 9cc: dfc00117 ldw ra,4(sp) - 9d0: df000017 ldw fp,0(sp) - 9d4: dec00204 addi sp,sp,8 - 9d8: f800283a ret - -000009dc : -static void reset_pc_cancel() { - 9dc: defffe04 addi sp,sp,-8 - 9e0: dfc00115 stw ra,4(sp) - 9e4: df000015 stw fp,0(sp) - 9e8: d839883a mov fp,sp - lcd_caret_reset(); - 9ec: 00020600 call 2060 - lcd_print("reset pc?"); - 9f0: 01000074 movhi r4,1 - 9f4: 213fdf04 addi r4,r4,-132 - 9f8: 00020b00 call 20b0 - lcd_caret_reset2(); - 9fc: 00020880 call 2088 - lcd_print("push again -> NG"); - a00: 01000074 movhi r4,1 - a04: 213fd204 addi r4,r4,-184 - a08: 00020b00 call 20b0 -} - a0c: e037883a mov sp,fp - a10: dfc00117 ldw ra,4(sp) - a14: df000017 ldw fp,0(sp) - a18: dec00204 addi sp,sp,8 - a1c: f800283a ret - -00000a20 : -static char reset_pc(char confirmed) { - a20: defffc04 addi sp,sp,-16 - a24: dfc00315 stw ra,12(sp) - a28: df000215 stw fp,8(sp) - a2c: df000204 addi fp,sp,8 - a30: e13ffe05 stb r4,-8(fp) - if (confirmed == 0) { - a34: e0bffe07 ldb r2,-8(fp) - a38: 1004c03a cmpne r2,r2,zero - a3c: 10000b1e bne r2,zero,a6c - lcd_caret_reset(); - a40: 00020600 call 2060 - lcd_print("reset pc?"); - a44: 01000074 movhi r4,1 - a48: 213fdf04 addi r4,r4,-132 - a4c: 00020b00 call 20b0 - lcd_caret_reset2(); - a50: 00020880 call 2088 - lcd_print("push again"); - a54: 01000074 movhi r4,1 - a58: 213fd704 addi r4,r4,-164 - a5c: 00020b00 call 20b0 - // �m�F�v�� - return 1; - a60: 00800044 movi r2,1 - a64: e0bfff15 stw r2,-4(fp) - a68: 00000c06 br a9c - } else { - global_registers[Spc]=0; - a6c: 00800074 movhi r2,1 - a70: 10908d04 addi r2,r2,16948 - a74: 10000045 stb zero,1(r2) - - lcd_caret_reset(); - a78: 00020600 call 2060 - lcd_print("reset pc?"); - a7c: 01000074 movhi r4,1 - a80: 213fdf04 addi r4,r4,-132 - a84: 00020b00 call 20b0 - lcd_caret_reset2(); - a88: 00020880 call 2088 - lcd_print("push again -> OK"); - a8c: 01000074 movhi r4,1 - a90: 213fda04 addi r4,r4,-152 - a94: 00020b00 call 20b0 - - // PC���Z�b�g���� - return 0; - a98: e03fff15 stw zero,-4(fp) - a9c: e0bfff17 ldw r2,-4(fp) - } -} - aa0: e037883a mov sp,fp - aa4: dfc00117 ldw ra,4(sp) - aa8: df000017 ldw fp,0(sp) - aac: dec00204 addi sp,sp,8 - ab0: f800283a ret - -00000ab4
: +00000928
: int main() { - ab4: defffc04 addi sp,sp,-16 - ab8: dfc00315 stw ra,12(sp) - abc: df000215 stw fp,8(sp) - ac0: df000204 addi fp,sp,8 + 928: defffc04 addi sp,sp,-16 + 92c: dfc00315 stw ra,12(sp) + 930: df000215 stw fp,8(sp) + 934: df000204 addi fp,sp,8 init(); - ac4: 00004180 call 418 + 938: 00003dc0 call 3dc enum RunMode fRun = RUN_STOP; - ac8: e03fff15 stw zero,-4(fp) + 93c: e03fff15 stw zero,-4(fp) char reset_pc_confirmed = 0; - acc: e03ffec5 stb zero,-5(fp) + 940: e03ffec5 stb zero,-5(fp) char reset_mem_confirmed = 0; - ad0: e03ffe85 stb zero,-6(fp) - ad4: 00000006 br ad8 + 944: e03ffe85 stb zero,-6(fp) + 948: 00000006 br 94c while(1) { // interrupt in_int(); - ad8: 00016840 call 1684 + 94c: 00014f80 call 14f8 // event // CANCEL if (global_registers[Ssw_rw] == 0 - adc: 00800074 movhi r2,1 - ae0: 10908d04 addi r2,r2,16948 - ae4: 10800303 ldbu r2,12(r2) - ae8: 10803fcc andi r2,r2,255 - aec: 1080201c xori r2,r2,128 - af0: 10bfe004 addi r2,r2,-128 - af4: 1005003a cmpeq r2,r2,zero - af8: 10000e1e bne r2,zero,b34 - afc: 00800074 movhi r2,1 - b00: 10883c04 addi r2,r2,8432 - b04: 10800003 ldbu r2,0(r2) - b08: 10803fcc andi r2,r2,255 - b0c: 1080008c andi r2,r2,2 - b10: 1005003a cmpeq r2,r2,zero - b14: 10000c1e bne r2,zero,b48 - b18: 00800074 movhi r2,1 - b1c: 10883c04 addi r2,r2,8432 - b20: 10800003 ldbu r2,0(r2) - b24: 10803fcc andi r2,r2,255 - b28: 1080010c andi r2,r2,4 - b2c: 1004c03a cmpne r2,r2,zero - b30: 1000051e bne r2,zero,b48 + 950: 00800074 movhi r2,1 + 954: 10908f04 addi r2,r2,16956 + 958: 10800303 ldbu r2,12(r2) + 95c: 10803fcc andi r2,r2,255 + 960: 1080201c xori r2,r2,128 + 964: 10bfe004 addi r2,r2,-128 + 968: 1005003a cmpeq r2,r2,zero + 96c: 10000e1e bne r2,zero,9a8 + 970: 00800074 movhi r2,1 + 974: 10883e04 addi r2,r2,8440 + 978: 10800003 ldbu r2,0(r2) + 97c: 10803fcc andi r2,r2,255 + 980: 1080008c andi r2,r2,2 + 984: 1005003a cmpeq r2,r2,zero + 988: 10000c1e bne r2,zero,9bc + 98c: 00800074 movhi r2,1 + 990: 10883e04 addi r2,r2,8440 + 994: 10800003 ldbu r2,0(r2) + 998: 10803fcc andi r2,r2,255 + 99c: 1080010c andi r2,r2,4 + 9a0: 1004c03a cmpne r2,r2,zero + 9a4: 1000051e bne r2,zero,9bc || ((PUSH_EVENT & PUSH_ANY) && !(PUSH_EVENT & PUSH_VALSTR))) { if (reset_mem_confirmed == 1) { - b34: e0bffe87 ldb r2,-6(fp) - b38: 10800058 cmpnei r2,r2,1 - b3c: 1000021e bne r2,zero,b48 + 9a8: e0bffe87 ldb r2,-6(fp) + 9ac: 10800058 cmpnei r2,r2,1 + 9b0: 1000021e bne r2,zero,9bc reset_mem_confirmed = 0; //�m�F�L�����Z�� - b40: e03ffe85 stb zero,-6(fp) + 9b4: e03ffe85 stb zero,-6(fp) reset_mem_cancel(); - b44: 00008e40 call 8e4 + 9b8: 00007580 call 758 } } if (global_registers[Ssw_rw] == 0 - b48: 00800074 movhi r2,1 - b4c: 10908d04 addi r2,r2,16948 - b50: 10800303 ldbu r2,12(r2) - b54: 10803fcc andi r2,r2,255 - b58: 1080201c xori r2,r2,128 - b5c: 10bfe004 addi r2,r2,-128 - b60: 1005003a cmpeq r2,r2,zero - b64: 10000e1e bne r2,zero,ba0 - b68: 00800074 movhi r2,1 - b6c: 10883c04 addi r2,r2,8432 - b70: 10800003 ldbu r2,0(r2) - b74: 10803fcc andi r2,r2,255 - b78: 1080008c andi r2,r2,2 - b7c: 1005003a cmpeq r2,r2,zero - b80: 10000c1e bne r2,zero,bb4 - b84: 00800074 movhi r2,1 - b88: 10883c04 addi r2,r2,8432 - b8c: 10800003 ldbu r2,0(r2) - b90: 10803fcc andi r2,r2,255 - b94: 1080020c andi r2,r2,8 - b98: 1004c03a cmpne r2,r2,zero - b9c: 1000051e bne r2,zero,bb4 + 9bc: 00800074 movhi r2,1 + 9c0: 10908f04 addi r2,r2,16956 + 9c4: 10800303 ldbu r2,12(r2) + 9c8: 10803fcc andi r2,r2,255 + 9cc: 1080201c xori r2,r2,128 + 9d0: 10bfe004 addi r2,r2,-128 + 9d4: 1005003a cmpeq r2,r2,zero + 9d8: 10000e1e bne r2,zero,a14 + 9dc: 00800074 movhi r2,1 + 9e0: 10883e04 addi r2,r2,8440 + 9e4: 10800003 ldbu r2,0(r2) + 9e8: 10803fcc andi r2,r2,255 + 9ec: 1080008c andi r2,r2,2 + 9f0: 1005003a cmpeq r2,r2,zero + 9f4: 10000c1e bne r2,zero,a28 + 9f8: 00800074 movhi r2,1 + 9fc: 10883e04 addi r2,r2,8440 + a00: 10800003 ldbu r2,0(r2) + a04: 10803fcc andi r2,r2,255 + a08: 1080020c andi r2,r2,8 + a0c: 1004c03a cmpne r2,r2,zero + a10: 1000051e bne r2,zero,a28 || ((PUSH_EVENT & PUSH_ANY) && !(PUSH_EVENT & PUSH_INSSTR))) { if (reset_pc_confirmed == 1) { - ba0: e0bffec7 ldb r2,-5(fp) - ba4: 10800058 cmpnei r2,r2,1 - ba8: 1000021e bne r2,zero,bb4 + a14: e0bffec7 ldb r2,-5(fp) + a18: 10800058 cmpnei r2,r2,1 + a1c: 1000021e bne r2,zero,a28 reset_pc_confirmed = 0; //�m�F�L�����Z�� - bac: e03ffec5 stb zero,-5(fp) + a20: e03ffec5 stb zero,-5(fp) reset_pc_cancel(); - bb0: 00009dc0 call 9dc + a24: 00008500 call 850 } } // CONFIRM if (PUSH_EVENT & PUSH_VALSTR) { - bb4: 00800074 movhi r2,1 - bb8: 10883c04 addi r2,r2,8432 - bbc: 10800003 ldbu r2,0(r2) - bc0: 10803fcc andi r2,r2,255 - bc4: 1080010c andi r2,r2,4 - bc8: 1005003a cmpeq r2,r2,zero - bcc: 1000161e bne r2,zero,c28 + a28: 00800074 movhi r2,1 + a2c: 10883e04 addi r2,r2,8440 + a30: 10800003 ldbu r2,0(r2) + a34: 10803fcc andi r2,r2,255 + a38: 1080010c andi r2,r2,4 + a3c: 1005003a cmpeq r2,r2,zero + a40: 1000161e bne r2,zero,a9c // �l�̃X�g�A if (global_registers[Ssw_rw] == 1) { - bd0: 00800074 movhi r2,1 - bd4: 10908d04 addi r2,r2,16948 - bd8: 10800303 ldbu r2,12(r2) - bdc: 10803fcc andi r2,r2,255 - be0: 1080201c xori r2,r2,128 - be4: 10bfe004 addi r2,r2,-128 - be8: 10800058 cmpnei r2,r2,1 - bec: 10000d1e bne r2,zero,c24 + a44: 00800074 movhi r2,1 + a48: 10908f04 addi r2,r2,16956 + a4c: 10800303 ldbu r2,12(r2) + a50: 10803fcc andi r2,r2,255 + a54: 1080201c xori r2,r2,128 + a58: 10bfe004 addi r2,r2,-128 + a5c: 10800058 cmpnei r2,r2,1 + a60: 10000d1e bne r2,zero,a98 //�폜 char res = reset_mem(reset_mem_confirmed);//���m�F�̏��F - bf0: e13ffe87 ldb r4,-6(fp) - bf4: 00009280 call 928 - bf8: e0bffe45 stb r2,-7(fp) + a64: e13ffe87 ldb r4,-6(fp) + a68: 000079c0 call 79c + a6c: e0bffe45 stb r2,-7(fp) if (1 == res) reset_mem_confirmed = 1; //���m�F - bfc: e0bffe47 ldb r2,-7(fp) - c00: 10800058 cmpnei r2,r2,1 - c04: 1000021e bne r2,zero,c10 - c08: 00800044 movi r2,1 - c0c: e0bffe85 stb r2,-6(fp) + a70: e0bffe47 ldb r2,-7(fp) + a74: 10800058 cmpnei r2,r2,1 + a78: 1000021e bne r2,zero,a84 + a7c: 00800044 movi r2,1 + a80: e0bffe85 stb r2,-6(fp) if (0 == res) reset_mem_confirmed = 0; //���Z�b�g�̊m�F - c10: e0bffe47 ldb r2,-7(fp) - c14: 1004c03a cmpne r2,r2,zero - c18: 1000031e bne r2,zero,c28 - c1c: e03ffe85 stb zero,-6(fp) - c20: 00000106 br c28 + a84: e0bffe47 ldb r2,-7(fp) + a88: 1004c03a cmpne r2,r2,zero + a8c: 1000031e bne r2,zero,a9c + a90: e03ffe85 stb zero,-6(fp) + a94: 00000106 br a9c } else { store_value(); - c24: 00004d80 call 4d8 + a98: 000049c0 call 49c } } if (PUSH_EVENT & PUSH_INSSTR) { - c28: 00800074 movhi r2,1 - c2c: 10883c04 addi r2,r2,8432 - c30: 10800003 ldbu r2,0(r2) - c34: 10803fcc andi r2,r2,255 - c38: 1080020c andi r2,r2,8 - c3c: 1005003a cmpeq r2,r2,zero - c40: 1000161e bne r2,zero,c9c + a9c: 00800074 movhi r2,1 + aa0: 10883e04 addi r2,r2,8440 + aa4: 10800003 ldbu r2,0(r2) + aa8: 10803fcc andi r2,r2,255 + aac: 1080020c andi r2,r2,8 + ab0: 1005003a cmpeq r2,r2,zero + ab4: 1000161e bne r2,zero,b10 // ���߂̃X�g�A if (global_registers[Ssw_rw] == 1) { - c44: 00800074 movhi r2,1 - c48: 10908d04 addi r2,r2,16948 - c4c: 10800303 ldbu r2,12(r2) - c50: 10803fcc andi r2,r2,255 - c54: 1080201c xori r2,r2,128 - c58: 10bfe004 addi r2,r2,-128 - c5c: 10800058 cmpnei r2,r2,1 - c60: 10000d1e bne r2,zero,c98 + ab8: 00800074 movhi r2,1 + abc: 10908f04 addi r2,r2,16956 + ac0: 10800303 ldbu r2,12(r2) + ac4: 10803fcc andi r2,r2,255 + ac8: 1080201c xori r2,r2,128 + acc: 10bfe004 addi r2,r2,-128 + ad0: 10800058 cmpnei r2,r2,1 + ad4: 10000d1e bne r2,zero,b0c //PC�̃��Z�b�g char res = reset_pc(reset_pc_confirmed);//���m�F�̏��F - c64: e13ffec7 ldb r4,-5(fp) - c68: 0000a200 call a20 - c6c: e0bffe05 stb r2,-8(fp) + ad8: e13ffec7 ldb r4,-5(fp) + adc: 00008940 call 894 + ae0: e0bffe05 stb r2,-8(fp) if (1 == res) reset_pc_confirmed = 1; //���m�F - c70: e0bffe07 ldb r2,-8(fp) - c74: 10800058 cmpnei r2,r2,1 - c78: 1000021e bne r2,zero,c84 - c7c: 00800044 movi r2,1 - c80: e0bffec5 stb r2,-5(fp) + ae4: e0bffe07 ldb r2,-8(fp) + ae8: 10800058 cmpnei r2,r2,1 + aec: 1000021e bne r2,zero,af8 + af0: 00800044 movi r2,1 + af4: e0bffec5 stb r2,-5(fp) if (0 == res) reset_pc_confirmed = 0; //���Z�b�g�̊m�F - c84: e0bffe07 ldb r2,-8(fp) - c88: 1004c03a cmpne r2,r2,zero - c8c: 1000031e bne r2,zero,c9c - c90: e03ffec5 stb zero,-5(fp) - c94: 00000106 br c9c + af8: e0bffe07 ldb r2,-8(fp) + afc: 1004c03a cmpne r2,r2,zero + b00: 1000031e bne r2,zero,b10 + b04: e03ffec5 stb zero,-5(fp) + b08: 00000106 br b10 } else { store_inst(); - c98: 00005bc0 call 5bc + b0c: 00005800 call 580 } } // RUN if (PUSH_EVENT & PUSH_RUN) { - c9c: 00800074 movhi r2,1 - ca0: 10883c04 addi r2,r2,8432 - ca4: 10800003 ldbu r2,0(r2) - ca8: 10803fcc andi r2,r2,255 - cac: 1080040c andi r2,r2,16 - cb0: 1005003a cmpeq r2,r2,zero - cb4: 10001a1e bne r2,zero,d20 + b10: 00800074 movhi r2,1 + b14: 10883e04 addi r2,r2,8440 + b18: 10800003 ldbu r2,0(r2) + b1c: 10803fcc andi r2,r2,255 + b20: 1080040c andi r2,r2,16 + b24: 1005003a cmpeq r2,r2,zero + b28: 10001a1e bne r2,zero,b94 if (global_current_memory != (unsigned int)global_registers[Ssw_psel]) { - cb8: 00800074 movhi r2,1 - cbc: 10908d04 addi r2,r2,16948 - cc0: 108002c3 ldbu r2,11(r2) - cc4: 10c03fcc andi r3,r2,255 - cc8: 18c0201c xori r3,r3,128 - ccc: 18ffe004 addi r3,r3,-128 - cd0: 00800074 movhi r2,1 - cd4: 108f3504 addi r2,r2,15572 - cd8: 10800017 ldw r2,0(r2) - cdc: 18800e26 beq r3,r2,d18 + b2c: 00800074 movhi r2,1 + b30: 10908f04 addi r2,r2,16956 + b34: 108002c3 ldbu r2,11(r2) + b38: 10c03fcc andi r3,r2,255 + b3c: 18c0201c xori r3,r3,128 + b40: 18ffe004 addi r3,r3,-128 + b44: 00800074 movhi r2,1 + b48: 108f3704 addi r2,r2,15580 + b4c: 10800017 ldw r2,0(r2) + b50: 18800e26 beq r3,r2,b8c global_current_memory = (unsigned int)global_registers[Ssw_psel]; - ce0: 00800074 movhi r2,1 - ce4: 10908d04 addi r2,r2,16948 - ce8: 108002c3 ldbu r2,11(r2) - cec: 10c03fcc andi r3,r2,255 - cf0: 18c0201c xori r3,r3,128 - cf4: 18ffe004 addi r3,r3,-128 - cf8: 00800074 movhi r2,1 - cfc: 108f3504 addi r2,r2,15572 - d00: 10c00015 stw r3,0(r2) + b54: 00800074 movhi r2,1 + b58: 10908f04 addi r2,r2,16956 + b5c: 108002c3 ldbu r2,11(r2) + b60: 10c03fcc andi r3,r2,255 + b64: 18c0201c xori r3,r3,128 + b68: 18ffe004 addi r3,r3,-128 + b6c: 00800074 movhi r2,1 + b70: 108f3704 addi r2,r2,15580 + b74: 10c00015 stw r3,0(r2) print_change_memory(global_current_memory); - d04: 00800074 movhi r2,1 - d08: 108f3504 addi r2,r2,15572 - d0c: 11000017 ldw r4,0(r2) - d10: 00008740 call 874 - d14: 00000206 br d20 + b78: 00800074 movhi r2,1 + b7c: 108f3704 addi r2,r2,15580 + b80: 11000017 ldw r4,0(r2) + b84: 00006e80 call 6e8 + b88: 00000206 br b94 } else { // �v���O�����������ݒ� fRun = RUN_INIT; - d18: 00800044 movi r2,1 - d1c: e0bfff15 stw r2,-4(fp) + b8c: 00800044 movi r2,1 + b90: e0bfff15 stw r2,-4(fp) } } if (fRun != RUN_STOP) { - d20: e0bfff17 ldw r2,-4(fp) - d24: 1005003a cmpeq r2,r2,zero - d28: 103f6b1e bne r2,zero,ad8 + b94: e0bfff17 ldw r2,-4(fp) + b98: 1005003a cmpeq r2,r2,zero + b9c: 103f6b1e bne r2,zero,94c // �v���O�������s���荞�� & ���s���[�h�X�V fRun = run_proc(fRun); - d2c: e13fff17 ldw r4,-4(fp) - d30: 00007240 call 724 - d34: e0bfff15 stw r2,-4(fp) + ba0: e13fff17 ldw r4,-4(fp) + ba4: 00028400 call 2840 + ba8: e0bfff15 stw r2,-4(fp) } } - d38: 003f6706 br ad8 + bac: 003f6706 br 94c -00000d3c : +00000bb0 : */ #include "hex_encoder.h" #include void encodeNumHex(int hex_i, int num) { - d3c: defffc04 addi sp,sp,-16 - d40: df000315 stw fp,12(sp) - d44: df000304 addi fp,sp,12 - d48: e13ffe15 stw r4,-8(fp) - d4c: e17fff15 stw r5,-4(fp) + bb0: defffc04 addi sp,sp,-16 + bb4: df000315 stw fp,12(sp) + bb8: df000304 addi fp,sp,12 + bbc: e13ffe15 stw r4,-8(fp) + bc0: e17fff15 stw r5,-4(fp) char encoded = 0; - d50: e03ffd05 stb zero,-12(fp) + bc4: e03ffd05 stb zero,-12(fp) switch (num) { - d54: e0bfff17 ldw r2,-4(fp) - d58: 108002a8 cmpgeui r2,r2,10 - d5c: 10002f1e bne r2,zero,e1c - d60: e0bfff17 ldw r2,-4(fp) - d64: 1085883a add r2,r2,r2 - d68: 1087883a add r3,r2,r2 - d6c: 00800034 movhi r2,0 - d70: 10836004 addi r2,r2,3456 - d74: 1885883a add r2,r3,r2 - d78: 10800017 ldw r2,0(r2) - d7c: 1000683a jmp r2 - d80: 00000da8 cmpgeui zero,zero,54 - d84: 00000db4 movhi zero,54 - d88: 00000dc0 call dc <__flash_exceptions_start+0xbc> - d8c: 00000dcc andi zero,zero,55 - d90: 00000dd8 cmpnei zero,zero,55 - d94: 00000de4 muli zero,zero,55 - d98: 00000df0 cmpltui zero,zero,55 - d9c: 00000dfc xorhi zero,zero,55 - da0: 00000e08 cmpgei zero,zero,56 - da4: 00000e10 cmplti zero,zero,56 + bc8: e0bfff17 ldw r2,-4(fp) + bcc: 108002a8 cmpgeui r2,r2,10 + bd0: 10002f1e bne r2,zero,c90 + bd4: e0bfff17 ldw r2,-4(fp) + bd8: 1085883a add r2,r2,r2 + bdc: 1087883a add r3,r2,r2 + be0: 00800034 movhi r2,0 + be4: 1082fd04 addi r2,r2,3060 + be8: 1885883a add r2,r3,r2 + bec: 10800017 ldw r2,0(r2) + bf0: 1000683a jmp r2 + bf4: 00000c1c xori zero,zero,48 + bf8: 00000c28 cmpgeui zero,zero,48 + bfc: 00000c34 movhi zero,48 + c00: 00000c40 call c4 <__flash_exceptions_start+0xa4> + c04: 00000c4c andi zero,zero,49 + c08: 00000c58 cmpnei zero,zero,49 + c0c: 00000c64 muli zero,zero,49 + c10: 00000c70 cmpltui zero,zero,49 + c14: 00000c7c xorhi zero,zero,49 + c18: 00000c84 movi zero,50 case 0: encoded = (char)0x40; // 100 0000 - da8: 00801004 movi r2,64 - dac: e0bffd05 stb r2,-12(fp) + c1c: 00801004 movi r2,64 + c20: e0bffd05 stb r2,-12(fp) break; - db0: 00001b06 br e20 + c24: 00001b06 br c94 case 1: encoded = (char)0xF9; // 111 1001 - db4: 00bffe44 movi r2,-7 - db8: e0bffd05 stb r2,-12(fp) + c28: 00bffe44 movi r2,-7 + c2c: e0bffd05 stb r2,-12(fp) break; - dbc: 00001806 br e20 + c30: 00001806 br c94 case 2: encoded = (char)0x24; // 010 0100 - dc0: 00800904 movi r2,36 - dc4: e0bffd05 stb r2,-12(fp) + c34: 00800904 movi r2,36 + c38: e0bffd05 stb r2,-12(fp) break; - dc8: 00001506 br e20 + c3c: 00001506 br c94 case 3: encoded = (char)0x30; // 011 0000 - dcc: 00800c04 movi r2,48 - dd0: e0bffd05 stb r2,-12(fp) + c40: 00800c04 movi r2,48 + c44: e0bffd05 stb r2,-12(fp) break; - dd4: 00001206 br e20 + c48: 00001206 br c94 case 4: encoded = (char)0x19; // 001 1001 - dd8: 00800644 movi r2,25 - ddc: e0bffd05 stb r2,-12(fp) + c4c: 00800644 movi r2,25 + c50: e0bffd05 stb r2,-12(fp) break; - de0: 00000f06 br e20 + c54: 00000f06 br c94 case 5: encoded = (char)0x12; // 001 0010 - de4: 00800484 movi r2,18 - de8: e0bffd05 stb r2,-12(fp) + c58: 00800484 movi r2,18 + c5c: e0bffd05 stb r2,-12(fp) break; - dec: 00000c06 br e20 + c60: 00000c06 br c94 case 6: encoded = (char)0x02; // 000 0010 - df0: 00800084 movi r2,2 - df4: e0bffd05 stb r2,-12(fp) + c64: 00800084 movi r2,2 + c68: e0bffd05 stb r2,-12(fp) break; - df8: 00000906 br e20 + c6c: 00000906 br c94 case 7: encoded = (char)0x58; // 101 1000 - dfc: 00801604 movi r2,88 - e00: e0bffd05 stb r2,-12(fp) + c70: 00801604 movi r2,88 + c74: e0bffd05 stb r2,-12(fp) break; - e04: 00000606 br e20 + c78: 00000606 br c94 case 8: encoded = (char)0x00; // 000 0000 - e08: e03ffd05 stb zero,-12(fp) + c7c: e03ffd05 stb zero,-12(fp) break; - e0c: 00000406 br e20 + c80: 00000406 br c94 case 9: encoded = (char)0x10; // 001 0000 - e10: 00800404 movi r2,16 - e14: e0bffd05 stb r2,-12(fp) + c84: 00800404 movi r2,16 + c88: e0bffd05 stb r2,-12(fp) break; - e18: 00000106 br e20 + c8c: 00000106 br c94 default: encoded = 0; - e1c: e03ffd05 stb zero,-12(fp) + c90: e03ffd05 stb zero,-12(fp) break; } switch (hex_i) { - e20: e0bffe17 ldw r2,-8(fp) - e24: 10800228 cmpgeui r2,r2,8 - e28: 1000371e bne r2,zero,f08 - e2c: e0bffe17 ldw r2,-8(fp) - e30: 1085883a add r2,r2,r2 - e34: 1087883a add r3,r2,r2 - e38: 00800034 movhi r2,0 - e3c: 10839304 addi r2,r2,3660 - e40: 1885883a add r2,r3,r2 - e44: 10800017 ldw r2,0(r2) - e48: 1000683a jmp r2 - e4c: 00000e6c andhi zero,zero,57 - e50: 00000e80 call e8 <__flash_exceptions_start+0xc8> - e54: 00000e94 movui zero,58 - e58: 00000ea8 cmpgeui zero,zero,58 - e5c: 00000ebc xorhi zero,zero,58 - e60: 00000ed0 cmplti zero,zero,59 - e64: 00000ee4 muli zero,zero,59 - e68: 00000ef8 rdprs zero,zero,59 + c94: e0bffe17 ldw r2,-8(fp) + c98: 10800228 cmpgeui r2,r2,8 + c9c: 1000371e bne r2,zero,d7c + ca0: e0bffe17 ldw r2,-8(fp) + ca4: 1085883a add r2,r2,r2 + ca8: 1087883a add r3,r2,r2 + cac: 00800034 movhi r2,0 + cb0: 10833004 addi r2,r2,3264 + cb4: 1885883a add r2,r3,r2 + cb8: 10800017 ldw r2,0(r2) + cbc: 1000683a jmp r2 + cc0: 00000ce0 cmpeqi zero,zero,51 + cc4: 00000cf4 movhi zero,51 + cc8: 00000d08 cmpgei zero,zero,52 + ccc: 00000d1c xori zero,zero,52 + cd0: 00000d30 cmpltui zero,zero,52 + cd4: 00000d44 movi zero,53 + cd8: 00000d58 cmpnei zero,zero,53 + cdc: 00000d6c andhi zero,zero,53 case 0: *hex0 = encoded; - e6c: 00c00134 movhi r3,4 - e70: 18c42c04 addi r3,r3,4272 - e74: e0bffd03 ldbu r2,-12(fp) - e78: 18800005 stb r2,0(r3) + ce0: 00c00134 movhi r3,4 + ce4: 18c42c04 addi r3,r3,4272 + ce8: e0bffd03 ldbu r2,-12(fp) + cec: 18800005 stb r2,0(r3) break; - e7c: 00002206 br f08 + cf0: 00002206 br d7c case 1: *hex1 = encoded; - e80: 00c00134 movhi r3,4 - e84: 18c42804 addi r3,r3,4256 - e88: e0bffd03 ldbu r2,-12(fp) - e8c: 18800005 stb r2,0(r3) + cf4: 00c00134 movhi r3,4 + cf8: 18c42804 addi r3,r3,4256 + cfc: e0bffd03 ldbu r2,-12(fp) + d00: 18800005 stb r2,0(r3) break; - e90: 00001d06 br f08 + d04: 00001d06 br d7c case 2: *hex2 = encoded; - e94: 00c00134 movhi r3,4 - e98: 18c42404 addi r3,r3,4240 - e9c: e0bffd03 ldbu r2,-12(fp) - ea0: 18800005 stb r2,0(r3) + d08: 00c00134 movhi r3,4 + d0c: 18c42404 addi r3,r3,4240 + d10: e0bffd03 ldbu r2,-12(fp) + d14: 18800005 stb r2,0(r3) break; - ea4: 00001806 br f08 + d18: 00001806 br d7c case 3: *hex3 = encoded; - ea8: 00c00134 movhi r3,4 - eac: 18c42004 addi r3,r3,4224 - eb0: e0bffd03 ldbu r2,-12(fp) - eb4: 18800005 stb r2,0(r3) + d1c: 00c00134 movhi r3,4 + d20: 18c42004 addi r3,r3,4224 + d24: e0bffd03 ldbu r2,-12(fp) + d28: 18800005 stb r2,0(r3) break; - eb8: 00001306 br f08 + d2c: 00001306 br d7c case 4: *hex4 = encoded; - ebc: 00c00134 movhi r3,4 - ec0: 18c41c04 addi r3,r3,4208 - ec4: e0bffd03 ldbu r2,-12(fp) - ec8: 18800005 stb r2,0(r3) + d30: 00c00134 movhi r3,4 + d34: 18c41c04 addi r3,r3,4208 + d38: e0bffd03 ldbu r2,-12(fp) + d3c: 18800005 stb r2,0(r3) break; - ecc: 00000e06 br f08 + d40: 00000e06 br d7c case 5: *hex5 = encoded; - ed0: 00c00134 movhi r3,4 - ed4: 18c41804 addi r3,r3,4192 - ed8: e0bffd03 ldbu r2,-12(fp) - edc: 18800005 stb r2,0(r3) + d44: 00c00134 movhi r3,4 + d48: 18c41804 addi r3,r3,4192 + d4c: e0bffd03 ldbu r2,-12(fp) + d50: 18800005 stb r2,0(r3) break; - ee0: 00000906 br f08 + d54: 00000906 br d7c case 6: *hex6 = encoded; - ee4: 00c00134 movhi r3,4 - ee8: 18c41404 addi r3,r3,4176 - eec: e0bffd03 ldbu r2,-12(fp) - ef0: 18800005 stb r2,0(r3) + d58: 00c00134 movhi r3,4 + d5c: 18c41404 addi r3,r3,4176 + d60: e0bffd03 ldbu r2,-12(fp) + d64: 18800005 stb r2,0(r3) break; - ef4: 00000406 br f08 + d68: 00000406 br d7c case 7: *hex7 = encoded; - ef8: 00c00134 movhi r3,4 - efc: 18c41004 addi r3,r3,4160 - f00: e0bffd03 ldbu r2,-12(fp) - f04: 18800005 stb r2,0(r3) + d6c: 00c00134 movhi r3,4 + d70: 18c41004 addi r3,r3,4160 + d74: e0bffd03 ldbu r2,-12(fp) + d78: 18800005 stb r2,0(r3) break; default: break; } } - f08: e037883a mov sp,fp - f0c: df000017 ldw fp,0(sp) - f10: dec00104 addi sp,sp,4 - f14: f800283a ret + d7c: e037883a mov sp,fp + d80: df000017 ldw fp,0(sp) + d84: dec00104 addi sp,sp,4 + d88: f800283a ret -00000f18 : +00000d8c : void encodeLatHex(int hex_i, char c) { - f18: defffa04 addi sp,sp,-24 - f1c: dfc00515 stw ra,20(sp) - f20: df000415 stw fp,16(sp) - f24: df000404 addi fp,sp,16 - f28: e13ffd15 stw r4,-12(fp) - f2c: e17ffe05 stb r5,-8(fp) + d8c: defffa04 addi sp,sp,-24 + d90: dfc00515 stw ra,20(sp) + d94: df000415 stw fp,16(sp) + d98: df000404 addi fp,sp,16 + d9c: e13ffd15 stw r4,-12(fp) + da0: e17ffe05 stb r5,-8(fp) char encoded = 0; - f30: e03ffc05 stb zero,-16(fp) + da4: e03ffc05 stb zero,-16(fp) if (isdigit(c)) { - f34: e0bffe07 ldb r2,-8(fp) - f38: 1007883a mov r3,r2 - f3c: 00800074 movhi r2,1 - f40: 10883d04 addi r2,r2,8436 - f44: 10800017 ldw r2,0(r2) - f48: 1885883a add r2,r3,r2 - f4c: 10800003 ldbu r2,0(r2) - f50: 10803fcc andi r2,r2,255 - f54: 1080010c andi r2,r2,4 - f58: 1005003a cmpeq r2,r2,zero - f5c: 1000051e bne r2,zero,f74 + da8: e0bffe07 ldb r2,-8(fp) + dac: 1007883a mov r3,r2 + db0: 00800074 movhi r2,1 + db4: 10883f04 addi r2,r2,8444 + db8: 10800017 ldw r2,0(r2) + dbc: 1885883a add r2,r3,r2 + dc0: 10800003 ldbu r2,0(r2) + dc4: 10803fcc andi r2,r2,255 + dc8: 1080010c andi r2,r2,4 + dcc: 1005003a cmpeq r2,r2,zero + dd0: 1000051e bne r2,zero,de8 encodeNumHex(hex_i, c-'0'); - f60: e0bffe07 ldb r2,-8(fp) - f64: 117ff404 addi r5,r2,-48 - f68: e13ffd17 ldw r4,-12(fp) - f6c: 0000d3c0 call d3c + dd4: e0bffe07 ldb r2,-8(fp) + dd8: 117ff404 addi r5,r2,-48 + ddc: e13ffd17 ldw r4,-12(fp) + de0: 0000bb00 call bb0 return; - f70: 0000f906 br 1358 + de4: 0000f906 br 11cc } switch (c) { - f74: e0bffe07 ldb r2,-8(fp) - f78: 10bff804 addi r2,r2,-32 - f7c: e0bfff15 stw r2,-4(fp) - f80: e0ffff17 ldw r3,-4(fp) - f84: 188016e8 cmpgeui r2,r3,91 - f88: 1000b81e bne r2,zero,126c - f8c: e13fff17 ldw r4,-4(fp) - f90: e13fff17 ldw r4,-4(fp) - f94: 2105883a add r2,r4,r4 - f98: 1087883a add r3,r2,r2 - f9c: 00800034 movhi r2,0 - fa0: 1083ec04 addi r2,r2,4016 - fa4: 1885883a add r2,r3,r2 - fa8: 10800017 ldw r2,0(r2) - fac: 1000683a jmp r2 - fb0: 0000111c xori zero,zero,68 - fb4: 0000126c andhi zero,zero,73 - fb8: 0000126c andhi zero,zero,73 - fbc: 0000126c andhi zero,zero,73 - fc0: 0000126c andhi zero,zero,73 - fc4: 0000126c andhi zero,zero,73 - fc8: 0000126c andhi zero,zero,73 - fcc: 0000126c andhi zero,zero,73 - fd0: 0000126c andhi zero,zero,73 - fd4: 0000126c andhi zero,zero,73 - fd8: 0000126c andhi zero,zero,73 - fdc: 0000126c andhi zero,zero,73 - fe0: 0000126c andhi zero,zero,73 - fe4: 00001128 cmpgeui zero,zero,68 - fe8: 0000126c andhi zero,zero,73 - fec: 0000126c andhi zero,zero,73 - ff0: 0000126c andhi zero,zero,73 - ff4: 0000126c andhi zero,zero,73 - ff8: 0000126c andhi zero,zero,73 - ffc: 0000126c andhi zero,zero,73 - 1000: 0000126c andhi zero,zero,73 - 1004: 0000126c andhi zero,zero,73 - 1008: 0000126c andhi zero,zero,73 - 100c: 0000126c andhi zero,zero,73 - 1010: 0000126c andhi zero,zero,73 - 1014: 0000126c andhi zero,zero,73 - 1018: 0000126c andhi zero,zero,73 - 101c: 0000126c andhi zero,zero,73 - 1020: 0000126c andhi zero,zero,73 - 1024: 0000126c andhi zero,zero,73 - 1028: 0000126c andhi zero,zero,73 - 102c: 0000126c andhi zero,zero,73 - 1030: 0000126c andhi zero,zero,73 - 1034: 0000126c andhi zero,zero,73 - 1038: 0000126c andhi zero,zero,73 - 103c: 0000126c andhi zero,zero,73 - 1040: 0000126c andhi zero,zero,73 - 1044: 0000126c andhi zero,zero,73 - 1048: 0000126c andhi zero,zero,73 - 104c: 0000126c andhi zero,zero,73 - 1050: 0000126c andhi zero,zero,73 - 1054: 0000126c andhi zero,zero,73 - 1058: 0000126c andhi zero,zero,73 - 105c: 0000126c andhi zero,zero,73 - 1060: 0000126c andhi zero,zero,73 - 1064: 0000126c andhi zero,zero,73 - 1068: 0000126c andhi zero,zero,73 - 106c: 0000126c andhi zero,zero,73 - 1070: 0000126c andhi zero,zero,73 - 1074: 0000126c andhi zero,zero,73 - 1078: 0000126c andhi zero,zero,73 - 107c: 0000126c andhi zero,zero,73 - 1080: 0000126c andhi zero,zero,73 - 1084: 0000126c andhi zero,zero,73 - 1088: 0000126c andhi zero,zero,73 - 108c: 0000126c andhi zero,zero,73 - 1090: 0000126c andhi zero,zero,73 - 1094: 0000126c andhi zero,zero,73 - 1098: 0000126c andhi zero,zero,73 - 109c: 0000126c andhi zero,zero,73 - 10a0: 0000126c andhi zero,zero,73 - 10a4: 0000126c andhi zero,zero,73 - 10a8: 0000126c andhi zero,zero,73 - 10ac: 0000126c andhi zero,zero,73 - 10b0: 0000126c andhi zero,zero,73 - 10b4: 00001134 movhi zero,68 - 10b8: 00001140 call 114 - 10bc: 0000114c andi zero,zero,69 - 10c0: 00001158 cmpnei zero,zero,69 - 10c4: 00001164 muli zero,zero,69 - 10c8: 00001170 cmpltui zero,zero,69 - 10cc: 0000117c xorhi zero,zero,69 - 10d0: 00001188 cmpgei zero,zero,70 - 10d4: 00001194 movui zero,70 - 10d8: 000011a0 cmpeqi zero,zero,70 - 10dc: 000011ac andhi zero,zero,70 - 10e0: 000011b8 rdprs zero,zero,70 - 10e4: 000011c4 movi zero,71 - 10e8: 000011d0 cmplti zero,zero,71 - 10ec: 000011dc xori zero,zero,71 - 10f0: 000011e8 cmpgeui zero,zero,71 - 10f4: 000011f4 movhi zero,71 - 10f8: 00001200 call 120 - 10fc: 0000120c andi zero,zero,72 - 1100: 00001218 cmpnei zero,zero,72 - 1104: 00001224 muli zero,zero,72 - 1108: 00001230 cmpltui zero,zero,72 - 110c: 0000123c xorhi zero,zero,72 - 1110: 00001248 cmpgei zero,zero,73 - 1114: 00001254 movui zero,73 - 1118: 00001260 cmpeqi zero,zero,73 + de8: e0bffe07 ldb r2,-8(fp) + dec: 10bff804 addi r2,r2,-32 + df0: e0bfff15 stw r2,-4(fp) + df4: e0ffff17 ldw r3,-4(fp) + df8: 188016e8 cmpgeui r2,r3,91 + dfc: 1000b81e bne r2,zero,10e0 + e00: e13fff17 ldw r4,-4(fp) + e04: e13fff17 ldw r4,-4(fp) + e08: 2105883a add r2,r4,r4 + e0c: 1087883a add r3,r2,r2 + e10: 00800034 movhi r2,0 + e14: 10838904 addi r2,r2,3620 + e18: 1885883a add r2,r3,r2 + e1c: 10800017 ldw r2,0(r2) + e20: 1000683a jmp r2 + e24: 00000f90 cmplti zero,zero,62 + e28: 000010e0 cmpeqi zero,zero,67 + e2c: 000010e0 cmpeqi zero,zero,67 + e30: 000010e0 cmpeqi zero,zero,67 + e34: 000010e0 cmpeqi zero,zero,67 + e38: 000010e0 cmpeqi zero,zero,67 + e3c: 000010e0 cmpeqi zero,zero,67 + e40: 000010e0 cmpeqi zero,zero,67 + e44: 000010e0 cmpeqi zero,zero,67 + e48: 000010e0 cmpeqi zero,zero,67 + e4c: 000010e0 cmpeqi zero,zero,67 + e50: 000010e0 cmpeqi zero,zero,67 + e54: 000010e0 cmpeqi zero,zero,67 + e58: 00000f9c xori zero,zero,62 + e5c: 000010e0 cmpeqi zero,zero,67 + e60: 000010e0 cmpeqi zero,zero,67 + e64: 000010e0 cmpeqi zero,zero,67 + e68: 000010e0 cmpeqi zero,zero,67 + e6c: 000010e0 cmpeqi zero,zero,67 + e70: 000010e0 cmpeqi zero,zero,67 + e74: 000010e0 cmpeqi zero,zero,67 + e78: 000010e0 cmpeqi zero,zero,67 + e7c: 000010e0 cmpeqi zero,zero,67 + e80: 000010e0 cmpeqi zero,zero,67 + e84: 000010e0 cmpeqi zero,zero,67 + e88: 000010e0 cmpeqi zero,zero,67 + e8c: 000010e0 cmpeqi zero,zero,67 + e90: 000010e0 cmpeqi zero,zero,67 + e94: 000010e0 cmpeqi zero,zero,67 + e98: 000010e0 cmpeqi zero,zero,67 + e9c: 000010e0 cmpeqi zero,zero,67 + ea0: 000010e0 cmpeqi zero,zero,67 + ea4: 000010e0 cmpeqi zero,zero,67 + ea8: 000010e0 cmpeqi zero,zero,67 + eac: 000010e0 cmpeqi zero,zero,67 + eb0: 000010e0 cmpeqi zero,zero,67 + eb4: 000010e0 cmpeqi zero,zero,67 + eb8: 000010e0 cmpeqi zero,zero,67 + ebc: 000010e0 cmpeqi zero,zero,67 + ec0: 000010e0 cmpeqi zero,zero,67 + ec4: 000010e0 cmpeqi zero,zero,67 + ec8: 000010e0 cmpeqi zero,zero,67 + ecc: 000010e0 cmpeqi zero,zero,67 + ed0: 000010e0 cmpeqi zero,zero,67 + ed4: 000010e0 cmpeqi zero,zero,67 + ed8: 000010e0 cmpeqi zero,zero,67 + edc: 000010e0 cmpeqi zero,zero,67 + ee0: 000010e0 cmpeqi zero,zero,67 + ee4: 000010e0 cmpeqi zero,zero,67 + ee8: 000010e0 cmpeqi zero,zero,67 + eec: 000010e0 cmpeqi zero,zero,67 + ef0: 000010e0 cmpeqi zero,zero,67 + ef4: 000010e0 cmpeqi zero,zero,67 + ef8: 000010e0 cmpeqi zero,zero,67 + efc: 000010e0 cmpeqi zero,zero,67 + f00: 000010e0 cmpeqi zero,zero,67 + f04: 000010e0 cmpeqi zero,zero,67 + f08: 000010e0 cmpeqi zero,zero,67 + f0c: 000010e0 cmpeqi zero,zero,67 + f10: 000010e0 cmpeqi zero,zero,67 + f14: 000010e0 cmpeqi zero,zero,67 + f18: 000010e0 cmpeqi zero,zero,67 + f1c: 000010e0 cmpeqi zero,zero,67 + f20: 000010e0 cmpeqi zero,zero,67 + f24: 000010e0 cmpeqi zero,zero,67 + f28: 00000fa8 cmpgeui zero,zero,62 + f2c: 00000fb4 movhi zero,62 + f30: 00000fc0 call fc + f34: 00000fcc andi zero,zero,63 + f38: 00000fd8 cmpnei zero,zero,63 + f3c: 00000fe4 muli zero,zero,63 + f40: 00000ff0 cmpltui zero,zero,63 + f44: 00000ffc xorhi zero,zero,63 + f48: 00001008 cmpgei zero,zero,64 + f4c: 00001014 movui zero,64 + f50: 00001020 cmpeqi zero,zero,64 + f54: 0000102c andhi zero,zero,64 + f58: 00001038 rdprs zero,zero,64 + f5c: 00001044 movi zero,65 + f60: 00001050 cmplti zero,zero,65 + f64: 0000105c xori zero,zero,65 + f68: 00001068 cmpgeui zero,zero,65 + f6c: 00001074 movhi zero,65 + f70: 00001080 call 108 + f74: 0000108c andi zero,zero,66 + f78: 00001098 cmpnei zero,zero,66 + f7c: 000010a4 muli zero,zero,66 + f80: 000010b0 cmpltui zero,zero,66 + f84: 000010bc xorhi zero,zero,66 + f88: 000010c8 cmpgei zero,zero,67 + f8c: 000010d4 movui zero,67 case ' ': encoded = (char)0xFF; // 111 1111 - 111c: 00bfffc4 movi r2,-1 - 1120: e0bffc05 stb r2,-16(fp) + f90: 00bfffc4 movi r2,-1 + f94: e0bffc05 stb r2,-16(fp) break; - 1124: 00005206 br 1270 + f98: 00005206 br 10e4 case '-': encoded = (char)0x3F; // 011 1111 - 1128: 00800fc4 movi r2,63 - 112c: e0bffc05 stb r2,-16(fp) + f9c: 00800fc4 movi r2,63 + fa0: e0bffc05 stb r2,-16(fp) break; - 1130: 00004f06 br 1270 + fa4: 00004f06 br 10e4 case 'a': encoded = (char)0x08; // 000 1000 - 1134: 00800204 movi r2,8 - 1138: e0bffc05 stb r2,-16(fp) + fa8: 00800204 movi r2,8 + fac: e0bffc05 stb r2,-16(fp) break; - 113c: 00004c06 br 1270 + fb0: 00004c06 br 10e4 case 'b': encoded = (char)0x03; // 000 0011 - 1140: 008000c4 movi r2,3 - 1144: e0bffc05 stb r2,-16(fp) + fb4: 008000c4 movi r2,3 + fb8: e0bffc05 stb r2,-16(fp) break; - 1148: 00004906 br 1270 + fbc: 00004906 br 10e4 case 'c': encoded = (char)0x27; // 010 0111 - 114c: 008009c4 movi r2,39 - 1150: e0bffc05 stb r2,-16(fp) + fc0: 008009c4 movi r2,39 + fc4: e0bffc05 stb r2,-16(fp) break; - 1154: 00004606 br 1270 + fc8: 00004606 br 10e4 case 'd': encoded = (char)0x21; // 010 0001 - 1158: 00800844 movi r2,33 - 115c: e0bffc05 stb r2,-16(fp) + fcc: 00800844 movi r2,33 + fd0: e0bffc05 stb r2,-16(fp) break; - 1160: 00004306 br 1270 + fd4: 00004306 br 10e4 case 'e': encoded = (char)0x06; // 000 0110 - 1164: 00800184 movi r2,6 - 1168: e0bffc05 stb r2,-16(fp) + fd8: 00800184 movi r2,6 + fdc: e0bffc05 stb r2,-16(fp) break; - 116c: 00004006 br 1270 + fe0: 00004006 br 10e4 case 'f': encoded = (char)0x0E; // 000 1110 - 1170: 00800384 movi r2,14 - 1174: e0bffc05 stb r2,-16(fp) + fe4: 00800384 movi r2,14 + fe8: e0bffc05 stb r2,-16(fp) break; - 1178: 00003d06 br 1270 + fec: 00003d06 br 10e4 case 'g': encoded = (char)0x42; // 100 0010 - 117c: 00801084 movi r2,66 - 1180: e0bffc05 stb r2,-16(fp) + ff0: 00801084 movi r2,66 + ff4: e0bffc05 stb r2,-16(fp) break; - 1184: 00003a06 br 1270 + ff8: 00003a06 br 10e4 case 'h': encoded = (char)0x0B; // 000 1011 - 1188: 008002c4 movi r2,11 - 118c: e0bffc05 stb r2,-16(fp) + ffc: 008002c4 movi r2,11 + 1000: e0bffc05 stb r2,-16(fp) break; - 1190: 00003706 br 1270 + 1004: 00003706 br 10e4 case 'i': encoded = (char)0xFB; // 111 1011 - 1194: 00bffec4 movi r2,-5 - 1198: e0bffc05 stb r2,-16(fp) + 1008: 00bffec4 movi r2,-5 + 100c: e0bffc05 stb r2,-16(fp) break; - 119c: 00003406 br 1270 + 1010: 00003406 br 10e4 case 'j': encoded = (char)0x61; // 110 0001 - 11a0: 00801844 movi r2,97 - 11a4: e0bffc05 stb r2,-16(fp) + 1014: 00801844 movi r2,97 + 1018: e0bffc05 stb r2,-16(fp) break; - 11a8: 00003106 br 1270 + 101c: 00003106 br 10e4 case 'k': encoded = (char)0x0A; // 000 1010 - 11ac: 00800284 movi r2,10 - 11b0: e0bffc05 stb r2,-16(fp) + 1020: 00800284 movi r2,10 + 1024: e0bffc05 stb r2,-16(fp) break; - 11b4: 00002e06 br 1270 + 1028: 00002e06 br 10e4 case 'l': encoded = (char)0x47; // 100 0111 - 11b8: 008011c4 movi r2,71 - 11bc: e0bffc05 stb r2,-16(fp) + 102c: 008011c4 movi r2,71 + 1030: e0bffc05 stb r2,-16(fp) break; - 11c0: 00002b06 br 1270 + 1034: 00002b06 br 10e4 case 'm': encoded = (char)0x48; // 100 1000 - 11c4: 00801204 movi r2,72 - 11c8: e0bffc05 stb r2,-16(fp) + 1038: 00801204 movi r2,72 + 103c: e0bffc05 stb r2,-16(fp) break; - 11cc: 00002806 br 1270 + 1040: 00002806 br 10e4 case 'n': encoded = (char)0x2B; // 010 1011 - 11d0: 00800ac4 movi r2,43 - 11d4: e0bffc05 stb r2,-16(fp) + 1044: 00800ac4 movi r2,43 + 1048: e0bffc05 stb r2,-16(fp) break; - 11d8: 00002506 br 1270 + 104c: 00002506 br 10e4 case 'o': encoded = (char)0x23; // 010 0011 - 11dc: 008008c4 movi r2,35 - 11e0: e0bffc05 stb r2,-16(fp) + 1050: 008008c4 movi r2,35 + 1054: e0bffc05 stb r2,-16(fp) break; - 11e4: 00002206 br 1270 + 1058: 00002206 br 10e4 case 'p': encoded = (char)0x0C; // 000 1100 - 11e8: 00800304 movi r2,12 - 11ec: e0bffc05 stb r2,-16(fp) + 105c: 00800304 movi r2,12 + 1060: e0bffc05 stb r2,-16(fp) break; - 11f0: 00001f06 br 1270 + 1064: 00001f06 br 10e4 case 'q': encoded = (char)0x04; // 000 0100 - 11f4: 00800104 movi r2,4 - 11f8: e0bffc05 stb r2,-16(fp) + 1068: 00800104 movi r2,4 + 106c: e0bffc05 stb r2,-16(fp) break; - 11fc: 00001c06 br 1270 + 1070: 00001c06 br 10e4 case 'r': encoded = (char)0x2F; // 010 1111 - 1200: 00800bc4 movi r2,47 - 1204: e0bffc05 stb r2,-16(fp) + 1074: 00800bc4 movi r2,47 + 1078: e0bffc05 stb r2,-16(fp) break; - 1208: 00001906 br 1270 + 107c: 00001906 br 10e4 case 's': encoded = (char)0x13; // 001 0011 - 120c: 008004c4 movi r2,19 - 1210: e0bffc05 stb r2,-16(fp) + 1080: 008004c4 movi r2,19 + 1084: e0bffc05 stb r2,-16(fp) break; - 1214: 00001606 br 1270 + 1088: 00001606 br 10e4 case 't': encoded = (char)0x07; // 000 0111 - 1218: 008001c4 movi r2,7 - 121c: e0bffc05 stb r2,-16(fp) + 108c: 008001c4 movi r2,7 + 1090: e0bffc05 stb r2,-16(fp) break; - 1220: 00001306 br 1270 + 1094: 00001306 br 10e4 case 'u': encoded = (char)0x63; // 110 0011 - 1224: 008018c4 movi r2,99 - 1228: e0bffc05 stb r2,-16(fp) + 1098: 008018c4 movi r2,99 + 109c: e0bffc05 stb r2,-16(fp) break; - 122c: 00001006 br 1270 + 10a0: 00001006 br 10e4 case 'v': encoded = (char)0x41; // 100 0001 - 1230: 00801044 movi r2,65 - 1234: e0bffc05 stb r2,-16(fp) + 10a4: 00801044 movi r2,65 + 10a8: e0bffc05 stb r2,-16(fp) break; - 1238: 00000d06 br 1270 + 10ac: 00000d06 br 10e4 case 'w': encoded = (char)0x01; // 000 0001 - 123c: 00800044 movi r2,1 - 1240: e0bffc05 stb r2,-16(fp) + 10b0: 00800044 movi r2,1 + 10b4: e0bffc05 stb r2,-16(fp) break; - 1244: 00000a06 br 1270 + 10b8: 00000a06 br 10e4 case 'x': encoded = (char)0x09; // 000 1001 - 1248: 00800244 movi r2,9 - 124c: e0bffc05 stb r2,-16(fp) + 10bc: 00800244 movi r2,9 + 10c0: e0bffc05 stb r2,-16(fp) break; - 1250: 00000706 br 1270 + 10c4: 00000706 br 10e4 case 'y': encoded = (char)0x11; // 001 0001 - 1254: 00800444 movi r2,17 - 1258: e0bffc05 stb r2,-16(fp) + 10c8: 00800444 movi r2,17 + 10cc: e0bffc05 stb r2,-16(fp) break; - 125c: 00000406 br 1270 + 10d0: 00000406 br 10e4 case 'z': encoded = (char)0x64; // 110 0100 - 1260: 00801904 movi r2,100 - 1264: e0bffc05 stb r2,-16(fp) + 10d4: 00801904 movi r2,100 + 10d8: e0bffc05 stb r2,-16(fp) break; - 1268: 00000106 br 1270 + 10dc: 00000106 br 10e4 default: encoded = 0; - 126c: e03ffc05 stb zero,-16(fp) + 10e0: e03ffc05 stb zero,-16(fp) break; } switch (hex_i) { - 1270: e0bffd17 ldw r2,-12(fp) - 1274: 10800228 cmpgeui r2,r2,8 - 1278: 1000371e bne r2,zero,1358 - 127c: e0bffd17 ldw r2,-12(fp) - 1280: 1085883a add r2,r2,r2 - 1284: 1087883a add r3,r2,r2 - 1288: 00800034 movhi r2,0 - 128c: 1084a704 addi r2,r2,4764 - 1290: 1885883a add r2,r3,r2 - 1294: 10800017 ldw r2,0(r2) - 1298: 1000683a jmp r2 - 129c: 000012bc xorhi zero,zero,74 - 12a0: 000012d0 cmplti zero,zero,75 - 12a4: 000012e4 muli zero,zero,75 - 12a8: 000012f8 rdprs zero,zero,75 - 12ac: 0000130c andi zero,zero,76 - 12b0: 00001320 cmpeqi zero,zero,76 - 12b4: 00001334 movhi zero,76 - 12b8: 00001348 cmpgei zero,zero,77 + 10e4: e0bffd17 ldw r2,-12(fp) + 10e8: 10800228 cmpgeui r2,r2,8 + 10ec: 1000371e bne r2,zero,11cc + 10f0: e0bffd17 ldw r2,-12(fp) + 10f4: 1085883a add r2,r2,r2 + 10f8: 1087883a add r3,r2,r2 + 10fc: 00800034 movhi r2,0 + 1100: 10844404 addi r2,r2,4368 + 1104: 1885883a add r2,r3,r2 + 1108: 10800017 ldw r2,0(r2) + 110c: 1000683a jmp r2 + 1110: 00001130 cmpltui zero,zero,68 + 1114: 00001144 movi zero,69 + 1118: 00001158 cmpnei zero,zero,69 + 111c: 0000116c andhi zero,zero,69 + 1120: 00001180 call 118 + 1124: 00001194 movui zero,70 + 1128: 000011a8 cmpgeui zero,zero,70 + 112c: 000011bc xorhi zero,zero,70 case 0: *hex0 = encoded; - 12bc: 00c00134 movhi r3,4 - 12c0: 18c42c04 addi r3,r3,4272 - 12c4: e0bffc03 ldbu r2,-16(fp) - 12c8: 18800005 stb r2,0(r3) + 1130: 00c00134 movhi r3,4 + 1134: 18c42c04 addi r3,r3,4272 + 1138: e0bffc03 ldbu r2,-16(fp) + 113c: 18800005 stb r2,0(r3) break; - 12cc: 00002206 br 1358 + 1140: 00002206 br 11cc case 1: *hex1 = encoded; - 12d0: 00c00134 movhi r3,4 - 12d4: 18c42804 addi r3,r3,4256 - 12d8: e0bffc03 ldbu r2,-16(fp) - 12dc: 18800005 stb r2,0(r3) + 1144: 00c00134 movhi r3,4 + 1148: 18c42804 addi r3,r3,4256 + 114c: e0bffc03 ldbu r2,-16(fp) + 1150: 18800005 stb r2,0(r3) break; - 12e0: 00001d06 br 1358 + 1154: 00001d06 br 11cc case 2: *hex2 = encoded; - 12e4: 00c00134 movhi r3,4 - 12e8: 18c42404 addi r3,r3,4240 - 12ec: e0bffc03 ldbu r2,-16(fp) - 12f0: 18800005 stb r2,0(r3) + 1158: 00c00134 movhi r3,4 + 115c: 18c42404 addi r3,r3,4240 + 1160: e0bffc03 ldbu r2,-16(fp) + 1164: 18800005 stb r2,0(r3) break; - 12f4: 00001806 br 1358 + 1168: 00001806 br 11cc case 3: *hex3 = encoded; - 12f8: 00c00134 movhi r3,4 - 12fc: 18c42004 addi r3,r3,4224 - 1300: e0bffc03 ldbu r2,-16(fp) - 1304: 18800005 stb r2,0(r3) + 116c: 00c00134 movhi r3,4 + 1170: 18c42004 addi r3,r3,4224 + 1174: e0bffc03 ldbu r2,-16(fp) + 1178: 18800005 stb r2,0(r3) break; - 1308: 00001306 br 1358 + 117c: 00001306 br 11cc case 4: *hex4 = encoded; - 130c: 00c00134 movhi r3,4 - 1310: 18c41c04 addi r3,r3,4208 - 1314: e0bffc03 ldbu r2,-16(fp) - 1318: 18800005 stb r2,0(r3) + 1180: 00c00134 movhi r3,4 + 1184: 18c41c04 addi r3,r3,4208 + 1188: e0bffc03 ldbu r2,-16(fp) + 118c: 18800005 stb r2,0(r3) break; - 131c: 00000e06 br 1358 + 1190: 00000e06 br 11cc case 5: *hex5 = encoded; - 1320: 00c00134 movhi r3,4 - 1324: 18c41804 addi r3,r3,4192 - 1328: e0bffc03 ldbu r2,-16(fp) - 132c: 18800005 stb r2,0(r3) + 1194: 00c00134 movhi r3,4 + 1198: 18c41804 addi r3,r3,4192 + 119c: e0bffc03 ldbu r2,-16(fp) + 11a0: 18800005 stb r2,0(r3) break; - 1330: 00000906 br 1358 + 11a4: 00000906 br 11cc case 6: *hex6 = encoded; - 1334: 00c00134 movhi r3,4 - 1338: 18c41404 addi r3,r3,4176 - 133c: e0bffc03 ldbu r2,-16(fp) - 1340: 18800005 stb r2,0(r3) + 11a8: 00c00134 movhi r3,4 + 11ac: 18c41404 addi r3,r3,4176 + 11b0: e0bffc03 ldbu r2,-16(fp) + 11b4: 18800005 stb r2,0(r3) break; - 1344: 00000406 br 1358 + 11b8: 00000406 br 11cc case 7: *hex7 = encoded; - 1348: 00c00134 movhi r3,4 - 134c: 18c41004 addi r3,r3,4160 - 1350: e0bffc03 ldbu r2,-16(fp) - 1354: 18800005 stb r2,0(r3) + 11bc: 00c00134 movhi r3,4 + 11c0: 18c41004 addi r3,r3,4160 + 11c4: e0bffc03 ldbu r2,-16(fp) + 11c8: 18800005 stb r2,0(r3) break; default: break; } } - 1358: e037883a mov sp,fp - 135c: dfc00117 ldw ra,4(sp) - 1360: df000017 ldw fp,0(sp) - 1364: dec00204 addi sp,sp,8 - 1368: f800283a ret + 11cc: e037883a mov sp,fp + 11d0: dfc00117 ldw ra,4(sp) + 11d4: df000017 ldw fp,0(sp) + 11d8: dec00204 addi sp,sp,8 + 11dc: f800283a ret -0000136c : +000011e0 : */ #include "hex_out.h" #include "hex_encoder.h" #include "sys_except.h" void print_block(char * str, unsigned int size, enum BLOCK_N block_i) { - 136c: defffa04 addi sp,sp,-24 - 1370: dfc00515 stw ra,20(sp) - 1374: df000415 stw fp,16(sp) - 1378: df000404 addi fp,sp,16 - 137c: e13ffd15 stw r4,-12(fp) - 1380: e17ffe15 stw r5,-8(fp) - 1384: e1bfff15 stw r6,-4(fp) + 11e0: defffa04 addi sp,sp,-24 + 11e4: dfc00515 stw ra,20(sp) + 11e8: df000415 stw fp,16(sp) + 11ec: df000404 addi fp,sp,16 + 11f0: e13ffd15 stw r4,-12(fp) + 11f4: e17ffe15 stw r5,-8(fp) + 11f8: e1bfff15 stw r6,-4(fp) int i; if (block_i == HEX0_3) { - 1388: e0bfff17 ldw r2,-4(fp) - 138c: 1004c03a cmpne r2,r2,zero - 1390: 10001a1e bne r2,zero,13fc + 11fc: e0bfff17 ldw r2,-4(fp) + 1200: 1004c03a cmpne r2,r2,zero + 1204: 10001a1e bne r2,zero,1270 if (size > 4) panic(); - 1394: e0bffe17 ldw r2,-8(fp) - 1398: 10800170 cmpltui r2,r2,5 - 139c: 1000011e bne r2,zero,13a4 - 13a0: 00026040 call 2604 + 1208: e0bffe17 ldw r2,-8(fp) + 120c: 10800170 cmpltui r2,r2,5 + 1210: 1000011e bne r2,zero,1218 + 1214: 00024b40 call 24b4 for (i = 0; i < size; i++) { - 13a4: e03ffc15 stw zero,-16(fp) - 13a8: 00001006 br 13ec + 1218: e03ffc15 stw zero,-16(fp) + 121c: 00001006 br 1260 encodeLatHex(i,str[size-1-i]); - 13ac: e0fffc17 ldw r3,-16(fp) - 13b0: e0bffe17 ldw r2,-8(fp) - 13b4: 10c5c83a sub r2,r2,r3 - 13b8: 1007883a mov r3,r2 - 13bc: e0bffd17 ldw r2,-12(fp) - 13c0: 1885883a add r2,r3,r2 - 13c4: 10bfffc4 addi r2,r2,-1 - 13c8: 10800003 ldbu r2,0(r2) - 13cc: 11403fcc andi r5,r2,255 - 13d0: 2940201c xori r5,r5,128 - 13d4: 297fe004 addi r5,r5,-128 - 13d8: e13ffc17 ldw r4,-16(fp) - 13dc: 0000f180 call f18 + 1220: e0fffc17 ldw r3,-16(fp) + 1224: e0bffe17 ldw r2,-8(fp) + 1228: 10c5c83a sub r2,r2,r3 + 122c: 1007883a mov r3,r2 + 1230: e0bffd17 ldw r2,-12(fp) + 1234: 1885883a add r2,r3,r2 + 1238: 10bfffc4 addi r2,r2,-1 + 123c: 10800003 ldbu r2,0(r2) + 1240: 11403fcc andi r5,r2,255 + 1244: 2940201c xori r5,r5,128 + 1248: 297fe004 addi r5,r5,-128 + 124c: e13ffc17 ldw r4,-16(fp) + 1250: 0000d8c0 call d8c void print_block(char * str, unsigned int size, enum BLOCK_N block_i) { int i; if (block_i == HEX0_3) { if (size > 4) panic(); for (i = 0; i < size; i++) { - 13e0: e0bffc17 ldw r2,-16(fp) - 13e4: 10800044 addi r2,r2,1 - 13e8: e0bffc15 stw r2,-16(fp) - 13ec: e0fffc17 ldw r3,-16(fp) - 13f0: e0bffe17 ldw r2,-8(fp) - 13f4: 18bfed36 bltu r3,r2,13ac - 13f8: 00003b06 br 14e8 + 1254: e0bffc17 ldw r2,-16(fp) + 1258: 10800044 addi r2,r2,1 + 125c: e0bffc15 stw r2,-16(fp) + 1260: e0fffc17 ldw r3,-16(fp) + 1264: e0bffe17 ldw r2,-8(fp) + 1268: 18bfed36 bltu r3,r2,1220 + 126c: 00003b06 br 135c encodeLatHex(i,str[size-1-i]); } } else if (block_i == HEX4_5) { - 13fc: e0bfff17 ldw r2,-4(fp) - 1400: 10800058 cmpnei r2,r2,1 - 1404: 10001b1e bne r2,zero,1474 + 1270: e0bfff17 ldw r2,-4(fp) + 1274: 10800058 cmpnei r2,r2,1 + 1278: 10001b1e bne r2,zero,12e8 if (size > 2) panic(); - 1408: e0bffe17 ldw r2,-8(fp) - 140c: 108000f0 cmpltui r2,r2,3 - 1410: 1000011e bne r2,zero,1418 - 1414: 00026040 call 2604 + 127c: e0bffe17 ldw r2,-8(fp) + 1280: 108000f0 cmpltui r2,r2,3 + 1284: 1000011e bne r2,zero,128c + 1288: 00024b40 call 24b4 for (i = 0; i < size; i++) { - 1418: e03ffc15 stw zero,-16(fp) - 141c: 00001106 br 1464 + 128c: e03ffc15 stw zero,-16(fp) + 1290: 00001106 br 12d8 encodeLatHex(i+4,str[size-1-i]); - 1420: e0bffc17 ldw r2,-16(fp) - 1424: 11000104 addi r4,r2,4 - 1428: e0fffc17 ldw r3,-16(fp) - 142c: e0bffe17 ldw r2,-8(fp) - 1430: 10c5c83a sub r2,r2,r3 - 1434: 1007883a mov r3,r2 - 1438: e0bffd17 ldw r2,-12(fp) - 143c: 1885883a add r2,r3,r2 - 1440: 10bfffc4 addi r2,r2,-1 - 1444: 10800003 ldbu r2,0(r2) - 1448: 11403fcc andi r5,r2,255 - 144c: 2940201c xori r5,r5,128 - 1450: 297fe004 addi r5,r5,-128 - 1454: 0000f180 call f18 + 1294: e0bffc17 ldw r2,-16(fp) + 1298: 11000104 addi r4,r2,4 + 129c: e0fffc17 ldw r3,-16(fp) + 12a0: e0bffe17 ldw r2,-8(fp) + 12a4: 10c5c83a sub r2,r2,r3 + 12a8: 1007883a mov r3,r2 + 12ac: e0bffd17 ldw r2,-12(fp) + 12b0: 1885883a add r2,r3,r2 + 12b4: 10bfffc4 addi r2,r2,-1 + 12b8: 10800003 ldbu r2,0(r2) + 12bc: 11403fcc andi r5,r2,255 + 12c0: 2940201c xori r5,r5,128 + 12c4: 297fe004 addi r5,r5,-128 + 12c8: 0000d8c0 call d8c encodeLatHex(i,str[size-1-i]); } } else if (block_i == HEX4_5) { if (size > 2) panic(); for (i = 0; i < size; i++) { - 1458: e0bffc17 ldw r2,-16(fp) - 145c: 10800044 addi r2,r2,1 - 1460: e0bffc15 stw r2,-16(fp) - 1464: e0fffc17 ldw r3,-16(fp) - 1468: e0bffe17 ldw r2,-8(fp) - 146c: 18bfec36 bltu r3,r2,1420 - 1470: 00001d06 br 14e8 + 12cc: e0bffc17 ldw r2,-16(fp) + 12d0: 10800044 addi r2,r2,1 + 12d4: e0bffc15 stw r2,-16(fp) + 12d8: e0fffc17 ldw r3,-16(fp) + 12dc: e0bffe17 ldw r2,-8(fp) + 12e0: 18bfec36 bltu r3,r2,1294 + 12e4: 00001d06 br 135c encodeLatHex(i+4,str[size-1-i]); } } else if (block_i == HEX6_7) { - 1474: e0bfff17 ldw r2,-4(fp) - 1478: 10800098 cmpnei r2,r2,2 - 147c: 10001a1e bne r2,zero,14e8 + 12e8: e0bfff17 ldw r2,-4(fp) + 12ec: 10800098 cmpnei r2,r2,2 + 12f0: 10001a1e bne r2,zero,135c if (size > 2) panic(); - 1480: e0bffe17 ldw r2,-8(fp) - 1484: 108000f0 cmpltui r2,r2,3 - 1488: 1000011e bne r2,zero,1490 - 148c: 00026040 call 2604 + 12f4: e0bffe17 ldw r2,-8(fp) + 12f8: 108000f0 cmpltui r2,r2,3 + 12fc: 1000011e bne r2,zero,1304 + 1300: 00024b40 call 24b4 for (i = 0; i < size; i++) { - 1490: e03ffc15 stw zero,-16(fp) - 1494: 00001106 br 14dc + 1304: e03ffc15 stw zero,-16(fp) + 1308: 00001106 br 1350 encodeLatHex(i+6,str[size-1-i]); - 1498: e0bffc17 ldw r2,-16(fp) - 149c: 11000184 addi r4,r2,6 - 14a0: e0fffc17 ldw r3,-16(fp) - 14a4: e0bffe17 ldw r2,-8(fp) - 14a8: 10c5c83a sub r2,r2,r3 - 14ac: 1007883a mov r3,r2 - 14b0: e0bffd17 ldw r2,-12(fp) - 14b4: 1885883a add r2,r3,r2 - 14b8: 10bfffc4 addi r2,r2,-1 - 14bc: 10800003 ldbu r2,0(r2) - 14c0: 11403fcc andi r5,r2,255 - 14c4: 2940201c xori r5,r5,128 - 14c8: 297fe004 addi r5,r5,-128 - 14cc: 0000f180 call f18 + 130c: e0bffc17 ldw r2,-16(fp) + 1310: 11000184 addi r4,r2,6 + 1314: e0fffc17 ldw r3,-16(fp) + 1318: e0bffe17 ldw r2,-8(fp) + 131c: 10c5c83a sub r2,r2,r3 + 1320: 1007883a mov r3,r2 + 1324: e0bffd17 ldw r2,-12(fp) + 1328: 1885883a add r2,r3,r2 + 132c: 10bfffc4 addi r2,r2,-1 + 1330: 10800003 ldbu r2,0(r2) + 1334: 11403fcc andi r5,r2,255 + 1338: 2940201c xori r5,r5,128 + 133c: 297fe004 addi r5,r5,-128 + 1340: 0000d8c0 call d8c encodeLatHex(i+4,str[size-1-i]); } } else if (block_i == HEX6_7) { if (size > 2) panic(); for (i = 0; i < size; i++) { - 14d0: e0bffc17 ldw r2,-16(fp) - 14d4: 10800044 addi r2,r2,1 - 14d8: e0bffc15 stw r2,-16(fp) - 14dc: e0fffc17 ldw r3,-16(fp) - 14e0: e0bffe17 ldw r2,-8(fp) - 14e4: 18bfec36 bltu r3,r2,1498 + 1344: e0bffc17 ldw r2,-16(fp) + 1348: 10800044 addi r2,r2,1 + 134c: e0bffc15 stw r2,-16(fp) + 1350: e0fffc17 ldw r3,-16(fp) + 1354: e0bffe17 ldw r2,-8(fp) + 1358: 18bfec36 bltu r3,r2,130c encodeLatHex(i+6,str[size-1-i]); } } } - 14e8: e037883a mov sp,fp - 14ec: dfc00117 ldw ra,4(sp) - 14f0: df000017 ldw fp,0(sp) - 14f4: dec00204 addi sp,sp,8 - 14f8: f800283a ret + 135c: e037883a mov sp,fp + 1360: dfc00117 ldw ra,4(sp) + 1364: df000017 ldw fp,0(sp) + 1368: dec00204 addi sp,sp,8 + 136c: f800283a ret -000014fc : +00001370 : void clear_block(enum BLOCK_N block_i) { - 14fc: defffd04 addi sp,sp,-12 - 1500: dfc00215 stw ra,8(sp) - 1504: df000115 stw fp,4(sp) - 1508: df000104 addi fp,sp,4 - 150c: e13fff15 stw r4,-4(fp) + 1370: defffd04 addi sp,sp,-12 + 1374: dfc00215 stw ra,8(sp) + 1378: df000115 stw fp,4(sp) + 137c: df000104 addi fp,sp,4 + 1380: e13fff15 stw r4,-4(fp) if (block_i == HEX0_3) { - 1510: e0bfff17 ldw r2,-4(fp) - 1514: 1004c03a cmpne r2,r2,zero - 1518: 1000061e bne r2,zero,1534 + 1384: e0bfff17 ldw r2,-4(fp) + 1388: 1004c03a cmpne r2,r2,zero + 138c: 1000061e bne r2,zero,13a8 print_block(" ", 4, HEX0_3); - 151c: 01000074 movhi r4,1 - 1520: 213fe204 addi r4,r4,-120 - 1524: 01400104 movi r5,4 - 1528: 000d883a mov r6,zero - 152c: 000136c0 call 136c - 1530: 00001106 br 1578 + 1390: 01000074 movhi r4,1 + 1394: 213fdb04 addi r4,r4,-148 + 1398: 01400104 movi r5,4 + 139c: 000d883a mov r6,zero + 13a0: 00011e00 call 11e0 + 13a4: 00001106 br 13ec } else if (block_i == HEX4_5) { - 1534: e0bfff17 ldw r2,-4(fp) - 1538: 10800058 cmpnei r2,r2,1 - 153c: 1000061e bne r2,zero,1558 + 13a8: e0bfff17 ldw r2,-4(fp) + 13ac: 10800058 cmpnei r2,r2,1 + 13b0: 1000061e bne r2,zero,13cc print_block(" ", 2, HEX4_5); - 1540: 01000074 movhi r4,1 - 1544: 213fe404 addi r4,r4,-112 - 1548: 01400084 movi r5,2 - 154c: 01800044 movi r6,1 - 1550: 000136c0 call 136c - 1554: 00000806 br 1578 + 13b4: 01000074 movhi r4,1 + 13b8: 213fdd04 addi r4,r4,-140 + 13bc: 01400084 movi r5,2 + 13c0: 01800044 movi r6,1 + 13c4: 00011e00 call 11e0 + 13c8: 00000806 br 13ec } else if (block_i == HEX6_7) { - 1558: e0bfff17 ldw r2,-4(fp) - 155c: 10800098 cmpnei r2,r2,2 - 1560: 1000051e bne r2,zero,1578 + 13cc: e0bfff17 ldw r2,-4(fp) + 13d0: 10800098 cmpnei r2,r2,2 + 13d4: 1000051e bne r2,zero,13ec print_block(" ", 2, HEX6_7); - 1564: 01000074 movhi r4,1 - 1568: 213fe404 addi r4,r4,-112 - 156c: 01400084 movi r5,2 - 1570: 01800084 movi r6,2 - 1574: 000136c0 call 136c + 13d8: 01000074 movhi r4,1 + 13dc: 213fdd04 addi r4,r4,-140 + 13e0: 01400084 movi r5,2 + 13e4: 01800084 movi r6,2 + 13e8: 00011e00 call 11e0 } } - 1578: e037883a mov sp,fp - 157c: dfc00117 ldw ra,4(sp) - 1580: df000017 ldw fp,0(sp) - 1584: dec00204 addi sp,sp,8 - 1588: f800283a ret + 13ec: e037883a mov sp,fp + 13f0: dfc00117 ldw ra,4(sp) + 13f4: df000017 ldw fp,0(sp) + 13f8: dec00204 addi sp,sp,8 + 13fc: f800283a ret -0000158c : +00001400 : void print_number(char num) { - 158c: defff904 addi sp,sp,-28 - 1590: dfc00615 stw ra,24(sp) - 1594: df000515 stw fp,20(sp) - 1598: df000504 addi fp,sp,20 - 159c: e13fff05 stb r4,-4(fp) + 1400: defff904 addi sp,sp,-28 + 1404: dfc00615 stw ra,24(sp) + 1408: df000515 stw fp,20(sp) + 140c: df000504 addi fp,sp,20 + 1410: e13fff05 stb r4,-4(fp) int i; char buf[5]; char val; for (i = 0; i < 4; i++) { - 15a0: e03ffc15 stw zero,-16(fp) - 15a4: 00002906 br 164c + 1414: e03ffc15 stw zero,-16(fp) + 1418: 00002906 br 14c0 if (num < 0) { - 15a8: e0bfff07 ldb r2,-4(fp) - 15ac: 1004403a cmpge r2,r2,zero - 15b0: 1000061e bne r2,zero,15cc + 141c: e0bfff07 ldb r2,-4(fp) + 1420: 1004403a cmpge r2,r2,zero + 1424: 1000061e bne r2,zero,1440 buf[0] = '-'; - 15b4: 00800b44 movi r2,45 - 15b8: e0bffd05 stb r2,-12(fp) + 1428: 00800b44 movi r2,45 + 142c: e0bffd05 stb r2,-12(fp) val = -num; - 15bc: e0bfff03 ldbu r2,-4(fp) - 15c0: 0085c83a sub r2,zero,r2 - 15c4: e0bffb05 stb r2,-20(fp) - 15c8: 00000406 br 15dc + 1430: e0bfff03 ldbu r2,-4(fp) + 1434: 0085c83a sub r2,zero,r2 + 1438: e0bffb05 stb r2,-20(fp) + 143c: 00000406 br 1450 } else { buf[0] = ' '; - 15cc: 00800804 movi r2,32 - 15d0: e0bffd05 stb r2,-12(fp) + 1440: 00800804 movi r2,32 + 1444: e0bffd05 stb r2,-12(fp) val = num; - 15d4: e0bfff03 ldbu r2,-4(fp) - 15d8: e0bffb05 stb r2,-20(fp) + 1448: e0bfff03 ldbu r2,-4(fp) + 144c: e0bffb05 stb r2,-20(fp) } buf[1] = val/100%10 + '0'; - 15dc: e13ffb07 ldb r4,-20(fp) - 15e0: 01401904 movi r5,100 - 15e4: 0002a5c0 call 2a5c <__divsi3> - 15e8: 11003fcc andi r4,r2,255 - 15ec: 2100201c xori r4,r4,128 - 15f0: 213fe004 addi r4,r4,-128 - 15f4: 01400284 movi r5,10 - 15f8: 0002abc0 call 2abc <__modsi3> - 15fc: 10800c04 addi r2,r2,48 - 1600: e0bffd45 stb r2,-11(fp) + 1450: e13ffb07 ldb r4,-20(fp) + 1454: 01401904 movi r5,100 + 1458: 0002a5c0 call 2a5c <__divsi3> + 145c: 11003fcc andi r4,r2,255 + 1460: 2100201c xori r4,r4,128 + 1464: 213fe004 addi r4,r4,-128 + 1468: 01400284 movi r5,10 + 146c: 0002abc0 call 2abc <__modsi3> + 1470: 10800c04 addi r2,r2,48 + 1474: e0bffd45 stb r2,-11(fp) buf[2] = val/10%10 + '0'; - 1604: e13ffb07 ldb r4,-20(fp) - 1608: 01400284 movi r5,10 - 160c: 0002a5c0 call 2a5c <__divsi3> - 1610: 11003fcc andi r4,r2,255 - 1614: 2100201c xori r4,r4,128 - 1618: 213fe004 addi r4,r4,-128 - 161c: 01400284 movi r5,10 - 1620: 0002abc0 call 2abc <__modsi3> - 1624: 10800c04 addi r2,r2,48 - 1628: e0bffd85 stb r2,-10(fp) + 1478: e13ffb07 ldb r4,-20(fp) + 147c: 01400284 movi r5,10 + 1480: 0002a5c0 call 2a5c <__divsi3> + 1484: 11003fcc andi r4,r2,255 + 1488: 2100201c xori r4,r4,128 + 148c: 213fe004 addi r4,r4,-128 + 1490: 01400284 movi r5,10 + 1494: 0002abc0 call 2abc <__modsi3> + 1498: 10800c04 addi r2,r2,48 + 149c: e0bffd85 stb r2,-10(fp) buf[3] = val%10 + '0'; - 162c: e13ffb07 ldb r4,-20(fp) - 1630: 01400284 movi r5,10 - 1634: 0002abc0 call 2abc <__modsi3> - 1638: 10800c04 addi r2,r2,48 - 163c: e0bffdc5 stb r2,-9(fp) + 14a0: e13ffb07 ldb r4,-20(fp) + 14a4: 01400284 movi r5,10 + 14a8: 0002abc0 call 2abc <__modsi3> + 14ac: 10800c04 addi r2,r2,48 + 14b0: e0bffdc5 stb r2,-9(fp) void print_number(char num) { int i; char buf[5]; char val; for (i = 0; i < 4; i++) { - 1640: e0bffc17 ldw r2,-16(fp) - 1644: 10800044 addi r2,r2,1 - 1648: e0bffc15 stw r2,-16(fp) - 164c: e0bffc17 ldw r2,-16(fp) - 1650: 10800110 cmplti r2,r2,4 - 1654: 103fd41e bne r2,zero,15a8 + 14b4: e0bffc17 ldw r2,-16(fp) + 14b8: 10800044 addi r2,r2,1 + 14bc: e0bffc15 stw r2,-16(fp) + 14c0: e0bffc17 ldw r2,-16(fp) + 14c4: 10800110 cmplti r2,r2,4 + 14c8: 103fd41e bne r2,zero,141c } buf[1] = val/100%10 + '0'; buf[2] = val/10%10 + '0'; buf[3] = val%10 + '0'; } clear_block(HEX0_3); - 1658: 0009883a mov r4,zero - 165c: 00014fc0 call 14fc + 14cc: 0009883a mov r4,zero + 14d0: 00013700 call 1370 print_block(buf, 4, HEX0_3); - 1660: e13ffd04 addi r4,fp,-12 - 1664: 01400104 movi r5,4 - 1668: 000d883a mov r6,zero - 166c: 000136c0 call 136c + 14d4: e13ffd04 addi r4,fp,-12 + 14d8: 01400104 movi r5,4 + 14dc: 000d883a mov r6,zero + 14e0: 00011e00 call 11e0 } - 1670: e037883a mov sp,fp - 1674: dfc00117 ldw ra,4(sp) - 1678: df000017 ldw fp,0(sp) - 167c: dec00204 addi sp,sp,8 - 1680: f800283a ret + 14e4: e037883a mov sp,fp + 14e8: dfc00117 ldw ra,4(sp) + 14ec: df000017 ldw fp,0(sp) + 14f0: dec00204 addi sp,sp,8 + 14f4: f800283a ret -00001684 : +000014f8 : #include "input_int.h" #include "sys_register.h" unsigned char PUSH_EVENT = PUSH_NONE; void in_int() { - 1684: defffe04 addi sp,sp,-8 - 1688: dfc00115 stw ra,4(sp) - 168c: df000015 stw fp,0(sp) - 1690: d839883a mov fp,sp + 14f8: defffe04 addi sp,sp,-8 + 14fc: dfc00115 stw ra,4(sp) + 1500: df000015 stw fp,0(sp) + 1504: d839883a mov fp,sp push_int(); - 1694: 00018400 call 1840 + 1508: 00016b40 call 16b4 } - 1698: e037883a mov sp,fp - 169c: dfc00117 ldw ra,4(sp) - 16a0: df000017 ldw fp,0(sp) - 16a4: dec00204 addi sp,sp,8 - 16a8: f800283a ret + 150c: e037883a mov sp,fp + 1510: dfc00117 ldw ra,4(sp) + 1514: df000017 ldw fp,0(sp) + 1518: dec00204 addi sp,sp,8 + 151c: f800283a ret -000016ac : +00001520 : static void update_sw_reg(sw_t s) { - 16ac: defffe04 addi sp,sp,-8 - 16b0: df000115 stw fp,4(sp) - 16b4: df000104 addi fp,sp,4 - 16b8: e13fff15 stw r4,-4(fp) + 1520: defffe04 addi sp,sp,-8 + 1524: df000115 stw fp,4(sp) + 1528: df000104 addi fp,sp,4 + 152c: e13fff15 stw r4,-4(fp) global_registers[Ssw_data] = (char)s.data.value; - 16bc: e0bfff17 ldw r2,-4(fp) - 16c0: 1004d2ba srli r2,r2,10 - 16c4: 1007883a mov r3,r2 - 16c8: 00bfffc4 movi r2,-1 - 16cc: 1884703a and r2,r3,r2 - 16d0: 1007883a mov r3,r2 - 16d4: 00800074 movhi r2,1 - 16d8: 10908d04 addi r2,r2,16948 - 16dc: 10c001c5 stb r3,7(r2) + 1530: e0bfff17 ldw r2,-4(fp) + 1534: 1004d2ba srli r2,r2,10 + 1538: 1007883a mov r3,r2 + 153c: 00bfffc4 movi r2,-1 + 1540: 1884703a and r2,r3,r2 + 1544: 1007883a mov r3,r2 + 1548: 00800074 movhi r2,1 + 154c: 10908f04 addi r2,r2,16956 + 1550: 10c001c5 stb r3,7(r2) global_registers[Ssw_inst] = (char)s.splited.instruction_code; - 16e0: e0bfff17 ldw r2,-4(fp) - 16e4: 1004d3ba srli r2,r2,14 - 16e8: 108003cc andi r2,r2,15 - 16ec: 1007883a mov r3,r2 - 16f0: 00800074 movhi r2,1 - 16f4: 10908d04 addi r2,r2,16948 - 16f8: 10c00205 stb r3,8(r2) + 1554: e0bfff17 ldw r2,-4(fp) + 1558: 1004d3ba srli r2,r2,14 + 155c: 108003cc andi r2,r2,15 + 1560: 1007883a mov r3,r2 + 1564: 00800074 movhi r2,1 + 1568: 10908f04 addi r2,r2,16956 + 156c: 10c00205 stb r3,8(r2) global_registers[Ssw_memi] = (char)s.splited.memory_index; - 16fc: e0bfff17 ldw r2,-4(fp) - 1700: 1004d1ba srli r2,r2,6 - 1704: 108003cc andi r2,r2,15 - 1708: 1007883a mov r3,r2 - 170c: 00800074 movhi r2,1 - 1710: 10908d04 addi r2,r2,16948 - 1714: 10c00285 stb r3,10(r2) + 1570: e0bfff17 ldw r2,-4(fp) + 1574: 1004d1ba srli r2,r2,6 + 1578: 108003cc andi r2,r2,15 + 157c: 1007883a mov r3,r2 + 1580: 00800074 movhi r2,1 + 1584: 10908f04 addi r2,r2,16956 + 1588: 10c00285 stb r3,10(r2) global_registers[Ssw_regi] = (char)s.splited.register_index; - 1718: e0bfff17 ldw r2,-4(fp) - 171c: 1004d2ba srli r2,r2,10 - 1720: 108003cc andi r2,r2,15 - 1724: 1007883a mov r3,r2 - 1728: 00800074 movhi r2,1 - 172c: 10908d04 addi r2,r2,16948 - 1730: 10c00245 stb r3,9(r2) + 158c: e0bfff17 ldw r2,-4(fp) + 1590: 1004d2ba srli r2,r2,10 + 1594: 108003cc andi r2,r2,15 + 1598: 1007883a mov r3,r2 + 159c: 00800074 movhi r2,1 + 15a0: 10908f04 addi r2,r2,16956 + 15a4: 10c00245 stb r3,9(r2) global_registers[Ssw_psel] = (char)s.splited.program_selecter; - 1734: e0bfff17 ldw r2,-4(fp) - 1738: 1004d0ba srli r2,r2,2 - 173c: 108003cc andi r2,r2,15 - 1740: 1007883a mov r3,r2 - 1744: 00800074 movhi r2,1 - 1748: 10908d04 addi r2,r2,16948 - 174c: 10c002c5 stb r3,11(r2) + 15a8: e0bfff17 ldw r2,-4(fp) + 15ac: 1004d0ba srli r2,r2,2 + 15b0: 108003cc andi r2,r2,15 + 15b4: 1007883a mov r3,r2 + 15b8: 00800074 movhi r2,1 + 15bc: 10908f04 addi r2,r2,16956 + 15c0: 10c002c5 stb r3,11(r2) global_registers[Ssw_rw] = (char)s.splited.rw_mode; - 1750: e0bfff17 ldw r2,-4(fp) - 1754: 1004d07a srli r2,r2,1 - 1758: 1080004c andi r2,r2,1 - 175c: 1007883a mov r3,r2 - 1760: 00800074 movhi r2,1 - 1764: 10908d04 addi r2,r2,16948 - 1768: 10c00305 stb r3,12(r2) + 15c4: e0bfff17 ldw r2,-4(fp) + 15c8: 1004d07a srli r2,r2,1 + 15cc: 1080004c andi r2,r2,1 + 15d0: 1007883a mov r3,r2 + 15d4: 00800074 movhi r2,1 + 15d8: 10908f04 addi r2,r2,16956 + 15dc: 10c00305 stb r3,12(r2) global_registers[Ssw_run] = (char)s.splited.run_mode; - 176c: e0bfff17 ldw r2,-4(fp) - 1770: 1080004c andi r2,r2,1 - 1774: 1007883a mov r3,r2 - 1778: 00800074 movhi r2,1 - 177c: 10908d04 addi r2,r2,16948 - 1780: 10c00345 stb r3,13(r2) + 15e0: e0bfff17 ldw r2,-4(fp) + 15e4: 1080004c andi r2,r2,1 + 15e8: 1007883a mov r3,r2 + 15ec: 00800074 movhi r2,1 + 15f0: 10908f04 addi r2,r2,16956 + 15f4: 10c00345 stb r3,13(r2) } - 1784: e037883a mov sp,fp - 1788: df000017 ldw fp,0(sp) - 178c: dec00104 addi sp,sp,4 - 1790: f800283a ret + 15f8: e037883a mov sp,fp + 15fc: df000017 ldw fp,0(sp) + 1600: dec00104 addi sp,sp,4 + 1604: f800283a ret -00001794 : +00001608 : enum PushEvent push_decode(char psw) { - 1794: defffc04 addi sp,sp,-16 - 1798: df000315 stw fp,12(sp) - 179c: df000304 addi fp,sp,12 - 17a0: e13ffe05 stb r4,-8(fp) + 1608: defffc04 addi sp,sp,-16 + 160c: df000315 stw fp,12(sp) + 1610: df000304 addi fp,sp,12 + 1614: e13ffe05 stb r4,-8(fp) int result = PUSH_NONE; - 17a4: 00800044 movi r2,1 - 17a8: e0bffd15 stw r2,-12(fp) + 1618: 00800044 movi r2,1 + 161c: e0bffd15 stw r2,-12(fp) switch(psw) { - 17ac: e0bffe07 ldb r2,-8(fp) - 17b0: e0bfff15 stw r2,-4(fp) - 17b4: e0ffff17 ldw r3,-4(fp) - 17b8: 18800160 cmpeqi r2,r3,5 - 17bc: 10000e1e bne r2,zero,17f8 - 17c0: e0ffff17 ldw r3,-4(fp) - 17c4: 188001a0 cmpeqi r2,r3,6 - 17c8: 1000121e bne r2,zero,1814 - 17cc: e0ffff17 ldw r3,-4(fp) - 17d0: 188000e0 cmpeqi r2,r3,3 - 17d4: 1000011e bne r2,zero,17dc - 17d8: 00001406 br 182c + 1620: e0bffe07 ldb r2,-8(fp) + 1624: e0bfff15 stw r2,-4(fp) + 1628: e0ffff17 ldw r3,-4(fp) + 162c: 18800160 cmpeqi r2,r3,5 + 1630: 10000e1e bne r2,zero,166c + 1634: e0ffff17 ldw r3,-4(fp) + 1638: 188001a0 cmpeqi r2,r3,6 + 163c: 1000121e bne r2,zero,1688 + 1640: e0ffff17 ldw r3,-4(fp) + 1644: 188000e0 cmpeqi r2,r3,3 + 1648: 1000011e bne r2,zero,1650 + 164c: 00001406 br 16a0 case 0x3: result += PUSH_ANY; - 17dc: e0bffd17 ldw r2,-12(fp) - 17e0: 10800084 addi r2,r2,2 - 17e4: e0bffd15 stw r2,-12(fp) + 1650: e0bffd17 ldw r2,-12(fp) + 1654: 10800084 addi r2,r2,2 + 1658: e0bffd15 stw r2,-12(fp) result += PUSH_VALSTR; - 17e8: e0bffd17 ldw r2,-12(fp) - 17ec: 10800104 addi r2,r2,4 - 17f0: e0bffd15 stw r2,-12(fp) + 165c: e0bffd17 ldw r2,-12(fp) + 1660: 10800104 addi r2,r2,4 + 1664: e0bffd15 stw r2,-12(fp) break; - 17f4: 00000d06 br 182c + 1668: 00000d06 br 16a0 case 0x5: result += PUSH_ANY; - 17f8: e0bffd17 ldw r2,-12(fp) - 17fc: 10800084 addi r2,r2,2 - 1800: e0bffd15 stw r2,-12(fp) + 166c: e0bffd17 ldw r2,-12(fp) + 1670: 10800084 addi r2,r2,2 + 1674: e0bffd15 stw r2,-12(fp) result += PUSH_INSSTR; - 1804: e0bffd17 ldw r2,-12(fp) - 1808: 10800204 addi r2,r2,8 - 180c: e0bffd15 stw r2,-12(fp) + 1678: e0bffd17 ldw r2,-12(fp) + 167c: 10800204 addi r2,r2,8 + 1680: e0bffd15 stw r2,-12(fp) break; - 1810: 00000606 br 182c + 1684: 00000606 br 16a0 case 0x6: result += PUSH_ANY; - 1814: e0bffd17 ldw r2,-12(fp) - 1818: 10800084 addi r2,r2,2 - 181c: e0bffd15 stw r2,-12(fp) + 1688: e0bffd17 ldw r2,-12(fp) + 168c: 10800084 addi r2,r2,2 + 1690: e0bffd15 stw r2,-12(fp) result += PUSH_RUN; - 1820: e0bffd17 ldw r2,-12(fp) - 1824: 10800404 addi r2,r2,16 - 1828: e0bffd15 stw r2,-12(fp) + 1694: e0bffd17 ldw r2,-12(fp) + 1698: 10800404 addi r2,r2,16 + 169c: e0bffd15 stw r2,-12(fp) break; } return result; - 182c: e0bffd17 ldw r2,-12(fp) + 16a0: e0bffd17 ldw r2,-12(fp) } - 1830: e037883a mov sp,fp - 1834: df000017 ldw fp,0(sp) - 1838: dec00104 addi sp,sp,4 - 183c: f800283a ret + 16a4: e037883a mov sp,fp + 16a8: df000017 ldw fp,0(sp) + 16ac: dec00104 addi sp,sp,4 + 16b0: f800283a ret -00001840 : +000016b4 : void push_int() { - 1840: defffc04 addi sp,sp,-16 - 1844: dfc00315 stw ra,12(sp) - 1848: df000215 stw fp,8(sp) - 184c: df000204 addi fp,sp,8 + 16b4: defffc04 addi sp,sp,-16 + 16b8: dfc00315 stw ra,12(sp) + 16bc: df000215 stw fp,8(sp) + 16c0: df000204 addi fp,sp,8 static unsigned char status = 0; static enum PushEvent event_code; volatile sw_t s; s.sw = *switches; - 1850: 00800134 movhi r2,4 - 1854: 10843404 addi r2,r2,4304 - 1858: 10800017 ldw r2,0(r2) - 185c: e0bffe15 stw r2,-8(fp) + 16c4: 00800134 movhi r2,4 + 16c8: 10843404 addi r2,r2,4304 + 16cc: 10800017 ldw r2,0(r2) + 16d0: e0bffe15 stw r2,-8(fp) switch (status) { - 1860: d0a6f803 ldbu r2,-25632(gp) - 1864: 10803fcc andi r2,r2,255 - 1868: e0bfff15 stw r2,-4(fp) - 186c: e0ffff17 ldw r3,-4(fp) - 1870: 18800060 cmpeqi r2,r3,1 - 1874: 10001e1e bne r2,zero,18f0 - 1878: e0ffff17 ldw r3,-4(fp) - 187c: 188000a0 cmpeqi r2,r3,2 - 1880: 1000261e bne r2,zero,191c - 1884: e0ffff17 ldw r3,-4(fp) - 1888: 1805003a cmpeq r2,r3,zero - 188c: 1000011e bne r2,zero,1894 - 1890: 00002606 br 192c + 16d4: d0a6f803 ldbu r2,-25632(gp) + 16d8: 10803fcc andi r2,r2,255 + 16dc: e0bfff15 stw r2,-4(fp) + 16e0: e0ffff17 ldw r3,-4(fp) + 16e4: 18800060 cmpeqi r2,r3,1 + 16e8: 10001e1e bne r2,zero,1764 + 16ec: e0ffff17 ldw r3,-4(fp) + 16f0: 188000a0 cmpeqi r2,r3,2 + 16f4: 1000261e bne r2,zero,1790 + 16f8: e0ffff17 ldw r3,-4(fp) + 16fc: 1805003a cmpeq r2,r3,zero + 1700: 1000011e bne r2,zero,1708 + 1704: 00002606 br 17a0 case 0: PUSH_EVENT = PUSH_NONE; - 1894: 00800044 movi r2,1 - 1898: d0a00005 stb r2,-32768(gp) + 1708: 00800044 movi r2,1 + 170c: d0a00005 stb r2,-32768(gp) if (*push_switches != 7) { - 189c: 00800134 movhi r2,4 - 18a0: 10843004 addi r2,r2,4288 - 18a4: 10800003 ldbu r2,0(r2) - 18a8: 10803fcc andi r2,r2,255 - 18ac: 1080201c xori r2,r2,128 - 18b0: 10bfe004 addi r2,r2,-128 - 18b4: 108001e0 cmpeqi r2,r2,7 - 18b8: 10000a1e bne r2,zero,18e4 + 1710: 00800134 movhi r2,4 + 1714: 10843004 addi r2,r2,4288 + 1718: 10800003 ldbu r2,0(r2) + 171c: 10803fcc andi r2,r2,255 + 1720: 1080201c xori r2,r2,128 + 1724: 10bfe004 addi r2,r2,-128 + 1728: 108001e0 cmpeqi r2,r2,7 + 172c: 10000a1e bne r2,zero,1758 event_code = push_decode(*push_switches); - 18bc: 00800134 movhi r2,4 - 18c0: 10843004 addi r2,r2,4288 - 18c4: 10800003 ldbu r2,0(r2) - 18c8: 11003fcc andi r4,r2,255 - 18cc: 2100201c xori r4,r4,128 - 18d0: 213fe004 addi r4,r4,-128 - 18d4: 00017940 call 1794 - 18d8: d0a6f715 stw r2,-25636(gp) + 1730: 00800134 movhi r2,4 + 1734: 10843004 addi r2,r2,4288 + 1738: 10800003 ldbu r2,0(r2) + 173c: 11003fcc andi r4,r2,255 + 1740: 2100201c xori r4,r4,128 + 1744: 213fe004 addi r4,r4,-128 + 1748: 00016080 call 1608 + 174c: d0a6f715 stw r2,-25636(gp) status = 1; - 18dc: 00800044 movi r2,1 - 18e0: d0a6f805 stb r2,-25632(gp) + 1750: 00800044 movi r2,1 + 1754: d0a6f805 stb r2,-25632(gp) } update_sw_reg(s); // �X�C�b�`���W�X�^�X�V - 18e4: e13ffe17 ldw r4,-8(fp) - 18e8: 00016ac0 call 16ac + 1758: e13ffe17 ldw r4,-8(fp) + 175c: 00015200 call 1520 break; - 18ec: 00001006 br 1930 + 1760: 00001006 br 17a4 case 1: if (*push_switches == 7) status = 2; - 18f0: 00800134 movhi r2,4 - 18f4: 10843004 addi r2,r2,4288 - 18f8: 10800003 ldbu r2,0(r2) - 18fc: 10803fcc andi r2,r2,255 - 1900: 1080201c xori r2,r2,128 - 1904: 10bfe004 addi r2,r2,-128 - 1908: 108001d8 cmpnei r2,r2,7 - 190c: 1000081e bne r2,zero,1930 - 1910: 00800084 movi r2,2 - 1914: d0a6f805 stb r2,-25632(gp) + 1764: 00800134 movhi r2,4 + 1768: 10843004 addi r2,r2,4288 + 176c: 10800003 ldbu r2,0(r2) + 1770: 10803fcc andi r2,r2,255 + 1774: 1080201c xori r2,r2,128 + 1778: 10bfe004 addi r2,r2,-128 + 177c: 108001d8 cmpnei r2,r2,7 + 1780: 1000081e bne r2,zero,17a4 + 1784: 00800084 movi r2,2 + 1788: d0a6f805 stb r2,-25632(gp) break; - 1918: 00000506 br 1930 + 178c: 00000506 br 17a4 case 2: PUSH_EVENT = event_code; - 191c: d0a6f717 ldw r2,-25636(gp) - 1920: d0a00005 stb r2,-32768(gp) + 1790: d0a6f717 ldw r2,-25636(gp) + 1794: d0a00005 stb r2,-32768(gp) status = 0; - 1924: d026f805 stb zero,-25632(gp) + 1798: d026f805 stb zero,-25632(gp) break; - 1928: 00000106 br 1930 + 179c: 00000106 br 17a4 default: status = 0; - 192c: d026f805 stb zero,-25632(gp) + 17a0: d026f805 stb zero,-25632(gp) break; } } - 1930: e037883a mov sp,fp - 1934: dfc00117 ldw ra,4(sp) - 1938: df000017 ldw fp,0(sp) - 193c: dec00204 addi sp,sp,8 - 1940: f800283a ret + 17a4: e037883a mov sp,fp + 17a8: dfc00117 ldw ra,4(sp) + 17ac: df000017 ldw fp,0(sp) + 17b0: dec00204 addi sp,sp,8 + 17b4: f800283a ret -00001944 : +000017b8 : #include "hex_out.h" #include "sys_prog.h" #include #include struct InstRec inst_fetch(){ - 1944: defffd04 addi sp,sp,-12 - 1948: dfc00215 stw ra,8(sp) - 194c: df000115 stw fp,4(sp) - 1950: df000104 addi fp,sp,4 + 17b8: defffd04 addi sp,sp,-12 + 17bc: dfc00215 stw ra,8(sp) + 17c0: df000115 stw fp,4(sp) + 17c4: df000104 addi fp,sp,4 struct InstRec rec = inst_memory_load((unsigned int)global_registers[Spc]); - 1954: 00800074 movhi r2,1 - 1958: 10908d04 addi r2,r2,16948 - 195c: 10800043 ldbu r2,1(r2) - 1960: 11003fcc andi r4,r2,255 - 1964: 2100201c xori r4,r4,128 - 1968: 213fe004 addi r4,r4,-128 - 196c: 00027100 call 2710 - 1970: e0bfff15 stw r2,-4(fp) + 17c8: 00800074 movhi r2,1 + 17cc: 10908f04 addi r2,r2,16956 + 17d0: 10800043 ldbu r2,1(r2) + 17d4: 11003fcc andi r4,r2,255 + 17d8: 2100201c xori r4,r4,128 + 17dc: 213fe004 addi r4,r4,-128 + 17e0: 00025c00 call 25c0 + 17e4: e0bfff15 stw r2,-4(fp) inc_pc(); - 1974: 00028840 call 2884 + 17e8: 00027340 call 2734 return rec; - 1978: e0bfff17 ldw r2,-4(fp) + 17ec: e0bfff17 ldw r2,-4(fp) } - 197c: e037883a mov sp,fp - 1980: dfc00117 ldw ra,4(sp) - 1984: df000017 ldw fp,0(sp) - 1988: dec00204 addi sp,sp,8 - 198c: f800283a ret + 17f0: e037883a mov sp,fp + 17f4: dfc00117 ldw ra,4(sp) + 17f8: df000017 ldw fp,0(sp) + 17fc: dec00204 addi sp,sp,8 + 1800: f800283a ret -00001990 : +00001804 : void inst_decode(struct InstRec inst_rec){ - 1990: defffc04 addi sp,sp,-16 - 1994: dfc00315 stw ra,12(sp) - 1998: df000215 stw fp,8(sp) - 199c: df000204 addi fp,sp,8 - 19a0: e13ffe15 stw r4,-8(fp) + 1804: defffc04 addi sp,sp,-16 + 1808: dfc00315 stw ra,12(sp) + 180c: df000215 stw fp,8(sp) + 1810: df000204 addi fp,sp,8 + 1814: e13ffe15 stw r4,-8(fp) switch(inst_rec.inst) { - 19a4: e0bffe17 ldw r2,-8(fp) - 19a8: 108003cc andi r2,r2,15 - 19ac: 10803fcc andi r2,r2,255 - 19b0: e0bfff15 stw r2,-4(fp) - 19b4: e0ffff17 ldw r3,-4(fp) - 19b8: 18800328 cmpgeui r2,r3,12 - 19bc: 1000821e bne r2,zero,1bc8 - 19c0: e13fff17 ldw r4,-4(fp) - 19c4: e13fff17 ldw r4,-4(fp) - 19c8: 2105883a add r2,r4,r4 - 19cc: 1087883a add r3,r2,r2 - 19d0: 00800034 movhi r2,0 - 19d4: 10867904 addi r2,r2,6628 - 19d8: 1885883a add r2,r3,r2 - 19dc: 10800017 ldw r2,0(r2) - 19e0: 1000683a jmp r2 - 19e4: 00001bc8 cmpgei zero,zero,111 - 19e8: 00001a14 movui zero,104 - 19ec: 00001a3c xorhi zero,zero,104 - 19f0: 00001a64 muli zero,zero,105 - 19f4: 00001a8c andi zero,zero,106 - 19f8: 00001ab4 movhi zero,106 - 19fc: 00001adc xori zero,zero,107 - 1a00: 00001b04 movi zero,108 - 1a04: 00001b2c andhi zero,zero,108 - 1a08: 00001b54 movui zero,109 - 1a0c: 00001b7c xorhi zero,zero,109 - 1a10: 00001ba4 muli zero,zero,110 + 1818: e0bffe17 ldw r2,-8(fp) + 181c: 108003cc andi r2,r2,15 + 1820: 10803fcc andi r2,r2,255 + 1824: e0bfff15 stw r2,-4(fp) + 1828: e0ffff17 ldw r3,-4(fp) + 182c: 18800328 cmpgeui r2,r3,12 + 1830: 1000821e bne r2,zero,1a3c + 1834: e13fff17 ldw r4,-4(fp) + 1838: e13fff17 ldw r4,-4(fp) + 183c: 2105883a add r2,r4,r4 + 1840: 1087883a add r3,r2,r2 + 1844: 00800034 movhi r2,0 + 1848: 10861604 addi r2,r2,6232 + 184c: 1885883a add r2,r3,r2 + 1850: 10800017 ldw r2,0(r2) + 1854: 1000683a jmp r2 + 1858: 00001a3c xorhi zero,zero,104 + 185c: 00001888 cmpgei zero,zero,98 + 1860: 000018b0 cmpltui zero,zero,98 + 1864: 000018d8 cmpnei zero,zero,99 + 1868: 00001900 call 190 + 186c: 00001928 cmpgeui zero,zero,100 + 1870: 00001950 cmplti zero,zero,101 + 1874: 00001978 rdprs zero,zero,101 + 1878: 000019a0 cmpeqi zero,zero,102 + 187c: 000019c8 cmpgei zero,zero,103 + 1880: 000019f0 cmpltui zero,zero,103 + 1884: 00001a18 cmpnei zero,zero,104 case INST_END: break; case INST_JUMP: inst_jump(inst_rec.regi, inst_rec.memi); - 1a14: e0bffe17 ldw r2,-8(fp) - 1a18: 1004d23a srli r2,r2,8 - 1a1c: 108003cc andi r2,r2,15 - 1a20: 11003fcc andi r4,r2,255 - 1a24: e0bffe17 ldw r2,-8(fp) - 1a28: 1004d13a srli r2,r2,4 - 1a2c: 108003cc andi r2,r2,15 - 1a30: 11403fcc andi r5,r2,255 - 1a34: 0001bdc0 call 1bdc + 1888: e0bffe17 ldw r2,-8(fp) + 188c: 1004d23a srli r2,r2,8 + 1890: 108003cc andi r2,r2,15 + 1894: 11003fcc andi r4,r2,255 + 1898: e0bffe17 ldw r2,-8(fp) + 189c: 1004d13a srli r2,r2,4 + 18a0: 108003cc andi r2,r2,15 + 18a4: 11403fcc andi r5,r2,255 + 18a8: 0001a500 call 1a50 break; - 1a38: 00006306 br 1bc8 + 18ac: 00006306 br 1a3c case INST_OUTPUT: inst_output(inst_rec.regi, inst_rec.memi); - 1a3c: e0bffe17 ldw r2,-8(fp) - 1a40: 1004d23a srli r2,r2,8 - 1a44: 108003cc andi r2,r2,15 - 1a48: 11003fcc andi r4,r2,255 - 1a4c: e0bffe17 ldw r2,-8(fp) - 1a50: 1004d13a srli r2,r2,4 - 1a54: 108003cc andi r2,r2,15 - 1a58: 11403fcc andi r5,r2,255 - 1a5c: 0001c380 call 1c38 + 18b0: e0bffe17 ldw r2,-8(fp) + 18b4: 1004d23a srli r2,r2,8 + 18b8: 108003cc andi r2,r2,15 + 18bc: 11003fcc andi r4,r2,255 + 18c0: e0bffe17 ldw r2,-8(fp) + 18c4: 1004d13a srli r2,r2,4 + 18c8: 108003cc andi r2,r2,15 + 18cc: 11403fcc andi r5,r2,255 + 18d0: 0001aac0 call 1aac break; - 1a60: 00005906 br 1bc8 + 18d4: 00005906 br 1a3c case INST_LOAD: inst_load(inst_rec.regi, inst_rec.memi); - 1a64: e0bffe17 ldw r2,-8(fp) - 1a68: 1004d23a srli r2,r2,8 - 1a6c: 108003cc andi r2,r2,15 - 1a70: 11003fcc andi r4,r2,255 - 1a74: e0bffe17 ldw r2,-8(fp) - 1a78: 1004d13a srli r2,r2,4 - 1a7c: 108003cc andi r2,r2,15 - 1a80: 11403fcc andi r5,r2,255 - 1a84: 0001ca80 call 1ca8 + 18d8: e0bffe17 ldw r2,-8(fp) + 18dc: 1004d23a srli r2,r2,8 + 18e0: 108003cc andi r2,r2,15 + 18e4: 11003fcc andi r4,r2,255 + 18e8: e0bffe17 ldw r2,-8(fp) + 18ec: 1004d13a srli r2,r2,4 + 18f0: 108003cc andi r2,r2,15 + 18f4: 11403fcc andi r5,r2,255 + 18f8: 0001b1c0 call 1b1c break; - 1a88: 00004f06 br 1bc8 + 18fc: 00004f06 br 1a3c case INST_STORE: inst_store(inst_rec.regi, inst_rec.memi); - 1a8c: e0bffe17 ldw r2,-8(fp) - 1a90: 1004d23a srli r2,r2,8 - 1a94: 108003cc andi r2,r2,15 - 1a98: 11003fcc andi r4,r2,255 - 1a9c: e0bffe17 ldw r2,-8(fp) - 1aa0: 1004d13a srli r2,r2,4 - 1aa4: 108003cc andi r2,r2,15 - 1aa8: 11403fcc andi r5,r2,255 - 1aac: 0001ce00 call 1ce0 + 1900: e0bffe17 ldw r2,-8(fp) + 1904: 1004d23a srli r2,r2,8 + 1908: 108003cc andi r2,r2,15 + 190c: 11003fcc andi r4,r2,255 + 1910: e0bffe17 ldw r2,-8(fp) + 1914: 1004d13a srli r2,r2,4 + 1918: 108003cc andi r2,r2,15 + 191c: 11403fcc andi r5,r2,255 + 1920: 0001b540 call 1b54 break; - 1ab0: 00004506 br 1bc8 + 1924: 00004506 br 1a3c case INST_DELAY: inst_delay(inst_rec.regi, inst_rec.memi); - 1ab4: e0bffe17 ldw r2,-8(fp) - 1ab8: 1004d23a srli r2,r2,8 - 1abc: 108003cc andi r2,r2,15 - 1ac0: 11003fcc andi r4,r2,255 - 1ac4: e0bffe17 ldw r2,-8(fp) - 1ac8: 1004d13a srli r2,r2,4 - 1acc: 108003cc andi r2,r2,15 - 1ad0: 11403fcc andi r5,r2,255 - 1ad4: 0001d180 call 1d18 + 1928: e0bffe17 ldw r2,-8(fp) + 192c: 1004d23a srli r2,r2,8 + 1930: 108003cc andi r2,r2,15 + 1934: 11003fcc andi r4,r2,255 + 1938: e0bffe17 ldw r2,-8(fp) + 193c: 1004d13a srli r2,r2,4 + 1940: 108003cc andi r2,r2,15 + 1944: 11403fcc andi r5,r2,255 + 1948: 0001b8c0 call 1b8c break; - 1ad8: 00003b06 br 1bc8 + 194c: 00003b06 br 1a3c case INST_ADD: inst_add(inst_rec.regi, inst_rec.memi); - 1adc: e0bffe17 ldw r2,-8(fp) - 1ae0: 1004d23a srli r2,r2,8 - 1ae4: 108003cc andi r2,r2,15 - 1ae8: 11003fcc andi r4,r2,255 - 1aec: e0bffe17 ldw r2,-8(fp) - 1af0: 1004d13a srli r2,r2,4 - 1af4: 108003cc andi r2,r2,15 - 1af8: 11403fcc andi r5,r2,255 - 1afc: 0001d740 call 1d74 + 1950: e0bffe17 ldw r2,-8(fp) + 1954: 1004d23a srli r2,r2,8 + 1958: 108003cc andi r2,r2,15 + 195c: 11003fcc andi r4,r2,255 + 1960: e0bffe17 ldw r2,-8(fp) + 1964: 1004d13a srli r2,r2,4 + 1968: 108003cc andi r2,r2,15 + 196c: 11403fcc andi r5,r2,255 + 1970: 0001be80 call 1be8 break; - 1b00: 00003106 br 1bc8 + 1974: 00003106 br 1a3c case INST_COMP: inst_comp(inst_rec.regi, inst_rec.memi); - 1b04: e0bffe17 ldw r2,-8(fp) - 1b08: 1004d23a srli r2,r2,8 - 1b0c: 108003cc andi r2,r2,15 - 1b10: 11003fcc andi r4,r2,255 - 1b14: e0bffe17 ldw r2,-8(fp) - 1b18: 1004d13a srli r2,r2,4 - 1b1c: 108003cc andi r2,r2,15 - 1b20: 11403fcc andi r5,r2,255 - 1b24: 0001dd00 call 1dd0 + 1978: e0bffe17 ldw r2,-8(fp) + 197c: 1004d23a srli r2,r2,8 + 1980: 108003cc andi r2,r2,15 + 1984: 11003fcc andi r4,r2,255 + 1988: e0bffe17 ldw r2,-8(fp) + 198c: 1004d13a srli r2,r2,4 + 1990: 108003cc andi r2,r2,15 + 1994: 11403fcc andi r5,r2,255 + 1998: 0001c440 call 1c44 break; - 1b28: 00002706 br 1bc8 + 199c: 00002706 br 1a3c case INST_JEQ: inst_jeq(inst_rec.regi, inst_rec.memi); - 1b2c: e0bffe17 ldw r2,-8(fp) - 1b30: 1004d23a srli r2,r2,8 - 1b34: 108003cc andi r2,r2,15 - 1b38: 11003fcc andi r4,r2,255 - 1b3c: e0bffe17 ldw r2,-8(fp) - 1b40: 1004d13a srli r2,r2,4 - 1b44: 108003cc andi r2,r2,15 - 1b48: 11403fcc andi r5,r2,255 - 1b4c: 0001ea00 call 1ea0 + 19a0: e0bffe17 ldw r2,-8(fp) + 19a4: 1004d23a srli r2,r2,8 + 19a8: 108003cc andi r2,r2,15 + 19ac: 11003fcc andi r4,r2,255 + 19b0: e0bffe17 ldw r2,-8(fp) + 19b4: 1004d13a srli r2,r2,4 + 19b8: 108003cc andi r2,r2,15 + 19bc: 11403fcc andi r5,r2,255 + 19c0: 0001d140 call 1d14 break; - 1b50: 00001d06 br 1bc8 + 19c4: 00001d06 br 1a3c case INST_JNE: inst_jne(inst_rec.regi, inst_rec.memi); - 1b54: e0bffe17 ldw r2,-8(fp) - 1b58: 1004d23a srli r2,r2,8 - 1b5c: 108003cc andi r2,r2,15 - 1b60: 11003fcc andi r4,r2,255 - 1b64: e0bffe17 ldw r2,-8(fp) - 1b68: 1004d13a srli r2,r2,4 - 1b6c: 108003cc andi r2,r2,15 - 1b70: 11403fcc andi r5,r2,255 - 1b74: 0001f0c0 call 1f0c + 19c8: e0bffe17 ldw r2,-8(fp) + 19cc: 1004d23a srli r2,r2,8 + 19d0: 108003cc andi r2,r2,15 + 19d4: 11003fcc andi r4,r2,255 + 19d8: e0bffe17 ldw r2,-8(fp) + 19dc: 1004d13a srli r2,r2,4 + 19e0: 108003cc andi r2,r2,15 + 19e4: 11403fcc andi r5,r2,255 + 19e8: 0001d800 call 1d80 break; - 1b78: 00001306 br 1bc8 + 19ec: 00001306 br 1a3c case INST_JIEQ: inst_jieq(inst_rec.regi, inst_rec.memi); - 1b7c: e0bffe17 ldw r2,-8(fp) - 1b80: 1004d23a srli r2,r2,8 - 1b84: 108003cc andi r2,r2,15 - 1b88: 11003fcc andi r4,r2,255 - 1b8c: e0bffe17 ldw r2,-8(fp) - 1b90: 1004d13a srli r2,r2,4 - 1b94: 108003cc andi r2,r2,15 - 1b98: 11403fcc andi r5,r2,255 - 1b9c: 0001f780 call 1f78 + 19f0: e0bffe17 ldw r2,-8(fp) + 19f4: 1004d23a srli r2,r2,8 + 19f8: 108003cc andi r2,r2,15 + 19fc: 11003fcc andi r4,r2,255 + 1a00: e0bffe17 ldw r2,-8(fp) + 1a04: 1004d13a srli r2,r2,4 + 1a08: 108003cc andi r2,r2,15 + 1a0c: 11403fcc andi r5,r2,255 + 1a10: 0001dec0 call 1dec break; - 1ba0: 00000906 br 1bc8 + 1a14: 00000906 br 1a3c case INST_JINE: inst_jine(inst_rec.regi, inst_rec.memi); - 1ba4: e0bffe17 ldw r2,-8(fp) - 1ba8: 1004d23a srli r2,r2,8 - 1bac: 108003cc andi r2,r2,15 - 1bb0: 11003fcc andi r4,r2,255 - 1bb4: e0bffe17 ldw r2,-8(fp) - 1bb8: 1004d13a srli r2,r2,4 - 1bbc: 108003cc andi r2,r2,15 - 1bc0: 11403fcc andi r5,r2,255 - 1bc4: 0001fc80 call 1fc8 + 1a18: e0bffe17 ldw r2,-8(fp) + 1a1c: 1004d23a srli r2,r2,8 + 1a20: 108003cc andi r2,r2,15 + 1a24: 11003fcc andi r4,r2,255 + 1a28: e0bffe17 ldw r2,-8(fp) + 1a2c: 1004d13a srli r2,r2,4 + 1a30: 108003cc andi r2,r2,15 + 1a34: 11403fcc andi r5,r2,255 + 1a38: 0001e3c0 call 1e3c break; } } - 1bc8: e037883a mov sp,fp - 1bcc: dfc00117 ldw ra,4(sp) - 1bd0: df000017 ldw fp,0(sp) - 1bd4: dec00204 addi sp,sp,8 - 1bd8: f800283a ret + 1a3c: e037883a mov sp,fp + 1a40: dfc00117 ldw ra,4(sp) + 1a44: df000017 ldw fp,0(sp) + 1a48: dec00204 addi sp,sp,8 + 1a4c: f800283a ret -00001bdc : +00001a50 : void inst_jump(enum Register reg, unsigned char memory_index){ - 1bdc: defffc04 addi sp,sp,-16 - 1be0: dfc00315 stw ra,12(sp) - 1be4: df000215 stw fp,8(sp) - 1be8: df000204 addi fp,sp,8 - 1bec: e13ffe15 stw r4,-8(fp) - 1bf0: e17fff05 stb r5,-4(fp) + 1a50: defffc04 addi sp,sp,-16 + 1a54: dfc00315 stw ra,12(sp) + 1a58: df000215 stw fp,8(sp) + 1a5c: df000204 addi fp,sp,8 + 1a60: e13ffe15 stw r4,-8(fp) + 1a64: e17fff05 stb r5,-4(fp) set_pc(global_registers[reg]+memory_index); - 1bf4: e0fffe17 ldw r3,-8(fp) - 1bf8: 00800074 movhi r2,1 - 1bfc: 10908d04 addi r2,r2,16948 - 1c00: 10c5883a add r2,r2,r3 - 1c04: 10800003 ldbu r2,0(r2) - 1c08: 10c03fcc andi r3,r2,255 - 1c0c: 18c0201c xori r3,r3,128 - 1c10: 18ffe004 addi r3,r3,-128 - 1c14: e0bfff03 ldbu r2,-4(fp) - 1c18: 1885883a add r2,r3,r2 - 1c1c: 1009883a mov r4,r2 - 1c20: 00029580 call 2958 + 1a68: e0fffe17 ldw r3,-8(fp) + 1a6c: 00800074 movhi r2,1 + 1a70: 10908f04 addi r2,r2,16956 + 1a74: 10c5883a add r2,r2,r3 + 1a78: 10800003 ldbu r2,0(r2) + 1a7c: 10c03fcc andi r3,r2,255 + 1a80: 18c0201c xori r3,r3,128 + 1a84: 18ffe004 addi r3,r3,-128 + 1a88: e0bfff03 ldbu r2,-4(fp) + 1a8c: 1885883a add r2,r3,r2 + 1a90: 1009883a mov r4,r2 + 1a94: 00028080 call 2808 } - 1c24: e037883a mov sp,fp - 1c28: dfc00117 ldw ra,4(sp) - 1c2c: df000017 ldw fp,0(sp) - 1c30: dec00204 addi sp,sp,8 - 1c34: f800283a ret + 1a98: e037883a mov sp,fp + 1a9c: dfc00117 ldw ra,4(sp) + 1aa0: df000017 ldw fp,0(sp) + 1aa4: dec00204 addi sp,sp,8 + 1aa8: f800283a ret -00001c38 : +00001aac : void inst_output(enum Register reg, unsigned char memory_index){ - 1c38: defffa04 addi sp,sp,-24 - 1c3c: dfc00515 stw ra,20(sp) - 1c40: df000415 stw fp,16(sp) - 1c44: df000404 addi fp,sp,16 - 1c48: e13ffe15 stw r4,-8(fp) - 1c4c: e17fff05 stb r5,-4(fp) + 1aac: defffa04 addi sp,sp,-24 + 1ab0: dfc00515 stw ra,20(sp) + 1ab4: df000415 stw fp,16(sp) + 1ab8: df000404 addi fp,sp,16 + 1abc: e13ffe15 stw r4,-8(fp) + 1ac0: e17fff05 stb r5,-4(fp) //�������̒l��7�Z�O�ɕ\�� char buf[5]; memory_load(memory_index, Sseg); - 1c50: e13fff03 ldbu r4,-4(fp) - 1c54: 01400384 movi r5,14 - 1c58: 00027f40 call 27f4 + 1ac4: e13fff03 ldbu r4,-4(fp) + 1ac8: 01400384 movi r5,14 + 1acc: 00026a40 call 26a4 sprintf(buf, "%04d", global_registers[Sseg]); - 1c5c: 00800074 movhi r2,1 - 1c60: 10908d04 addi r2,r2,16948 - 1c64: 10800383 ldbu r2,14(r2) - 1c68: 11803fcc andi r6,r2,255 - 1c6c: 3180201c xori r6,r6,128 - 1c70: 31bfe004 addi r6,r6,-128 - 1c74: e13ffc04 addi r4,fp,-16 - 1c78: 01400074 movhi r5,1 - 1c7c: 297fe504 addi r5,r5,-108 - 1c80: 0002b640 call 2b64 + 1ad0: 00800074 movhi r2,1 + 1ad4: 10908f04 addi r2,r2,16956 + 1ad8: 10800383 ldbu r2,14(r2) + 1adc: 11803fcc andi r6,r2,255 + 1ae0: 3180201c xori r6,r6,128 + 1ae4: 31bfe004 addi r6,r6,-128 + 1ae8: e13ffc04 addi r4,fp,-16 + 1aec: 01400074 movhi r5,1 + 1af0: 297fde04 addi r5,r5,-136 + 1af4: 0002b640 call 2b64 print_block(buf, 4, HEX0_3); - 1c84: e13ffc04 addi r4,fp,-16 - 1c88: 01400104 movi r5,4 - 1c8c: 000d883a mov r6,zero - 1c90: 000136c0 call 136c + 1af8: e13ffc04 addi r4,fp,-16 + 1afc: 01400104 movi r5,4 + 1b00: 000d883a mov r6,zero + 1b04: 00011e00 call 11e0 } - 1c94: e037883a mov sp,fp - 1c98: dfc00117 ldw ra,4(sp) - 1c9c: df000017 ldw fp,0(sp) - 1ca0: dec00204 addi sp,sp,8 - 1ca4: f800283a ret + 1b08: e037883a mov sp,fp + 1b0c: dfc00117 ldw ra,4(sp) + 1b10: df000017 ldw fp,0(sp) + 1b14: dec00204 addi sp,sp,8 + 1b18: f800283a ret -00001ca8 : +00001b1c : void inst_load(enum Register reg, unsigned char memory_index){ - 1ca8: defffc04 addi sp,sp,-16 - 1cac: dfc00315 stw ra,12(sp) - 1cb0: df000215 stw fp,8(sp) - 1cb4: df000204 addi fp,sp,8 - 1cb8: e13ffe15 stw r4,-8(fp) - 1cbc: e17fff05 stb r5,-4(fp) + 1b1c: defffc04 addi sp,sp,-16 + 1b20: dfc00315 stw ra,12(sp) + 1b24: df000215 stw fp,8(sp) + 1b28: df000204 addi fp,sp,8 + 1b2c: e13ffe15 stw r4,-8(fp) + 1b30: e17fff05 stb r5,-4(fp) memory_load(memory_index, reg); - 1cc0: e13fff03 ldbu r4,-4(fp) - 1cc4: e17ffe17 ldw r5,-8(fp) - 1cc8: 00027f40 call 27f4 + 1b34: e13fff03 ldbu r4,-4(fp) + 1b38: e17ffe17 ldw r5,-8(fp) + 1b3c: 00026a40 call 26a4 } - 1ccc: e037883a mov sp,fp - 1cd0: dfc00117 ldw ra,4(sp) - 1cd4: df000017 ldw fp,0(sp) - 1cd8: dec00204 addi sp,sp,8 - 1cdc: f800283a ret + 1b40: e037883a mov sp,fp + 1b44: dfc00117 ldw ra,4(sp) + 1b48: df000017 ldw fp,0(sp) + 1b4c: dec00204 addi sp,sp,8 + 1b50: f800283a ret -00001ce0 : +00001b54 : void inst_store(enum Register reg, unsigned char memory_index){ - 1ce0: defffc04 addi sp,sp,-16 - 1ce4: dfc00315 stw ra,12(sp) - 1ce8: df000215 stw fp,8(sp) - 1cec: df000204 addi fp,sp,8 - 1cf0: e13ffe15 stw r4,-8(fp) - 1cf4: e17fff05 stb r5,-4(fp) + 1b54: defffc04 addi sp,sp,-16 + 1b58: dfc00315 stw ra,12(sp) + 1b5c: df000215 stw fp,8(sp) + 1b60: df000204 addi fp,sp,8 + 1b64: e13ffe15 stw r4,-8(fp) + 1b68: e17fff05 stb r5,-4(fp) memory_store(memory_index, reg); - 1cf8: e13fff03 ldbu r4,-4(fp) - 1cfc: e17ffe17 ldw r5,-8(fp) - 1d00: 00027580 call 2758 + 1b6c: e13fff03 ldbu r4,-4(fp) + 1b70: e17ffe17 ldw r5,-8(fp) + 1b74: 00026080 call 2608 } - 1d04: e037883a mov sp,fp - 1d08: dfc00117 ldw ra,4(sp) - 1d0c: df000017 ldw fp,0(sp) - 1d10: dec00204 addi sp,sp,8 - 1d14: f800283a ret + 1b78: e037883a mov sp,fp + 1b7c: dfc00117 ldw ra,4(sp) + 1b80: df000017 ldw fp,0(sp) + 1b84: dec00204 addi sp,sp,8 + 1b88: f800283a ret -00001d18 : +00001b8c : void inst_delay(enum Register reg, unsigned char memory_index){ - 1d18: defffc04 addi sp,sp,-16 - 1d1c: dfc00315 stw ra,12(sp) - 1d20: df000215 stw fp,8(sp) - 1d24: df000204 addi fp,sp,8 - 1d28: e13ffe15 stw r4,-8(fp) - 1d2c: e17fff05 stb r5,-4(fp) + 1b8c: defffc04 addi sp,sp,-16 + 1b90: dfc00315 stw ra,12(sp) + 1b94: df000215 stw fp,8(sp) + 1b98: df000204 addi fp,sp,8 + 1b9c: e13ffe15 stw r4,-8(fp) + 1ba0: e17fff05 stb r5,-4(fp) //���W�X�^�̒l*10ms�҂� usleep((int)global_registers[reg]*10000); - 1d30: e0fffe17 ldw r3,-8(fp) - 1d34: 00800074 movhi r2,1 - 1d38: 10908d04 addi r2,r2,16948 - 1d3c: 10c5883a add r2,r2,r3 - 1d40: 10800003 ldbu r2,0(r2) - 1d44: 11003fcc andi r4,r2,255 - 1d48: 2100201c xori r4,r4,128 - 1d4c: 213fe004 addi r4,r4,-128 - 1d50: 0149c404 movi r5,10000 - 1d54: 0002b2c0 call 2b2c <__mulsi3> - 1d58: 1009883a mov r4,r2 - 1d5c: 000cd800 call cd80 + 1ba4: e0fffe17 ldw r3,-8(fp) + 1ba8: 00800074 movhi r2,1 + 1bac: 10908f04 addi r2,r2,16956 + 1bb0: 10c5883a add r2,r2,r3 + 1bb4: 10800003 ldbu r2,0(r2) + 1bb8: 11003fcc andi r4,r2,255 + 1bbc: 2100201c xori r4,r4,128 + 1bc0: 213fe004 addi r4,r4,-128 + 1bc4: 0149c404 movi r5,10000 + 1bc8: 0002b2c0 call 2b2c <__mulsi3> + 1bcc: 1009883a mov r4,r2 + 1bd0: 000cd800 call cd80 } - 1d60: e037883a mov sp,fp - 1d64: dfc00117 ldw ra,4(sp) - 1d68: df000017 ldw fp,0(sp) - 1d6c: dec00204 addi sp,sp,8 - 1d70: f800283a ret + 1bd4: e037883a mov sp,fp + 1bd8: dfc00117 ldw ra,4(sp) + 1bdc: df000017 ldw fp,0(sp) + 1be0: dec00204 addi sp,sp,8 + 1be4: f800283a ret -00001d74 : +00001be8 : void inst_add(enum Register reg, unsigned char memory_index){ - 1d74: defffd04 addi sp,sp,-12 - 1d78: df000215 stw fp,8(sp) - 1d7c: df000204 addi fp,sp,8 - 1d80: e13ffe15 stw r4,-8(fp) - 1d84: e17fff05 stb r5,-4(fp) + 1be8: defffd04 addi sp,sp,-12 + 1bec: df000215 stw fp,8(sp) + 1bf0: df000204 addi fp,sp,8 + 1bf4: e13ffe15 stw r4,-8(fp) + 1bf8: e17fff05 stb r5,-4(fp) global_registers[Sacc]+=global_registers[reg]; - 1d88: 00800074 movhi r2,1 - 1d8c: 10908d04 addi r2,r2,16948 - 1d90: 10800143 ldbu r2,5(r2) - 1d94: 1009883a mov r4,r2 - 1d98: e0fffe17 ldw r3,-8(fp) - 1d9c: 00800074 movhi r2,1 - 1da0: 10908d04 addi r2,r2,16948 - 1da4: 10c5883a add r2,r2,r3 - 1da8: 10800003 ldbu r2,0(r2) - 1dac: 2085883a add r2,r4,r2 - 1db0: 1007883a mov r3,r2 - 1db4: 00800074 movhi r2,1 - 1db8: 10908d04 addi r2,r2,16948 - 1dbc: 10c00145 stb r3,5(r2) + 1bfc: 00800074 movhi r2,1 + 1c00: 10908f04 addi r2,r2,16956 + 1c04: 10800143 ldbu r2,5(r2) + 1c08: 1009883a mov r4,r2 + 1c0c: e0fffe17 ldw r3,-8(fp) + 1c10: 00800074 movhi r2,1 + 1c14: 10908f04 addi r2,r2,16956 + 1c18: 10c5883a add r2,r2,r3 + 1c1c: 10800003 ldbu r2,0(r2) + 1c20: 2085883a add r2,r4,r2 + 1c24: 1007883a mov r3,r2 + 1c28: 00800074 movhi r2,1 + 1c2c: 10908f04 addi r2,r2,16956 + 1c30: 10c00145 stb r3,5(r2) } - 1dc0: e037883a mov sp,fp - 1dc4: df000017 ldw fp,0(sp) - 1dc8: dec00104 addi sp,sp,4 - 1dcc: f800283a ret + 1c34: e037883a mov sp,fp + 1c38: df000017 ldw fp,0(sp) + 1c3c: dec00104 addi sp,sp,4 + 1c40: f800283a ret -00001dd0 : +00001c44 : void inst_comp(enum Register reg, unsigned char memory_index){ - 1dd0: defffd04 addi sp,sp,-12 - 1dd4: df000215 stw fp,8(sp) - 1dd8: df000204 addi fp,sp,8 - 1ddc: e13ffe15 stw r4,-8(fp) - 1de0: e17fff05 stb r5,-4(fp) + 1c44: defffd04 addi sp,sp,-12 + 1c48: df000215 stw fp,8(sp) + 1c4c: df000204 addi fp,sp,8 + 1c50: e13ffe15 stw r4,-8(fp) + 1c54: e17fff05 stb r5,-4(fp) if(global_registers[Sacc]==global_registers[reg]){ - 1de4: 00800074 movhi r2,1 - 1de8: 10908d04 addi r2,r2,16948 - 1dec: 11000143 ldbu r4,5(r2) - 1df0: e0fffe17 ldw r3,-8(fp) - 1df4: 00800074 movhi r2,1 - 1df8: 10908d04 addi r2,r2,16948 - 1dfc: 10c5883a add r2,r2,r3 - 1e00: 10800003 ldbu r2,0(r2) - 1e04: 20c03fcc andi r3,r4,255 - 1e08: 18c0201c xori r3,r3,128 - 1e0c: 18ffe004 addi r3,r3,-128 - 1e10: 10803fcc andi r2,r2,255 - 1e14: 1080201c xori r2,r2,128 - 1e18: 10bfe004 addi r2,r2,-128 - 1e1c: 1880041e bne r3,r2,1e30 + 1c58: 00800074 movhi r2,1 + 1c5c: 10908f04 addi r2,r2,16956 + 1c60: 11000143 ldbu r4,5(r2) + 1c64: e0fffe17 ldw r3,-8(fp) + 1c68: 00800074 movhi r2,1 + 1c6c: 10908f04 addi r2,r2,16956 + 1c70: 10c5883a add r2,r2,r3 + 1c74: 10800003 ldbu r2,0(r2) + 1c78: 20c03fcc andi r3,r4,255 + 1c7c: 18c0201c xori r3,r3,128 + 1c80: 18ffe004 addi r3,r3,-128 + 1c84: 10803fcc andi r2,r2,255 + 1c88: 1080201c xori r2,r2,128 + 1c8c: 10bfe004 addi r2,r2,-128 + 1c90: 1880041e bne r3,r2,1ca4 global_registers[Sflg]=0; - 1e20: 00800074 movhi r2,1 - 1e24: 10908d04 addi r2,r2,16948 - 1e28: 10000185 stb zero,6(r2) - 1e2c: 00001806 br 1e90 + 1c94: 00800074 movhi r2,1 + 1c98: 10908f04 addi r2,r2,16956 + 1c9c: 10000185 stb zero,6(r2) + 1ca0: 00001806 br 1d04 } else if(global_registers[Sacc] > global_registers[reg]){ - 1e30: 00800074 movhi r2,1 - 1e34: 10908d04 addi r2,r2,16948 - 1e38: 11000143 ldbu r4,5(r2) - 1e3c: e0fffe17 ldw r3,-8(fp) - 1e40: 00800074 movhi r2,1 - 1e44: 10908d04 addi r2,r2,16948 - 1e48: 10c5883a add r2,r2,r3 - 1e4c: 10800003 ldbu r2,0(r2) - 1e50: 20c03fcc andi r3,r4,255 - 1e54: 18c0201c xori r3,r3,128 - 1e58: 18ffe004 addi r3,r3,-128 - 1e5c: 10803fcc andi r2,r2,255 - 1e60: 1080201c xori r2,r2,128 - 1e64: 10bfe004 addi r2,r2,-128 - 1e68: 10c0050e bge r2,r3,1e80 + 1ca4: 00800074 movhi r2,1 + 1ca8: 10908f04 addi r2,r2,16956 + 1cac: 11000143 ldbu r4,5(r2) + 1cb0: e0fffe17 ldw r3,-8(fp) + 1cb4: 00800074 movhi r2,1 + 1cb8: 10908f04 addi r2,r2,16956 + 1cbc: 10c5883a add r2,r2,r3 + 1cc0: 10800003 ldbu r2,0(r2) + 1cc4: 20c03fcc andi r3,r4,255 + 1cc8: 18c0201c xori r3,r3,128 + 1ccc: 18ffe004 addi r3,r3,-128 + 1cd0: 10803fcc andi r2,r2,255 + 1cd4: 1080201c xori r2,r2,128 + 1cd8: 10bfe004 addi r2,r2,-128 + 1cdc: 10c0050e bge r2,r3,1cf4 global_registers[Sflg]=-1; - 1e6c: 00c00074 movhi r3,1 - 1e70: 18d08d04 addi r3,r3,16948 - 1e74: 00bfffc4 movi r2,-1 - 1e78: 18800185 stb r2,6(r3) - 1e7c: 00000406 br 1e90 + 1ce0: 00c00074 movhi r3,1 + 1ce4: 18d08f04 addi r3,r3,16956 + 1ce8: 00bfffc4 movi r2,-1 + 1cec: 18800185 stb r2,6(r3) + 1cf0: 00000406 br 1d04 }else{ global_registers[Sflg]=1; - 1e80: 00c00074 movhi r3,1 - 1e84: 18d08d04 addi r3,r3,16948 - 1e88: 00800044 movi r2,1 - 1e8c: 18800185 stb r2,6(r3) + 1cf4: 00c00074 movhi r3,1 + 1cf8: 18d08f04 addi r3,r3,16956 + 1cfc: 00800044 movi r2,1 + 1d00: 18800185 stb r2,6(r3) } } - 1e90: e037883a mov sp,fp - 1e94: df000017 ldw fp,0(sp) - 1e98: dec00104 addi sp,sp,4 - 1e9c: f800283a ret + 1d04: e037883a mov sp,fp + 1d08: df000017 ldw fp,0(sp) + 1d0c: dec00104 addi sp,sp,4 + 1d10: f800283a ret -00001ea0 : +00001d14 : void inst_jeq(enum Register reg, unsigned char memory_index){ - 1ea0: defffc04 addi sp,sp,-16 - 1ea4: dfc00315 stw ra,12(sp) - 1ea8: df000215 stw fp,8(sp) - 1eac: df000204 addi fp,sp,8 - 1eb0: e13ffe15 stw r4,-8(fp) - 1eb4: e17fff05 stb r5,-4(fp) + 1d14: defffc04 addi sp,sp,-16 + 1d18: dfc00315 stw ra,12(sp) + 1d1c: df000215 stw fp,8(sp) + 1d20: df000204 addi fp,sp,8 + 1d24: e13ffe15 stw r4,-8(fp) + 1d28: e17fff05 stb r5,-4(fp) if(global_registers[Sflg]==global_registers[reg]){ - 1eb8: 00800074 movhi r2,1 - 1ebc: 10908d04 addi r2,r2,16948 - 1ec0: 11000183 ldbu r4,6(r2) - 1ec4: e0fffe17 ldw r3,-8(fp) - 1ec8: 00800074 movhi r2,1 - 1ecc: 10908d04 addi r2,r2,16948 - 1ed0: 10c5883a add r2,r2,r3 - 1ed4: 10800003 ldbu r2,0(r2) - 1ed8: 20c03fcc andi r3,r4,255 - 1edc: 18c0201c xori r3,r3,128 - 1ee0: 18ffe004 addi r3,r3,-128 - 1ee4: 10803fcc andi r2,r2,255 - 1ee8: 1080201c xori r2,r2,128 - 1eec: 10bfe004 addi r2,r2,-128 - 1ef0: 1880011e bne r3,r2,1ef8 + 1d2c: 00800074 movhi r2,1 + 1d30: 10908f04 addi r2,r2,16956 + 1d34: 11000183 ldbu r4,6(r2) + 1d38: e0fffe17 ldw r3,-8(fp) + 1d3c: 00800074 movhi r2,1 + 1d40: 10908f04 addi r2,r2,16956 + 1d44: 10c5883a add r2,r2,r3 + 1d48: 10800003 ldbu r2,0(r2) + 1d4c: 20c03fcc andi r3,r4,255 + 1d50: 18c0201c xori r3,r3,128 + 1d54: 18ffe004 addi r3,r3,-128 + 1d58: 10803fcc andi r2,r2,255 + 1d5c: 1080201c xori r2,r2,128 + 1d60: 10bfe004 addi r2,r2,-128 + 1d64: 1880011e bne r3,r2,1d6c inc_pc(); - 1ef4: 00028840 call 2884 + 1d68: 00027340 call 2734 } } - 1ef8: e037883a mov sp,fp - 1efc: dfc00117 ldw ra,4(sp) - 1f00: df000017 ldw fp,0(sp) - 1f04: dec00204 addi sp,sp,8 - 1f08: f800283a ret + 1d6c: e037883a mov sp,fp + 1d70: dfc00117 ldw ra,4(sp) + 1d74: df000017 ldw fp,0(sp) + 1d78: dec00204 addi sp,sp,8 + 1d7c: f800283a ret -00001f0c : +00001d80 : void inst_jne(enum Register reg, unsigned char memory_index){ - 1f0c: defffc04 addi sp,sp,-16 - 1f10: dfc00315 stw ra,12(sp) - 1f14: df000215 stw fp,8(sp) - 1f18: df000204 addi fp,sp,8 - 1f1c: e13ffe15 stw r4,-8(fp) - 1f20: e17fff05 stb r5,-4(fp) + 1d80: defffc04 addi sp,sp,-16 + 1d84: dfc00315 stw ra,12(sp) + 1d88: df000215 stw fp,8(sp) + 1d8c: df000204 addi fp,sp,8 + 1d90: e13ffe15 stw r4,-8(fp) + 1d94: e17fff05 stb r5,-4(fp) if(global_registers[Sflg]!=global_registers[reg]){ - 1f24: 00800074 movhi r2,1 - 1f28: 10908d04 addi r2,r2,16948 - 1f2c: 11000183 ldbu r4,6(r2) - 1f30: e0fffe17 ldw r3,-8(fp) - 1f34: 00800074 movhi r2,1 - 1f38: 10908d04 addi r2,r2,16948 - 1f3c: 10c5883a add r2,r2,r3 - 1f40: 10800003 ldbu r2,0(r2) - 1f44: 20c03fcc andi r3,r4,255 - 1f48: 18c0201c xori r3,r3,128 - 1f4c: 18ffe004 addi r3,r3,-128 - 1f50: 10803fcc andi r2,r2,255 - 1f54: 1080201c xori r2,r2,128 - 1f58: 10bfe004 addi r2,r2,-128 - 1f5c: 18800126 beq r3,r2,1f64 + 1d98: 00800074 movhi r2,1 + 1d9c: 10908f04 addi r2,r2,16956 + 1da0: 11000183 ldbu r4,6(r2) + 1da4: e0fffe17 ldw r3,-8(fp) + 1da8: 00800074 movhi r2,1 + 1dac: 10908f04 addi r2,r2,16956 + 1db0: 10c5883a add r2,r2,r3 + 1db4: 10800003 ldbu r2,0(r2) + 1db8: 20c03fcc andi r3,r4,255 + 1dbc: 18c0201c xori r3,r3,128 + 1dc0: 18ffe004 addi r3,r3,-128 + 1dc4: 10803fcc andi r2,r2,255 + 1dc8: 1080201c xori r2,r2,128 + 1dcc: 10bfe004 addi r2,r2,-128 + 1dd0: 18800126 beq r3,r2,1dd8 inc_pc(); - 1f60: 00028840 call 2884 + 1dd4: 00027340 call 2734 } } - 1f64: e037883a mov sp,fp - 1f68: dfc00117 ldw ra,4(sp) - 1f6c: df000017 ldw fp,0(sp) - 1f70: dec00204 addi sp,sp,8 - 1f74: f800283a ret + 1dd8: e037883a mov sp,fp + 1ddc: dfc00117 ldw ra,4(sp) + 1de0: df000017 ldw fp,0(sp) + 1de4: dec00204 addi sp,sp,8 + 1de8: f800283a ret -00001f78 : +00001dec : void inst_jieq(char im, unsigned char memory_index){ - 1f78: defffc04 addi sp,sp,-16 - 1f7c: dfc00315 stw ra,12(sp) - 1f80: df000215 stw fp,8(sp) - 1f84: df000204 addi fp,sp,8 - 1f88: e13ffe05 stb r4,-8(fp) - 1f8c: e17fff05 stb r5,-4(fp) + 1dec: defffc04 addi sp,sp,-16 + 1df0: dfc00315 stw ra,12(sp) + 1df4: df000215 stw fp,8(sp) + 1df8: df000204 addi fp,sp,8 + 1dfc: e13ffe05 stb r4,-8(fp) + 1e00: e17fff05 stb r5,-4(fp) if(global_registers[Sflg]==im){ - 1f90: 00800074 movhi r2,1 - 1f94: 10908d04 addi r2,r2,16948 - 1f98: 10800183 ldbu r2,6(r2) - 1f9c: 10c03fcc andi r3,r2,255 - 1fa0: 18c0201c xori r3,r3,128 - 1fa4: 18ffe004 addi r3,r3,-128 - 1fa8: e0bffe07 ldb r2,-8(fp) - 1fac: 1880011e bne r3,r2,1fb4 + 1e04: 00800074 movhi r2,1 + 1e08: 10908f04 addi r2,r2,16956 + 1e0c: 10800183 ldbu r2,6(r2) + 1e10: 10c03fcc andi r3,r2,255 + 1e14: 18c0201c xori r3,r3,128 + 1e18: 18ffe004 addi r3,r3,-128 + 1e1c: e0bffe07 ldb r2,-8(fp) + 1e20: 1880011e bne r3,r2,1e28 inc_pc(); - 1fb0: 00028840 call 2884 + 1e24: 00027340 call 2734 } } - 1fb4: e037883a mov sp,fp - 1fb8: dfc00117 ldw ra,4(sp) - 1fbc: df000017 ldw fp,0(sp) - 1fc0: dec00204 addi sp,sp,8 - 1fc4: f800283a ret + 1e28: e037883a mov sp,fp + 1e2c: dfc00117 ldw ra,4(sp) + 1e30: df000017 ldw fp,0(sp) + 1e34: dec00204 addi sp,sp,8 + 1e38: f800283a ret -00001fc8 : +00001e3c : void inst_jine(char im, unsigned char memory_index){ - 1fc8: defffc04 addi sp,sp,-16 - 1fcc: dfc00315 stw ra,12(sp) - 1fd0: df000215 stw fp,8(sp) - 1fd4: df000204 addi fp,sp,8 - 1fd8: e13ffe05 stb r4,-8(fp) - 1fdc: e17fff05 stb r5,-4(fp) + 1e3c: defffc04 addi sp,sp,-16 + 1e40: dfc00315 stw ra,12(sp) + 1e44: df000215 stw fp,8(sp) + 1e48: df000204 addi fp,sp,8 + 1e4c: e13ffe05 stb r4,-8(fp) + 1e50: e17fff05 stb r5,-4(fp) if(global_registers[Sflg]!=im){ - 1fe0: 00800074 movhi r2,1 - 1fe4: 10908d04 addi r2,r2,16948 - 1fe8: 10800183 ldbu r2,6(r2) - 1fec: 10c03fcc andi r3,r2,255 - 1ff0: 18c0201c xori r3,r3,128 - 1ff4: 18ffe004 addi r3,r3,-128 - 1ff8: e0bffe07 ldb r2,-8(fp) - 1ffc: 18800126 beq r3,r2,2004 + 1e54: 00800074 movhi r2,1 + 1e58: 10908f04 addi r2,r2,16956 + 1e5c: 10800183 ldbu r2,6(r2) + 1e60: 10c03fcc andi r3,r2,255 + 1e64: 18c0201c xori r3,r3,128 + 1e68: 18ffe004 addi r3,r3,-128 + 1e6c: e0bffe07 ldb r2,-8(fp) + 1e70: 18800126 beq r3,r2,1e78 inc_pc(); - 2000: 00028840 call 2884 + 1e74: 00027340 call 2734 } } - 2004: e037883a mov sp,fp - 2008: dfc00117 ldw ra,4(sp) - 200c: df000017 ldw fp,0(sp) - 2010: dec00204 addi sp,sp,8 - 2014: f800283a ret + 1e78: e037883a mov sp,fp + 1e7c: dfc00117 ldw ra,4(sp) + 1e80: df000017 ldw fp,0(sp) + 1e84: dec00204 addi sp,sp,8 + 1e88: f800283a ret -00002018 : +00001e8c : #include #include "system.h" #include "LCD.h" // LCD�̏����� void lcd_init() { - 2018: defffe04 addi sp,sp,-8 - 201c: dfc00115 stw ra,4(sp) - 2020: df000015 stw fp,0(sp) - 2024: d839883a mov fp,sp + 1e8c: defffe04 addi sp,sp,-8 + 1e90: dfc00115 stw ra,4(sp) + 1e94: df000015 stw fp,0(sp) + 1e98: d839883a mov fp,sp *lcd_on = 1; - 2028: 00c00134 movhi r3,4 - 202c: 18c40404 addi r3,r3,4112 - 2030: 00800044 movi r2,1 - 2034: 18800005 stb r2,0(r3) + 1e9c: 00c00134 movhi r3,4 + 1ea0: 18c40404 addi r3,r3,4112 + 1ea4: 00800044 movi r2,1 + 1ea8: 18800005 stb r2,0(r3) *lcd_blon = 1; - 2038: 00c00134 movhi r3,4 - 203c: 18c40804 addi r3,r3,4128 - 2040: 00800044 movi r2,1 - 2044: 18800005 stb r2,0(r3) + 1eac: 00c00134 movhi r3,4 + 1eb0: 18c40804 addi r3,r3,4128 + 1eb4: 00800044 movi r2,1 + 1eb8: 18800005 stb r2,0(r3) LCD_Init(); - 2048: 00001f00 call 1f0 + 1ebc: 00001f00 call 1f0 } - 204c: e037883a mov sp,fp - 2050: dfc00117 ldw ra,4(sp) - 2054: df000017 ldw fp,0(sp) - 2058: dec00204 addi sp,sp,8 - 205c: f800283a ret + 1ec0: e037883a mov sp,fp + 1ec4: dfc00117 ldw ra,4(sp) + 1ec8: df000017 ldw fp,0(sp) + 1ecc: dec00204 addi sp,sp,8 + 1ed0: f800283a ret -00002060 : +00001ed4 : // LCD�̃L�����b�g���P�s�ڂ̂͂��߂Ɉړ����� void lcd_caret_reset() { - 2060: defffe04 addi sp,sp,-8 - 2064: dfc00115 stw ra,4(sp) - 2068: df000015 stw fp,0(sp) - 206c: d839883a mov fp,sp + 1ed4: defffe04 addi sp,sp,-8 + 1ed8: dfc00115 stw ra,4(sp) + 1edc: df000015 stw fp,0(sp) + 1ee0: d839883a mov fp,sp LCD_Init(); - 2070: 00001f00 call 1f0 + 1ee4: 00001f00 call 1f0 } - 2074: e037883a mov sp,fp - 2078: dfc00117 ldw ra,4(sp) - 207c: df000017 ldw fp,0(sp) - 2080: dec00204 addi sp,sp,8 - 2084: f800283a ret + 1ee8: e037883a mov sp,fp + 1eec: dfc00117 ldw ra,4(sp) + 1ef0: df000017 ldw fp,0(sp) + 1ef4: dec00204 addi sp,sp,8 + 1ef8: f800283a ret -00002088 : +00001efc : // LCD�̃L�����b�g���Q�s�ڂ̂͂��߂Ɉړ����� void lcd_caret_reset2() { - 2088: defffe04 addi sp,sp,-8 - 208c: dfc00115 stw ra,4(sp) - 2090: df000015 stw fp,0(sp) - 2094: d839883a mov fp,sp + 1efc: defffe04 addi sp,sp,-8 + 1f00: dfc00115 stw ra,4(sp) + 1f04: df000015 stw fp,0(sp) + 1f08: d839883a mov fp,sp LCD_Line2(); - 2098: 00003140 call 314 + 1f0c: 00003140 call 314 } - 209c: e037883a mov sp,fp - 20a0: dfc00117 ldw ra,4(sp) - 20a4: df000017 ldw fp,0(sp) - 20a8: dec00204 addi sp,sp,8 - 20ac: f800283a ret + 1f10: e037883a mov sp,fp + 1f14: dfc00117 ldw ra,4(sp) + 1f18: df000017 ldw fp,0(sp) + 1f1c: dec00204 addi sp,sp,8 + 1f20: f800283a ret -000020b0 : +00001f24 : // LCD�ɕ�����\������ void lcd_print(const char *str) { - 20b0: defffd04 addi sp,sp,-12 - 20b4: dfc00215 stw ra,8(sp) - 20b8: df000115 stw fp,4(sp) - 20bc: df000104 addi fp,sp,4 - 20c0: e13fff15 stw r4,-4(fp) + 1f24: defffd04 addi sp,sp,-12 + 1f28: dfc00215 stw ra,8(sp) + 1f2c: df000115 stw fp,4(sp) + 1f30: df000104 addi fp,sp,4 + 1f34: e13fff15 stw r4,-4(fp) LCD_Show_Text(str); - 20c4: e13fff17 ldw r4,-4(fp) - 20c8: 000028c0 call 28c + 1f38: e13fff17 ldw r4,-4(fp) + 1f3c: 000028c0 call 28c } - 20cc: e037883a mov sp,fp - 20d0: dfc00117 ldw ra,4(sp) - 20d4: df000017 ldw fp,0(sp) - 20d8: dec00204 addi sp,sp,8 - 20dc: f800283a ret + 1f40: e037883a mov sp,fp + 1f44: dfc00117 ldw ra,4(sp) + 1f48: df000017 ldw fp,0(sp) + 1f4c: dec00204 addi sp,sp,8 + 1f50: f800283a ret -000020e0 : - +00001f54 : #include "sys_debug.h" #include #include "lcd_out.h" +#include void display_inst(struct InstRec inst, unsigned int pc) { - 20e0: defff504 addi sp,sp,-44 - 20e4: dfc00a15 stw ra,40(sp) - 20e8: df000915 stw fp,36(sp) - 20ec: df000904 addi fp,sp,36 - 20f0: e13ffe15 stw r4,-8(fp) - 20f4: e17fff15 stw r5,-4(fp) + 1f54: defff504 addi sp,sp,-44 + 1f58: dfc00a15 stw ra,40(sp) + 1f5c: df000915 stw fp,36(sp) + 1f60: df000904 addi fp,sp,36 + 1f64: e13ffe15 stw r4,-8(fp) + 1f68: e17fff15 stw r5,-4(fp) char inst_name[INST_NAME_ARRAY_LEN]; // ���ߖ� char reg_name[REG_NAME_ARRAY_LEN]; // ���W�X�^�� char buf[17]; // ���߂̖��O�̎擾 convertInstName(inst_name, inst.inst); - 20f8: e0bffe17 ldw r2,-8(fp) - 20fc: 108003cc andi r2,r2,15 - 2100: 11403fcc andi r5,r2,255 - 2104: e13ff704 addi r4,fp,-36 - 2108: 00023680 call 2368 + 1f6c: e0bffe17 ldw r2,-8(fp) + 1f70: 108003cc andi r2,r2,15 + 1f74: 11403fcc andi r5,r2,255 + 1f78: e13ff704 addi r4,fp,-36 + 1f7c: 00021dc0 call 21dc // ���W�X�^�̖��O�̎擾 convertRegName(reg_name, inst.regi); - 210c: e0bffe17 ldw r2,-8(fp) - 2110: 1004d23a srli r2,r2,8 - 2114: 108003cc andi r2,r2,15 - 2118: 11403fcc andi r5,r2,255 - 211c: e13ff844 addi r4,fp,-31 - 2120: 00021f80 call 21f8 + 1f80: e0bffe17 ldw r2,-8(fp) + 1f84: 1004d23a srli r2,r2,8 + 1f88: 108003cc andi r2,r2,15 + 1f8c: 11403fcc andi r5,r2,255 + 1f90: e13ff844 addi r4,fp,-31 + 1f94: 000206c0 call 206c lcd_caret_reset(); - 2124: 00020600 call 2060 + 1f98: 0001ed40 call 1ed4 sprintf(buf, "PC:0x%02x -> %4s",pc,inst_name); - 2128: e13ff944 addi r4,fp,-27 - 212c: 01400074 movhi r5,1 - 2130: 297fe704 addi r5,r5,-100 - 2134: e1bfff17 ldw r6,-4(fp) - 2138: e1fff704 addi r7,fp,-36 - 213c: 0002b640 call 2b64 + 1f9c: e13ff944 addi r4,fp,-27 + 1fa0: 01400074 movhi r5,1 + 1fa4: 297fe004 addi r5,r5,-128 + 1fa8: e1bfff17 ldw r6,-4(fp) + 1fac: e1fff704 addi r7,fp,-36 + 1fb0: 0002b640 call 2b64 lcd_print(buf); - 2140: e13ff944 addi r4,fp,-27 - 2144: 00020b00 call 20b0 + 1fb4: e13ff944 addi r4,fp,-27 + 1fb8: 0001f240 call 1f24 lcd_caret_reset2(); - 2148: 00020880 call 2088 + 1fbc: 0001efc0 call 1efc sprintf(buf, "REG:%3s,MEM:0x%1x",reg_name,inst.memi); - 214c: e0bffe17 ldw r2,-8(fp) - 2150: 1004d13a srli r2,r2,4 - 2154: 108003cc andi r2,r2,15 - 2158: 11c03fcc andi r7,r2,255 - 215c: e13ff944 addi r4,fp,-27 - 2160: e1bff844 addi r6,fp,-31 - 2164: 01400074 movhi r5,1 - 2168: 297fec04 addi r5,r5,-80 - 216c: 0002b640 call 2b64 + 1fc0: e0bffe17 ldw r2,-8(fp) + 1fc4: 1004d13a srli r2,r2,4 + 1fc8: 108003cc andi r2,r2,15 + 1fcc: 11c03fcc andi r7,r2,255 + 1fd0: e13ff944 addi r4,fp,-27 + 1fd4: e1bff844 addi r6,fp,-31 + 1fd8: 01400074 movhi r5,1 + 1fdc: 297fe504 addi r5,r5,-108 + 1fe0: 0002b640 call 2b64 lcd_print(buf); - 2170: e13ff944 addi r4,fp,-27 - 2174: 00020b00 call 20b0 + 1fe4: e13ff944 addi r4,fp,-27 + 1fe8: 0001f240 call 1f24 } - 2178: e037883a mov sp,fp - 217c: dfc00117 ldw ra,4(sp) - 2180: df000017 ldw fp,0(sp) - 2184: dec00204 addi sp,sp,8 - 2188: f800283a ret + 1fec: e037883a mov sp,fp + 1ff0: dfc00117 ldw ra,4(sp) + 1ff4: df000017 ldw fp,0(sp) + 1ff8: dec00204 addi sp,sp,8 + 1ffc: f800283a ret -0000218c : +00002000 : void display_mem(unsigned char memi, char memv) { - 218c: defff704 addi sp,sp,-36 - 2190: dfc00815 stw ra,32(sp) - 2194: df000715 stw fp,28(sp) - 2198: df000704 addi fp,sp,28 - 219c: e13ffe05 stb r4,-8(fp) - 21a0: e17fff05 stb r5,-4(fp) + 2000: defff704 addi sp,sp,-36 + 2004: dfc00815 stw ra,32(sp) + 2008: df000715 stw fp,28(sp) + 200c: df000704 addi fp,sp,28 + 2010: e13ffe05 stb r4,-8(fp) + 2014: e17fff05 stb r5,-4(fp) char buf[17]; lcd_caret_reset(); - 21a4: 00020600 call 2060 + 2018: 0001ed40 call 1ed4 sprintf(buf, "MEM:0x%1x",memi); - 21a8: e1bffe03 ldbu r6,-8(fp) - 21ac: e13ff904 addi r4,fp,-28 - 21b0: 01400074 movhi r5,1 - 21b4: 297ff104 addi r5,r5,-60 - 21b8: 0002b640 call 2b64 + 201c: e1bffe03 ldbu r6,-8(fp) + 2020: e13ff904 addi r4,fp,-28 + 2024: 01400074 movhi r5,1 + 2028: 297fea04 addi r5,r5,-88 + 202c: 0002b640 call 2b64 lcd_print(buf); - 21bc: e13ff904 addi r4,fp,-28 - 21c0: 00020b00 call 20b0 + 2030: e13ff904 addi r4,fp,-28 + 2034: 0001f240 call 1f24 lcd_caret_reset2(); - 21c4: 00020880 call 2088 + 2038: 0001efc0 call 1efc sprintf(buf, "value:%d",memv); - 21c8: e1bfff07 ldb r6,-4(fp) - 21cc: e13ff904 addi r4,fp,-28 - 21d0: 01400074 movhi r5,1 - 21d4: 297ff404 addi r5,r5,-48 - 21d8: 0002b640 call 2b64 + 203c: e1bfff07 ldb r6,-4(fp) + 2040: e13ff904 addi r4,fp,-28 + 2044: 01400074 movhi r5,1 + 2048: 297fed04 addi r5,r5,-76 + 204c: 0002b640 call 2b64 lcd_print(buf); - 21dc: e13ff904 addi r4,fp,-28 - 21e0: 00020b00 call 20b0 + 2050: e13ff904 addi r4,fp,-28 + 2054: 0001f240 call 1f24 } - 21e4: e037883a mov sp,fp - 21e8: dfc00117 ldw ra,4(sp) - 21ec: df000017 ldw fp,0(sp) - 21f0: dec00204 addi sp,sp,8 - 21f4: f800283a ret + 2058: e037883a mov sp,fp + 205c: dfc00117 ldw ra,4(sp) + 2060: df000017 ldw fp,0(sp) + 2064: dec00204 addi sp,sp,8 + 2068: f800283a ret -000021f8 : +0000206c : void convertRegName(char reg_name[REG_NAME_ARRAY_LEN], enum Register reg_code) { - 21f8: defffd04 addi sp,sp,-12 - 21fc: df000215 stw fp,8(sp) - 2200: df000204 addi fp,sp,8 - 2204: e13ffe15 stw r4,-8(fp) - 2208: e17fff15 stw r5,-4(fp) + 206c: defffd04 addi sp,sp,-12 + 2070: df000215 stw fp,8(sp) + 2074: df000204 addi fp,sp,8 + 2078: e13ffe15 stw r4,-8(fp) + 207c: e17fff15 stw r5,-4(fp) switch(reg_code) { - 220c: e0bfff17 ldw r2,-4(fp) - 2210: 108001e8 cmpgeui r2,r2,7 - 2214: 1000481e bne r2,zero,2338 - 2218: e0bfff17 ldw r2,-4(fp) - 221c: 1085883a add r2,r2,r2 - 2220: 1087883a add r3,r2,r2 - 2224: 00800034 movhi r2,0 - 2228: 10888e04 addi r2,r2,8760 - 222c: 1885883a add r2,r3,r2 - 2230: 10800017 ldw r2,0(r2) - 2234: 1000683a jmp r2 - 2238: 00002254 movui zero,137 - 223c: 00002270 cmpltui zero,zero,137 - 2240: 0000228c andi zero,zero,138 - 2244: 000022a8 cmpgeui zero,zero,138 - 2248: 000022cc andi zero,zero,139 - 224c: 000022f0 cmpltui zero,zero,139 - 2250: 00002314 movui zero,140 + 2080: e0bfff17 ldw r2,-4(fp) + 2084: 108001e8 cmpgeui r2,r2,7 + 2088: 1000481e bne r2,zero,21ac + 208c: e0bfff17 ldw r2,-4(fp) + 2090: 1085883a add r2,r2,r2 + 2094: 1087883a add r3,r2,r2 + 2098: 00800034 movhi r2,0 + 209c: 10882b04 addi r2,r2,8364 + 20a0: 1885883a add r2,r3,r2 + 20a4: 10800017 ldw r2,0(r2) + 20a8: 1000683a jmp r2 + 20ac: 000020c8 cmpgei zero,zero,131 + 20b0: 000020e4 muli zero,zero,131 + 20b4: 00002100 call 210 + 20b8: 0000211c xori zero,zero,132 + 20bc: 00002140 call 214 + 20c0: 00002164 muli zero,zero,133 + 20c4: 00002188 cmpgei zero,zero,134 case Szero: sprintf(reg_name, STRING_REG_ZERO); - 2254: e0bffe17 ldw r2,-8(fp) - 2258: 00c01684 movi r3,90 - 225c: 10c00005 stb r3,0(r2) - 2260: 00c01144 movi r3,69 - 2264: 10c00045 stb r3,1(r2) - 2268: 10000085 stb zero,2(r2) + 20c8: e0bffe17 ldw r2,-8(fp) + 20cc: 00c01684 movi r3,90 + 20d0: 10c00005 stb r3,0(r2) + 20d4: 00c01144 movi r3,69 + 20d8: 10c00045 stb r3,1(r2) + 20dc: 10000085 stb zero,2(r2) break; - 226c: 00003a06 br 2358 + 20e0: 00003a06 br 21cc case Spc: sprintf(reg_name, STRING_REG_PC); - 2270: e0bffe17 ldw r2,-8(fp) - 2274: 00c01404 movi r3,80 - 2278: 10c00005 stb r3,0(r2) - 227c: 00c010c4 movi r3,67 - 2280: 10c00045 stb r3,1(r2) - 2284: 10000085 stb zero,2(r2) + 20e4: e0bffe17 ldw r2,-8(fp) + 20e8: 00c01404 movi r3,80 + 20ec: 10c00005 stb r3,0(r2) + 20f0: 00c010c4 movi r3,67 + 20f4: 10c00045 stb r3,1(r2) + 20f8: 10000085 stb zero,2(r2) break; - 2288: 00003306 br 2358 + 20fc: 00003306 br 21cc case Ssp: sprintf(reg_name, STRING_REG_SP); - 228c: e0bffe17 ldw r2,-8(fp) - 2290: 00c014c4 movi r3,83 - 2294: 10c00005 stb r3,0(r2) - 2298: 00c01404 movi r3,80 - 229c: 10c00045 stb r3,1(r2) - 22a0: 10000085 stb zero,2(r2) + 2100: e0bffe17 ldw r2,-8(fp) + 2104: 00c014c4 movi r3,83 + 2108: 10c00005 stb r3,0(r2) + 210c: 00c01404 movi r3,80 + 2110: 10c00045 stb r3,1(r2) + 2114: 10000085 stb zero,2(r2) break; - 22a4: 00002c06 br 2358 + 2118: 00002c06 br 21cc case Sgp0: sprintf(reg_name, STRING_REG_GP0); - 22a8: e0fffe17 ldw r3,-8(fp) - 22ac: 008011c4 movi r2,71 - 22b0: 18800005 stb r2,0(r3) - 22b4: 00801404 movi r2,80 - 22b8: 18800045 stb r2,1(r3) - 22bc: 00800c04 movi r2,48 - 22c0: 18800085 stb r2,2(r3) - 22c4: 180000c5 stb zero,3(r3) + 211c: e0fffe17 ldw r3,-8(fp) + 2120: 008011c4 movi r2,71 + 2124: 18800005 stb r2,0(r3) + 2128: 00801404 movi r2,80 + 212c: 18800045 stb r2,1(r3) + 2130: 00800c04 movi r2,48 + 2134: 18800085 stb r2,2(r3) + 2138: 180000c5 stb zero,3(r3) break; - 22c8: 00002306 br 2358 + 213c: 00002306 br 21cc case Sgp1: sprintf(reg_name, STRING_REG_GP1); - 22cc: e0fffe17 ldw r3,-8(fp) - 22d0: 008011c4 movi r2,71 - 22d4: 18800005 stb r2,0(r3) - 22d8: 00801404 movi r2,80 - 22dc: 18800045 stb r2,1(r3) - 22e0: 00800c44 movi r2,49 - 22e4: 18800085 stb r2,2(r3) - 22e8: 180000c5 stb zero,3(r3) + 2140: e0fffe17 ldw r3,-8(fp) + 2144: 008011c4 movi r2,71 + 2148: 18800005 stb r2,0(r3) + 214c: 00801404 movi r2,80 + 2150: 18800045 stb r2,1(r3) + 2154: 00800c44 movi r2,49 + 2158: 18800085 stb r2,2(r3) + 215c: 180000c5 stb zero,3(r3) break; - 22ec: 00001a06 br 2358 + 2160: 00001a06 br 21cc case Sacc: sprintf(reg_name, STRING_REG_ACC); - 22f0: e0fffe17 ldw r3,-8(fp) - 22f4: 00801044 movi r2,65 - 22f8: 18800005 stb r2,0(r3) - 22fc: 008010c4 movi r2,67 - 2300: 18800045 stb r2,1(r3) - 2304: 008010c4 movi r2,67 - 2308: 18800085 stb r2,2(r3) - 230c: 180000c5 stb zero,3(r3) + 2164: e0fffe17 ldw r3,-8(fp) + 2168: 00801044 movi r2,65 + 216c: 18800005 stb r2,0(r3) + 2170: 008010c4 movi r2,67 + 2174: 18800045 stb r2,1(r3) + 2178: 008010c4 movi r2,67 + 217c: 18800085 stb r2,2(r3) + 2180: 180000c5 stb zero,3(r3) break; - 2310: 00001106 br 2358 + 2184: 00001106 br 21cc case Sflg: sprintf(reg_name, STRING_REG_FLG); - 2314: e0fffe17 ldw r3,-8(fp) - 2318: 00801184 movi r2,70 - 231c: 18800005 stb r2,0(r3) - 2320: 00801304 movi r2,76 - 2324: 18800045 stb r2,1(r3) - 2328: 008011c4 movi r2,71 - 232c: 18800085 stb r2,2(r3) - 2330: 180000c5 stb zero,3(r3) + 2188: e0fffe17 ldw r3,-8(fp) + 218c: 00801184 movi r2,70 + 2190: 18800005 stb r2,0(r3) + 2194: 00801304 movi r2,76 + 2198: 18800045 stb r2,1(r3) + 219c: 008011c4 movi r2,71 + 21a0: 18800085 stb r2,2(r3) + 21a4: 180000c5 stb zero,3(r3) break; - 2334: 00000806 br 2358 + 21a8: 00000806 br 21cc default: sprintf(reg_name, "non"); - 2338: e0fffe17 ldw r3,-8(fp) - 233c: 00801b84 movi r2,110 - 2340: 18800005 stb r2,0(r3) - 2344: 00801bc4 movi r2,111 - 2348: 18800045 stb r2,1(r3) - 234c: 00801b84 movi r2,110 - 2350: 18800085 stb r2,2(r3) - 2354: 180000c5 stb zero,3(r3) + 21ac: e0fffe17 ldw r3,-8(fp) + 21b0: 00801b84 movi r2,110 + 21b4: 18800005 stb r2,0(r3) + 21b8: 00801bc4 movi r2,111 + 21bc: 18800045 stb r2,1(r3) + 21c0: 00801b84 movi r2,110 + 21c4: 18800085 stb r2,2(r3) + 21c8: 180000c5 stb zero,3(r3) break; } } - 2358: e037883a mov sp,fp - 235c: df000017 ldw fp,0(sp) - 2360: dec00104 addi sp,sp,4 - 2364: f800283a ret + 21cc: e037883a mov sp,fp + 21d0: df000017 ldw fp,0(sp) + 21d4: dec00104 addi sp,sp,4 + 21d8: f800283a ret -00002368 : +000021dc : void convertInstName(char inst_name[INST_NAME_ARRAY_LEN], unsigned char inst_code) { - 2368: defffc04 addi sp,sp,-16 - 236c: df000315 stw fp,12(sp) - 2370: df000304 addi fp,sp,12 - 2374: e13ffd15 stw r4,-12(fp) - 2378: e17ffe05 stb r5,-8(fp) + 21dc: defffc04 addi sp,sp,-16 + 21e0: df000315 stw fp,12(sp) + 21e4: df000304 addi fp,sp,12 + 21e8: e13ffd15 stw r4,-12(fp) + 21ec: e17ffe05 stb r5,-8(fp) switch(inst_code) { - 237c: e0bffe03 ldbu r2,-8(fp) - 2380: e0bfff15 stw r2,-4(fp) - 2384: e0ffff17 ldw r3,-4(fp) - 2388: 18800328 cmpgeui r2,r3,12 - 238c: 10008f1e bne r2,zero,25cc - 2390: e13fff17 ldw r4,-4(fp) - 2394: e13fff17 ldw r4,-4(fp) - 2398: 2105883a add r2,r4,r4 - 239c: 1087883a add r3,r2,r2 - 23a0: 00800034 movhi r2,0 - 23a4: 1088ed04 addi r2,r2,9140 - 23a8: 1885883a add r2,r3,r2 - 23ac: 10800017 ldw r2,0(r2) - 23b0: 1000683a jmp r2 - 23b4: 000023e4 muli zero,zero,143 - 23b8: 00002408 cmpgei zero,zero,144 - 23bc: 00002434 movhi zero,144 - 23c0: 00002458 cmpnei zero,zero,145 - 23c4: 00002484 movi zero,146 - 23c8: 000024b0 cmpltui zero,zero,146 - 23cc: 000024dc xori zero,zero,147 - 23d0: 00002500 call 250 - 23d4: 0000252c andhi zero,zero,148 - 23d8: 00002550 cmplti zero,zero,149 - 23dc: 00002574 movhi zero,149 - 23e0: 000025a0 cmpeqi zero,zero,150 + 21f0: e0bffe03 ldbu r2,-8(fp) + 21f4: e0bfff15 stw r2,-4(fp) + 21f8: e0ffff17 ldw r3,-4(fp) + 21fc: 18800328 cmpgeui r2,r3,12 + 2200: 10008f1e bne r2,zero,2440 + 2204: e13fff17 ldw r4,-4(fp) + 2208: e13fff17 ldw r4,-4(fp) + 220c: 2105883a add r2,r4,r4 + 2210: 1087883a add r3,r2,r2 + 2214: 00800034 movhi r2,0 + 2218: 10888a04 addi r2,r2,8744 + 221c: 1885883a add r2,r3,r2 + 2220: 10800017 ldw r2,0(r2) + 2224: 1000683a jmp r2 + 2228: 00002258 cmpnei zero,zero,137 + 222c: 0000227c xorhi zero,zero,137 + 2230: 000022a8 cmpgeui zero,zero,138 + 2234: 000022cc andi zero,zero,139 + 2238: 000022f8 rdprs zero,zero,139 + 223c: 00002324 muli zero,zero,140 + 2240: 00002350 cmplti zero,zero,141 + 2244: 00002374 movhi zero,141 + 2248: 000023a0 cmpeqi zero,zero,142 + 224c: 000023c4 movi zero,143 + 2250: 000023e8 cmpgeui zero,zero,143 + 2254: 00002414 movui zero,144 case INST_END: sprintf(inst_name, STRING_INST_END); - 23e4: e0fffd17 ldw r3,-12(fp) - 23e8: 00801144 movi r2,69 - 23ec: 18800005 stb r2,0(r3) - 23f0: 00801384 movi r2,78 - 23f4: 18800045 stb r2,1(r3) - 23f8: 00801104 movi r2,68 - 23fc: 18800085 stb r2,2(r3) - 2400: 180000c5 stb zero,3(r3) + 2258: e0fffd17 ldw r3,-12(fp) + 225c: 00801144 movi r2,69 + 2260: 18800005 stb r2,0(r3) + 2264: 00801384 movi r2,78 + 2268: 18800045 stb r2,1(r3) + 226c: 00801104 movi r2,68 + 2270: 18800085 stb r2,2(r3) + 2274: 180000c5 stb zero,3(r3) break; - 2404: 00007b06 br 25f4 + 2278: 00007b06 br 2468 case INST_JUMP: sprintf(inst_name, STRING_INST_JUMP); - 2408: e0fffd17 ldw r3,-12(fp) - 240c: 00801284 movi r2,74 - 2410: 18800005 stb r2,0(r3) - 2414: 00801544 movi r2,85 - 2418: 18800045 stb r2,1(r3) - 241c: 00801344 movi r2,77 - 2420: 18800085 stb r2,2(r3) - 2424: 00801404 movi r2,80 - 2428: 188000c5 stb r2,3(r3) - 242c: 18000105 stb zero,4(r3) + 227c: e0fffd17 ldw r3,-12(fp) + 2280: 00801284 movi r2,74 + 2284: 18800005 stb r2,0(r3) + 2288: 00801544 movi r2,85 + 228c: 18800045 stb r2,1(r3) + 2290: 00801344 movi r2,77 + 2294: 18800085 stb r2,2(r3) + 2298: 00801404 movi r2,80 + 229c: 188000c5 stb r2,3(r3) + 22a0: 18000105 stb zero,4(r3) break; - 2430: 00007006 br 25f4 + 22a4: 00007006 br 2468 case INST_OUTPUT: sprintf(inst_name, STRING_INST_OUTPUT); - 2434: e0fffd17 ldw r3,-12(fp) - 2438: 008013c4 movi r2,79 - 243c: 18800005 stb r2,0(r3) - 2440: 00801544 movi r2,85 - 2444: 18800045 stb r2,1(r3) - 2448: 00801504 movi r2,84 - 244c: 18800085 stb r2,2(r3) - 2450: 180000c5 stb zero,3(r3) + 22a8: e0fffd17 ldw r3,-12(fp) + 22ac: 008013c4 movi r2,79 + 22b0: 18800005 stb r2,0(r3) + 22b4: 00801544 movi r2,85 + 22b8: 18800045 stb r2,1(r3) + 22bc: 00801504 movi r2,84 + 22c0: 18800085 stb r2,2(r3) + 22c4: 180000c5 stb zero,3(r3) break; - 2454: 00006706 br 25f4 + 22c8: 00006706 br 2468 case INST_LOAD: sprintf(inst_name, STRING_INST_LOAD); - 2458: e0fffd17 ldw r3,-12(fp) - 245c: 00801304 movi r2,76 - 2460: 18800005 stb r2,0(r3) - 2464: 008013c4 movi r2,79 - 2468: 18800045 stb r2,1(r3) - 246c: 00801044 movi r2,65 - 2470: 18800085 stb r2,2(r3) - 2474: 00801104 movi r2,68 - 2478: 188000c5 stb r2,3(r3) - 247c: 18000105 stb zero,4(r3) + 22cc: e0fffd17 ldw r3,-12(fp) + 22d0: 00801304 movi r2,76 + 22d4: 18800005 stb r2,0(r3) + 22d8: 008013c4 movi r2,79 + 22dc: 18800045 stb r2,1(r3) + 22e0: 00801044 movi r2,65 + 22e4: 18800085 stb r2,2(r3) + 22e8: 00801104 movi r2,68 + 22ec: 188000c5 stb r2,3(r3) + 22f0: 18000105 stb zero,4(r3) break; - 2480: 00005c06 br 25f4 + 22f4: 00005c06 br 2468 case INST_STORE: sprintf(inst_name, STRING_INST_STORE); - 2484: e0fffd17 ldw r3,-12(fp) - 2488: 008014c4 movi r2,83 - 248c: 18800005 stb r2,0(r3) - 2490: 00801504 movi r2,84 - 2494: 18800045 stb r2,1(r3) - 2498: 008013c4 movi r2,79 - 249c: 18800085 stb r2,2(r3) - 24a0: 00801484 movi r2,82 - 24a4: 188000c5 stb r2,3(r3) - 24a8: 18000105 stb zero,4(r3) + 22f8: e0fffd17 ldw r3,-12(fp) + 22fc: 008014c4 movi r2,83 + 2300: 18800005 stb r2,0(r3) + 2304: 00801504 movi r2,84 + 2308: 18800045 stb r2,1(r3) + 230c: 008013c4 movi r2,79 + 2310: 18800085 stb r2,2(r3) + 2314: 00801484 movi r2,82 + 2318: 188000c5 stb r2,3(r3) + 231c: 18000105 stb zero,4(r3) break; - 24ac: 00005106 br 25f4 + 2320: 00005106 br 2468 case INST_DELAY: sprintf(inst_name, STRING_INST_DELAY); - 24b0: e0fffd17 ldw r3,-12(fp) - 24b4: 00801104 movi r2,68 - 24b8: 18800005 stb r2,0(r3) - 24bc: 00801144 movi r2,69 - 24c0: 18800045 stb r2,1(r3) - 24c4: 00801304 movi r2,76 - 24c8: 18800085 stb r2,2(r3) - 24cc: 00801644 movi r2,89 - 24d0: 188000c5 stb r2,3(r3) - 24d4: 18000105 stb zero,4(r3) + 2324: e0fffd17 ldw r3,-12(fp) + 2328: 00801104 movi r2,68 + 232c: 18800005 stb r2,0(r3) + 2330: 00801144 movi r2,69 + 2334: 18800045 stb r2,1(r3) + 2338: 00801304 movi r2,76 + 233c: 18800085 stb r2,2(r3) + 2340: 00801644 movi r2,89 + 2344: 188000c5 stb r2,3(r3) + 2348: 18000105 stb zero,4(r3) break; - 24d8: 00004606 br 25f4 + 234c: 00004606 br 2468 case INST_ADD: sprintf(inst_name, STRING_INST_ADD); - 24dc: e0fffd17 ldw r3,-12(fp) - 24e0: 00801044 movi r2,65 - 24e4: 18800005 stb r2,0(r3) - 24e8: 00801104 movi r2,68 - 24ec: 18800045 stb r2,1(r3) - 24f0: 00801104 movi r2,68 - 24f4: 18800085 stb r2,2(r3) - 24f8: 180000c5 stb zero,3(r3) + 2350: e0fffd17 ldw r3,-12(fp) + 2354: 00801044 movi r2,65 + 2358: 18800005 stb r2,0(r3) + 235c: 00801104 movi r2,68 + 2360: 18800045 stb r2,1(r3) + 2364: 00801104 movi r2,68 + 2368: 18800085 stb r2,2(r3) + 236c: 180000c5 stb zero,3(r3) break; - 24fc: 00003d06 br 25f4 + 2370: 00003d06 br 2468 case INST_COMP: sprintf(inst_name, STRING_INST_COMP); - 2500: e0fffd17 ldw r3,-12(fp) - 2504: 008010c4 movi r2,67 - 2508: 18800005 stb r2,0(r3) - 250c: 008013c4 movi r2,79 - 2510: 18800045 stb r2,1(r3) - 2514: 00801344 movi r2,77 - 2518: 18800085 stb r2,2(r3) - 251c: 00801404 movi r2,80 - 2520: 188000c5 stb r2,3(r3) - 2524: 18000105 stb zero,4(r3) + 2374: e0fffd17 ldw r3,-12(fp) + 2378: 008010c4 movi r2,67 + 237c: 18800005 stb r2,0(r3) + 2380: 008013c4 movi r2,79 + 2384: 18800045 stb r2,1(r3) + 2388: 00801344 movi r2,77 + 238c: 18800085 stb r2,2(r3) + 2390: 00801404 movi r2,80 + 2394: 188000c5 stb r2,3(r3) + 2398: 18000105 stb zero,4(r3) break; - 2528: 00003206 br 25f4 + 239c: 00003206 br 2468 case INST_JEQ: sprintf(inst_name, STRING_INST_JEQ); - 252c: e0fffd17 ldw r3,-12(fp) - 2530: 00801284 movi r2,74 - 2534: 18800005 stb r2,0(r3) - 2538: 00801144 movi r2,69 - 253c: 18800045 stb r2,1(r3) - 2540: 00801444 movi r2,81 - 2544: 18800085 stb r2,2(r3) - 2548: 180000c5 stb zero,3(r3) + 23a0: e0fffd17 ldw r3,-12(fp) + 23a4: 00801284 movi r2,74 + 23a8: 18800005 stb r2,0(r3) + 23ac: 00801144 movi r2,69 + 23b0: 18800045 stb r2,1(r3) + 23b4: 00801444 movi r2,81 + 23b8: 18800085 stb r2,2(r3) + 23bc: 180000c5 stb zero,3(r3) break; - 254c: 00002906 br 25f4 + 23c0: 00002906 br 2468 case INST_JNE: sprintf(inst_name, STRING_INST_JNE); - 2550: e0fffd17 ldw r3,-12(fp) - 2554: 00801284 movi r2,74 - 2558: 18800005 stb r2,0(r3) - 255c: 00801384 movi r2,78 - 2560: 18800045 stb r2,1(r3) - 2564: 00801144 movi r2,69 - 2568: 18800085 stb r2,2(r3) - 256c: 180000c5 stb zero,3(r3) + 23c4: e0fffd17 ldw r3,-12(fp) + 23c8: 00801284 movi r2,74 + 23cc: 18800005 stb r2,0(r3) + 23d0: 00801384 movi r2,78 + 23d4: 18800045 stb r2,1(r3) + 23d8: 00801144 movi r2,69 + 23dc: 18800085 stb r2,2(r3) + 23e0: 180000c5 stb zero,3(r3) break; - 2570: 00002006 br 25f4 + 23e4: 00002006 br 2468 case INST_JIEQ: sprintf(inst_name, STRING_INST_JIEQ); - 2574: e0fffd17 ldw r3,-12(fp) - 2578: 00801284 movi r2,74 - 257c: 18800005 stb r2,0(r3) - 2580: 00801244 movi r2,73 - 2584: 18800045 stb r2,1(r3) - 2588: 00801144 movi r2,69 - 258c: 18800085 stb r2,2(r3) - 2590: 00801444 movi r2,81 - 2594: 188000c5 stb r2,3(r3) - 2598: 18000105 stb zero,4(r3) + 23e8: e0fffd17 ldw r3,-12(fp) + 23ec: 00801284 movi r2,74 + 23f0: 18800005 stb r2,0(r3) + 23f4: 00801244 movi r2,73 + 23f8: 18800045 stb r2,1(r3) + 23fc: 00801144 movi r2,69 + 2400: 18800085 stb r2,2(r3) + 2404: 00801444 movi r2,81 + 2408: 188000c5 stb r2,3(r3) + 240c: 18000105 stb zero,4(r3) break; - 259c: 00001506 br 25f4 + 2410: 00001506 br 2468 case INST_JINE: sprintf(inst_name, STRING_INST_JINE); - 25a0: e0fffd17 ldw r3,-12(fp) - 25a4: 00801284 movi r2,74 - 25a8: 18800005 stb r2,0(r3) - 25ac: 00801244 movi r2,73 - 25b0: 18800045 stb r2,1(r3) - 25b4: 00801384 movi r2,78 - 25b8: 18800085 stb r2,2(r3) - 25bc: 00801144 movi r2,69 - 25c0: 188000c5 stb r2,3(r3) - 25c4: 18000105 stb zero,4(r3) + 2414: e0fffd17 ldw r3,-12(fp) + 2418: 00801284 movi r2,74 + 241c: 18800005 stb r2,0(r3) + 2420: 00801244 movi r2,73 + 2424: 18800045 stb r2,1(r3) + 2428: 00801384 movi r2,78 + 242c: 18800085 stb r2,2(r3) + 2430: 00801144 movi r2,69 + 2434: 188000c5 stb r2,3(r3) + 2438: 18000105 stb zero,4(r3) break; - 25c8: 00000a06 br 25f4 + 243c: 00000a06 br 2468 default: sprintf(inst_name, "NoOp"); - 25cc: e0fffd17 ldw r3,-12(fp) - 25d0: 00801384 movi r2,78 - 25d4: 18800005 stb r2,0(r3) - 25d8: 00801bc4 movi r2,111 - 25dc: 18800045 stb r2,1(r3) - 25e0: 008013c4 movi r2,79 - 25e4: 18800085 stb r2,2(r3) - 25e8: 00801c04 movi r2,112 - 25ec: 188000c5 stb r2,3(r3) - 25f0: 18000105 stb zero,4(r3) + 2440: e0fffd17 ldw r3,-12(fp) + 2444: 00801384 movi r2,78 + 2448: 18800005 stb r2,0(r3) + 244c: 00801bc4 movi r2,111 + 2450: 18800045 stb r2,1(r3) + 2454: 008013c4 movi r2,79 + 2458: 18800085 stb r2,2(r3) + 245c: 00801c04 movi r2,112 + 2460: 188000c5 stb r2,3(r3) + 2464: 18000105 stb zero,4(r3) break; } } - 25f4: e037883a mov sp,fp - 25f8: df000017 ldw fp,0(sp) - 25fc: dec00104 addi sp,sp,4 - 2600: f800283a ret + 2468: e037883a mov sp,fp + 246c: df000017 ldw fp,0(sp) + 2470: dec00104 addi sp,sp,4 + 2474: f800283a ret -00002604 : +00002478 : + +void delay10ms(unsigned int s) { + 2478: defffd04 addi sp,sp,-12 + 247c: dfc00215 stw ra,8(sp) + 2480: df000115 stw fp,4(sp) + 2484: df000104 addi fp,sp,4 + 2488: e13fff15 stw r4,-4(fp) + usleep(s*10000); + 248c: e13fff17 ldw r4,-4(fp) + 2490: 0149c404 movi r5,10000 + 2494: 0002b2c0 call 2b2c <__mulsi3> + 2498: 1009883a mov r4,r2 + 249c: 000cd800 call cd80 +} + 24a0: e037883a mov sp,fp + 24a4: dfc00117 ldw ra,4(sp) + 24a8: df000017 ldw fp,0(sp) + 24ac: dec00204 addi sp,sp,8 + 24b0: f800283a ret + +000024b4 : * Author: takayun */ #include "system.h" #include "hex_out.h" void panic() { - 2604: defffe04 addi sp,sp,-8 - 2608: dfc00115 stw ra,4(sp) - 260c: df000015 stw fp,0(sp) - 2610: d839883a mov fp,sp + 24b4: defffe04 addi sp,sp,-8 + 24b8: dfc00115 stw ra,4(sp) + 24bc: df000015 stw fp,0(sp) + 24c0: d839883a mov fp,sp clear_block(HEX0_3); - 2614: 0009883a mov r4,zero - 2618: 00014fc0 call 14fc + 24c4: 0009883a mov r4,zero + 24c8: 00013700 call 1370 print_block("err ", 4, HEX0_3); - 261c: 01000074 movhi r4,1 - 2620: 213ff704 addi r4,r4,-36 - 2624: 01400104 movi r5,4 - 2628: 000d883a mov r6,zero - 262c: 000136c0 call 136c + 24cc: 01000074 movhi r4,1 + 24d0: 213ff004 addi r4,r4,-64 + 24d4: 01400104 movi r5,4 + 24d8: 000d883a mov r6,zero + 24dc: 00011e00 call 11e0 } - 2630: e037883a mov sp,fp - 2634: dfc00117 ldw ra,4(sp) - 2638: df000017 ldw fp,0(sp) - 263c: dec00204 addi sp,sp,8 - 2640: f800283a ret + 24e0: e037883a mov sp,fp + 24e4: dfc00117 ldw ra,4(sp) + 24e8: df000017 ldw fp,0(sp) + 24ec: dec00204 addi sp,sp,8 + 24f0: f800283a ret -00002644 : +000024f4 : /************************************************** * Impl **************************************************/ void memory_init() { - 2644: defffd04 addi sp,sp,-12 - 2648: df000215 stw fp,8(sp) - 264c: df000204 addi fp,sp,8 + 24f4: defffd04 addi sp,sp,-12 + 24f8: df000215 stw fp,8(sp) + 24fc: df000204 addi fp,sp,8 int i, j; for (i = 0; i < MEMS_COUNT; i++) - 2650: e03fff15 stw zero,-4(fp) - 2654: 00001306 br 26a4 + 2500: e03fff15 stw zero,-4(fp) + 2504: 00001306 br 2554 for (j = 0; j < MEM_SIZE; j++) { - 2658: e03ffe15 stw zero,-8(fp) - 265c: 00000b06 br 268c + 2508: e03ffe15 stw zero,-8(fp) + 250c: 00000b06 br 253c memory[i][j] = 0; - 2660: e0bfff17 ldw r2,-4(fp) - 2664: e13ffe17 ldw r4,-8(fp) - 2668: 00c00074 movhi r3,1 - 266c: 18cf4304 addi r3,r3,15628 - 2670: 1004913a slli r2,r2,4 - 2674: 10c5883a add r2,r2,r3 - 2678: 1105883a add r2,r2,r4 - 267c: 10000005 stb zero,0(r2) + 2510: e0bfff17 ldw r2,-4(fp) + 2514: e13ffe17 ldw r4,-8(fp) + 2518: 00c00074 movhi r3,1 + 251c: 18cf4504 addi r3,r3,15636 + 2520: 1004913a slli r2,r2,4 + 2524: 10c5883a add r2,r2,r3 + 2528: 1105883a add r2,r2,r4 + 252c: 10000005 stb zero,0(r2) **************************************************/ void memory_init() { int i, j; for (i = 0; i < MEMS_COUNT; i++) for (j = 0; j < MEM_SIZE; j++) { - 2680: e0bffe17 ldw r2,-8(fp) - 2684: 10800044 addi r2,r2,1 - 2688: e0bffe15 stw r2,-8(fp) - 268c: e0bffe17 ldw r2,-8(fp) - 2690: 10800410 cmplti r2,r2,16 - 2694: 103ff21e bne r2,zero,2660 + 2530: e0bffe17 ldw r2,-8(fp) + 2534: 10800044 addi r2,r2,1 + 2538: e0bffe15 stw r2,-8(fp) + 253c: e0bffe17 ldw r2,-8(fp) + 2540: 10800410 cmplti r2,r2,16 + 2544: 103ff21e bne r2,zero,2510 * Impl **************************************************/ void memory_init() { int i, j; for (i = 0; i < MEMS_COUNT; i++) - 2698: e0bfff17 ldw r2,-4(fp) - 269c: 10800044 addi r2,r2,1 - 26a0: e0bfff15 stw r2,-4(fp) - 26a4: e0bfff17 ldw r2,-4(fp) - 26a8: 10800410 cmplti r2,r2,16 - 26ac: 103fea1e bne r2,zero,2658 + 2548: e0bfff17 ldw r2,-4(fp) + 254c: 10800044 addi r2,r2,1 + 2550: e0bfff15 stw r2,-4(fp) + 2554: e0bfff17 ldw r2,-4(fp) + 2558: 10800410 cmplti r2,r2,16 + 255c: 103fea1e bne r2,zero,2508 for (j = 0; j < MEM_SIZE; j++) { memory[i][j] = 0; } } - 26b0: e037883a mov sp,fp - 26b4: df000017 ldw fp,0(sp) - 26b8: dec00104 addi sp,sp,4 - 26bc: f800283a ret + 2560: e037883a mov sp,fp + 2564: df000017 ldw fp,0(sp) + 2568: dec00104 addi sp,sp,4 + 256c: f800283a ret -000026c0 : +00002570 : void inst_memory_store(unsigned int mem_addr, struct InstRec inst_rec){ - 26c0: defffd04 addi sp,sp,-12 - 26c4: df000215 stw fp,8(sp) - 26c8: df000204 addi fp,sp,8 - 26cc: e13ffe15 stw r4,-8(fp) - 26d0: e17fff15 stw r5,-4(fp) + 2570: defffd04 addi sp,sp,-12 + 2574: df000215 stw fp,8(sp) + 2578: df000204 addi fp,sp,8 + 257c: e13ffe15 stw r4,-8(fp) + 2580: e17fff15 stw r5,-4(fp) inst_memory[global_current_memory][mem_addr] = inst_rec; - 26d4: d0a6f917 ldw r2,-25628(gp) - 26d8: e0fffe17 ldw r3,-8(fp) - 26dc: 01000074 movhi r4,1 - 26e0: 210f8304 addi r4,r4,15884 - 26e4: 1004913a slli r2,r2,4 - 26e8: 10c5883a add r2,r2,r3 - 26ec: 1085883a add r2,r2,r2 - 26f0: 1085883a add r2,r2,r2 - 26f4: 1107883a add r3,r2,r4 - 26f8: e0bfff17 ldw r2,-4(fp) - 26fc: 18800015 stw r2,0(r3) + 2584: d0a6f917 ldw r2,-25628(gp) + 2588: e0fffe17 ldw r3,-8(fp) + 258c: 01000074 movhi r4,1 + 2590: 210f8504 addi r4,r4,15892 + 2594: 1004913a slli r2,r2,4 + 2598: 10c5883a add r2,r2,r3 + 259c: 1085883a add r2,r2,r2 + 25a0: 1085883a add r2,r2,r2 + 25a4: 1107883a add r3,r2,r4 + 25a8: e0bfff17 ldw r2,-4(fp) + 25ac: 18800015 stw r2,0(r3) } - 2700: e037883a mov sp,fp - 2704: df000017 ldw fp,0(sp) - 2708: dec00104 addi sp,sp,4 - 270c: f800283a ret + 25b0: e037883a mov sp,fp + 25b4: df000017 ldw fp,0(sp) + 25b8: dec00104 addi sp,sp,4 + 25bc: f800283a ret -00002710 : +000025c0 : struct InstRec inst_memory_load(unsigned int mem_addr){ - 2710: defffe04 addi sp,sp,-8 - 2714: df000115 stw fp,4(sp) - 2718: df000104 addi fp,sp,4 - 271c: e13fff15 stw r4,-4(fp) + 25c0: defffe04 addi sp,sp,-8 + 25c4: df000115 stw fp,4(sp) + 25c8: df000104 addi fp,sp,4 + 25cc: e13fff15 stw r4,-4(fp) return inst_memory[global_current_memory][mem_addr]; - 2720: d0a6f917 ldw r2,-25628(gp) - 2724: e0ffff17 ldw r3,-4(fp) - 2728: 01000074 movhi r4,1 - 272c: 210f8304 addi r4,r4,15884 - 2730: 1004913a slli r2,r2,4 - 2734: 10c5883a add r2,r2,r3 - 2738: 1085883a add r2,r2,r2 - 273c: 1085883a add r2,r2,r2 - 2740: 1105883a add r2,r2,r4 - 2744: 10800017 ldw r2,0(r2) + 25d0: d0a6f917 ldw r2,-25628(gp) + 25d4: e0ffff17 ldw r3,-4(fp) + 25d8: 01000074 movhi r4,1 + 25dc: 210f8504 addi r4,r4,15892 + 25e0: 1004913a slli r2,r2,4 + 25e4: 10c5883a add r2,r2,r3 + 25e8: 1085883a add r2,r2,r2 + 25ec: 1085883a add r2,r2,r2 + 25f0: 1105883a add r2,r2,r4 + 25f4: 10800017 ldw r2,0(r2) } - 2748: e037883a mov sp,fp - 274c: df000017 ldw fp,0(sp) - 2750: dec00104 addi sp,sp,4 - 2754: f800283a ret + 25f8: e037883a mov sp,fp + 25fc: df000017 ldw fp,0(sp) + 2600: dec00104 addi sp,sp,4 + 2604: f800283a ret -00002758 : +00002608 : char memory_store(unsigned int mem_addr, enum Register reg) { - 2758: defffc04 addi sp,sp,-16 - 275c: dfc00315 stw ra,12(sp) - 2760: df000215 stw fp,8(sp) - 2764: df000204 addi fp,sp,8 - 2768: e13ffe15 stw r4,-8(fp) - 276c: e17fff15 stw r5,-4(fp) + 2608: defffc04 addi sp,sp,-16 + 260c: dfc00315 stw ra,12(sp) + 2610: df000215 stw fp,8(sp) + 2614: df000204 addi fp,sp,8 + 2618: e13ffe15 stw r4,-8(fp) + 261c: e17fff15 stw r5,-4(fp) if (!(mem_addr < MEM_SIZE)) panic(); - 2770: e0bffe17 ldw r2,-8(fp) - 2774: 10800430 cmpltui r2,r2,16 - 2778: 1000011e bne r2,zero,2780 - 277c: 00026040 call 2604 + 2620: e0bffe17 ldw r2,-8(fp) + 2624: 10800430 cmpltui r2,r2,16 + 2628: 1000011e bne r2,zero,2630 + 262c: 00024b40 call 24b4 memory[global_current_memory][mem_addr] = global_registers[reg]; - 2780: d166f917 ldw r5,-25628(gp) - 2784: e1bffe17 ldw r6,-8(fp) - 2788: e0ffff17 ldw r3,-4(fp) - 278c: 00800074 movhi r2,1 - 2790: 10908d04 addi r2,r2,16948 - 2794: 10c5883a add r2,r2,r3 - 2798: 11000003 ldbu r4,0(r2) - 279c: 00c00074 movhi r3,1 - 27a0: 18cf4304 addi r3,r3,15628 - 27a4: 2804913a slli r2,r5,4 - 27a8: 10c5883a add r2,r2,r3 - 27ac: 1185883a add r2,r2,r6 - 27b0: 11000005 stb r4,0(r2) + 2630: d166f917 ldw r5,-25628(gp) + 2634: e1bffe17 ldw r6,-8(fp) + 2638: e0ffff17 ldw r3,-4(fp) + 263c: 00800074 movhi r2,1 + 2640: 10908f04 addi r2,r2,16956 + 2644: 10c5883a add r2,r2,r3 + 2648: 11000003 ldbu r4,0(r2) + 264c: 00c00074 movhi r3,1 + 2650: 18cf4504 addi r3,r3,15636 + 2654: 2804913a slli r2,r5,4 + 2658: 10c5883a add r2,r2,r3 + 265c: 1185883a add r2,r2,r6 + 2660: 11000005 stb r4,0(r2) return memory[global_current_memory][mem_addr]; - 27b4: d0a6f917 ldw r2,-25628(gp) - 27b8: e13ffe17 ldw r4,-8(fp) - 27bc: 00c00074 movhi r3,1 - 27c0: 18cf4304 addi r3,r3,15628 - 27c4: 1004913a slli r2,r2,4 - 27c8: 10c5883a add r2,r2,r3 - 27cc: 1105883a add r2,r2,r4 - 27d0: 10800003 ldbu r2,0(r2) - 27d4: 10803fcc andi r2,r2,255 - 27d8: 1080201c xori r2,r2,128 - 27dc: 10bfe004 addi r2,r2,-128 + 2664: d0a6f917 ldw r2,-25628(gp) + 2668: e13ffe17 ldw r4,-8(fp) + 266c: 00c00074 movhi r3,1 + 2670: 18cf4504 addi r3,r3,15636 + 2674: 1004913a slli r2,r2,4 + 2678: 10c5883a add r2,r2,r3 + 267c: 1105883a add r2,r2,r4 + 2680: 10800003 ldbu r2,0(r2) + 2684: 10803fcc andi r2,r2,255 + 2688: 1080201c xori r2,r2,128 + 268c: 10bfe004 addi r2,r2,-128 } - 27e0: e037883a mov sp,fp - 27e4: dfc00117 ldw ra,4(sp) - 27e8: df000017 ldw fp,0(sp) - 27ec: dec00204 addi sp,sp,8 - 27f0: f800283a ret + 2690: e037883a mov sp,fp + 2694: dfc00117 ldw ra,4(sp) + 2698: df000017 ldw fp,0(sp) + 269c: dec00204 addi sp,sp,8 + 26a0: f800283a ret -000027f4 : +000026a4 : char memory_load(unsigned int mem_addr, enum Register reg) { - 27f4: defffc04 addi sp,sp,-16 - 27f8: dfc00315 stw ra,12(sp) - 27fc: df000215 stw fp,8(sp) - 2800: df000204 addi fp,sp,8 - 2804: e13ffe15 stw r4,-8(fp) - 2808: e17fff15 stw r5,-4(fp) + 26a4: defffc04 addi sp,sp,-16 + 26a8: dfc00315 stw ra,12(sp) + 26ac: df000215 stw fp,8(sp) + 26b0: df000204 addi fp,sp,8 + 26b4: e13ffe15 stw r4,-8(fp) + 26b8: e17fff15 stw r5,-4(fp) if (!(mem_addr < MEM_SIZE)) panic(); - 280c: e0bffe17 ldw r2,-8(fp) - 2810: 10800430 cmpltui r2,r2,16 - 2814: 1000011e bne r2,zero,281c - 2818: 00026040 call 2604 + 26bc: e0bffe17 ldw r2,-8(fp) + 26c0: 10800430 cmpltui r2,r2,16 + 26c4: 1000011e bne r2,zero,26cc + 26c8: 00024b40 call 24b4 global_registers[reg] = memory[global_current_memory][mem_addr]; - 281c: e17fff17 ldw r5,-4(fp) - 2820: d0a6f917 ldw r2,-25628(gp) - 2824: e13ffe17 ldw r4,-8(fp) - 2828: 00c00074 movhi r3,1 - 282c: 18cf4304 addi r3,r3,15628 - 2830: 1004913a slli r2,r2,4 - 2834: 10c5883a add r2,r2,r3 - 2838: 1105883a add r2,r2,r4 - 283c: 10c00003 ldbu r3,0(r2) - 2840: 00800074 movhi r2,1 - 2844: 10908d04 addi r2,r2,16948 - 2848: 1145883a add r2,r2,r5 - 284c: 10c00005 stb r3,0(r2) + 26cc: e17fff17 ldw r5,-4(fp) + 26d0: d0a6f917 ldw r2,-25628(gp) + 26d4: e13ffe17 ldw r4,-8(fp) + 26d8: 00c00074 movhi r3,1 + 26dc: 18cf4504 addi r3,r3,15636 + 26e0: 1004913a slli r2,r2,4 + 26e4: 10c5883a add r2,r2,r3 + 26e8: 1105883a add r2,r2,r4 + 26ec: 10c00003 ldbu r3,0(r2) + 26f0: 00800074 movhi r2,1 + 26f4: 10908f04 addi r2,r2,16956 + 26f8: 1145883a add r2,r2,r5 + 26fc: 10c00005 stb r3,0(r2) return global_registers[reg]; - 2850: e0ffff17 ldw r3,-4(fp) - 2854: 00800074 movhi r2,1 - 2858: 10908d04 addi r2,r2,16948 - 285c: 10c5883a add r2,r2,r3 - 2860: 10800003 ldbu r2,0(r2) - 2864: 10803fcc andi r2,r2,255 - 2868: 1080201c xori r2,r2,128 - 286c: 10bfe004 addi r2,r2,-128 + 2700: e0ffff17 ldw r3,-4(fp) + 2704: 00800074 movhi r2,1 + 2708: 10908f04 addi r2,r2,16956 + 270c: 10c5883a add r2,r2,r3 + 2710: 10800003 ldbu r2,0(r2) + 2714: 10803fcc andi r2,r2,255 + 2718: 1080201c xori r2,r2,128 + 271c: 10bfe004 addi r2,r2,-128 } - 2870: e037883a mov sp,fp - 2874: dfc00117 ldw ra,4(sp) - 2878: df000017 ldw fp,0(sp) - 287c: dec00204 addi sp,sp,8 - 2880: f800283a ret + 2720: e037883a mov sp,fp + 2724: dfc00117 ldw ra,4(sp) + 2728: df000017 ldw fp,0(sp) + 272c: dec00204 addi sp,sp,8 + 2730: f800283a ret -00002884 : - */ - +00002734 : #include "sys_register.h" #include "sys_memory.h" +#include "hex_out.h" +#include "lcd_out.h" unsigned int inc_pc() { - 2884: deffff04 addi sp,sp,-4 - 2888: df000015 stw fp,0(sp) - 288c: d839883a mov fp,sp + 2734: deffff04 addi sp,sp,-4 + 2738: df000015 stw fp,0(sp) + 273c: d839883a mov fp,sp if ((unsigned char)global_registers[Spc]+1 < MEM_SIZE*MEMS_COUNT - global_current_memory*MEM_SIZE ) { - 2890: 00800074 movhi r2,1 - 2894: 10908d04 addi r2,r2,16948 - 2898: 10800043 ldbu r2,1(r2) - 289c: 10803fcc andi r2,r2,255 - 28a0: 10800044 addi r2,r2,1 - 28a4: 1009883a mov r4,r2 - 28a8: 00800074 movhi r2,1 - 28ac: 108f3504 addi r2,r2,15572 - 28b0: 10800017 ldw r2,0(r2) - 28b4: 1006913a slli r3,r2,4 - 28b8: 00804004 movi r2,256 - 28bc: 10c5c83a sub r2,r2,r3 - 28c0: 2080092e bgeu r4,r2,28e8 + 2740: 00800074 movhi r2,1 + 2744: 10908f04 addi r2,r2,16956 + 2748: 10800043 ldbu r2,1(r2) + 274c: 10803fcc andi r2,r2,255 + 2750: 10800044 addi r2,r2,1 + 2754: 1009883a mov r4,r2 + 2758: 00800074 movhi r2,1 + 275c: 108f3704 addi r2,r2,15580 + 2760: 10800017 ldw r2,0(r2) + 2764: 1006913a slli r3,r2,4 + 2768: 00804004 movi r2,256 + 276c: 10c5c83a sub r2,r2,r3 + 2770: 2080092e bgeu r4,r2,2798 global_registers[Spc] = (unsigned char)global_registers[Spc] + 1; - 28c4: 00800074 movhi r2,1 - 28c8: 10908d04 addi r2,r2,16948 - 28cc: 10800043 ldbu r2,1(r2) - 28d0: 10800044 addi r2,r2,1 - 28d4: 1007883a mov r3,r2 - 28d8: 00800074 movhi r2,1 - 28dc: 10908d04 addi r2,r2,16948 - 28e0: 10c00045 stb r3,1(r2) - 28e4: 00000306 br 28f4 + 2774: 00800074 movhi r2,1 + 2778: 10908f04 addi r2,r2,16956 + 277c: 10800043 ldbu r2,1(r2) + 2780: 10800044 addi r2,r2,1 + 2784: 1007883a mov r3,r2 + 2788: 00800074 movhi r2,1 + 278c: 10908f04 addi r2,r2,16956 + 2790: 10c00045 stb r3,1(r2) + 2794: 00000306 br 27a4 } else { global_registers[Spc] = 0; - 28e8: 00800074 movhi r2,1 - 28ec: 10908d04 addi r2,r2,16948 - 28f0: 10000045 stb zero,1(r2) + 2798: 00800074 movhi r2,1 + 279c: 10908f04 addi r2,r2,16956 + 27a0: 10000045 stb zero,1(r2) } return 0; - 28f4: 0005883a mov r2,zero + 27a4: 0005883a mov r2,zero } - 28f8: e037883a mov sp,fp - 28fc: df000017 ldw fp,0(sp) - 2900: dec00104 addi sp,sp,4 - 2904: f800283a ret + 27a8: e037883a mov sp,fp + 27ac: df000017 ldw fp,0(sp) + 27b0: dec00104 addi sp,sp,4 + 27b4: f800283a ret -00002908 : +000027b8 : unsigned int add_pc(unsigned int cnt) { - 2908: defffc04 addi sp,sp,-16 - 290c: dfc00315 stw ra,12(sp) - 2910: df000215 stw fp,8(sp) - 2914: df000204 addi fp,sp,8 - 2918: e13fff15 stw r4,-4(fp) + 27b8: defffc04 addi sp,sp,-16 + 27bc: dfc00315 stw ra,12(sp) + 27c0: df000215 stw fp,8(sp) + 27c4: df000204 addi fp,sp,8 + 27c8: e13fff15 stw r4,-4(fp) int i; for (i = 0; i < cnt; i++) inc_pc(); - 291c: e03ffe15 stw zero,-8(fp) - 2920: 00000406 br 2934 - 2924: 00028840 call 2884 - 2928: e0bffe17 ldw r2,-8(fp) - 292c: 10800044 addi r2,r2,1 - 2930: e0bffe15 stw r2,-8(fp) - 2934: e0fffe17 ldw r3,-8(fp) - 2938: e0bfff17 ldw r2,-4(fp) - 293c: 18bff936 bltu r3,r2,2924 + 27cc: e03ffe15 stw zero,-8(fp) + 27d0: 00000406 br 27e4 + 27d4: 00027340 call 2734 + 27d8: e0bffe17 ldw r2,-8(fp) + 27dc: 10800044 addi r2,r2,1 + 27e0: e0bffe15 stw r2,-8(fp) + 27e4: e0fffe17 ldw r3,-8(fp) + 27e8: e0bfff17 ldw r2,-4(fp) + 27ec: 18bff936 bltu r3,r2,27d4 return 0; - 2940: 0005883a mov r2,zero + 27f0: 0005883a mov r2,zero } - 2944: e037883a mov sp,fp - 2948: dfc00117 ldw ra,4(sp) - 294c: df000017 ldw fp,0(sp) - 2950: dec00204 addi sp,sp,8 - 2954: f800283a ret + 27f4: e037883a mov sp,fp + 27f8: dfc00117 ldw ra,4(sp) + 27fc: df000017 ldw fp,0(sp) + 2800: dec00204 addi sp,sp,8 + 2804: f800283a ret -00002958 : +00002808 : unsigned int set_pc(unsigned int cnt) { - 2958: defffe04 addi sp,sp,-8 - 295c: df000115 stw fp,4(sp) - 2960: df000104 addi fp,sp,4 - 2964: e13fff15 stw r4,-4(fp) + 2808: defffe04 addi sp,sp,-8 + 280c: df000115 stw fp,4(sp) + 2810: df000104 addi fp,sp,4 + 2814: e13fff15 stw r4,-4(fp) global_registers[Spc]=cnt; - 2968: e0bfff17 ldw r2,-4(fp) - 296c: 1007883a mov r3,r2 - 2970: 00800074 movhi r2,1 - 2974: 10908d04 addi r2,r2,16948 - 2978: 10c00045 stb r3,1(r2) + 2818: e0bfff17 ldw r2,-4(fp) + 281c: 1007883a mov r3,r2 + 2820: 00800074 movhi r2,1 + 2824: 10908f04 addi r2,r2,16956 + 2828: 10c00045 stb r3,1(r2) return 0; - 297c: 0005883a mov r2,zero + 282c: 0005883a mov r2,zero } - 2980: e037883a mov sp,fp + 2830: e037883a mov sp,fp + 2834: df000017 ldw fp,0(sp) + 2838: dec00104 addi sp,sp,4 + 283c: f800283a ret + +00002840 : + + +enum RunMode run_proc(enum RunMode mode) { + 2840: defff904 addi sp,sp,-28 + 2844: dfc00615 stw ra,24(sp) + 2848: df000515 stw fp,20(sp) + 284c: df000504 addi fp,sp,20 + 2850: e13ffe15 stw r4,-8(fp) + volatile struct InstRec inst_rec; + + if (RUN_INIT == mode) { + 2854: e0bffe17 ldw r2,-8(fp) + 2858: 10800058 cmpnei r2,r2,1 + 285c: 1000101e bne r2,zero,28a0 + lcd_caret_reset(); + 2860: 0001ed40 call 1ed4 + lcd_print("Run...now"); + 2864: 01000074 movhi r4,1 + 2868: 213ff204 addi r4,r4,-56 + 286c: 0001f240 call 1f24 + + global_registers[Spc] = 0; + 2870: 00800074 movhi r2,1 + 2874: 10908f04 addi r2,r2,16956 + 2878: 10000045 stb zero,1(r2) + clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); + 287c: 0009883a mov r4,zero + 2880: 00013700 call 1370 + 2884: 01000044 movi r4,1 + 2888: 00013700 call 1370 + 288c: 01000084 movi r4,2 + 2890: 00013700 call 1370 + + // �v���O�������s���֑J�� + return RUN_PROC; + 2894: 00800084 movi r2,2 + 2898: e0bfff15 stw r2,-4(fp) + 289c: 00003606 br 2978 + } + + if (RUN_PROC == mode) { + 28a0: e0bffe17 ldw r2,-8(fp) + 28a4: 10800098 cmpnei r2,r2,2 + 28a8: 1000291e bne r2,zero,2950 + // ���߃t�F�b�` + inst_rec = inst_fetch(); + 28ac: 00017b80 call 17b8 + 28b0: e0bffb15 stw r2,-20(fp) + // ���߃f�R�[�h���s + inst_decode(inst_rec); + 28b4: e13ffb17 ldw r4,-20(fp) + 28b8: 00018040 call 1804 + // pc�\�� + { + char buf[5]; + sprintf(buf, "%02x", (unsigned char)global_registers[Spc]); + 28bc: 00800074 movhi r2,1 + 28c0: 10908f04 addi r2,r2,16956 + 28c4: 10800043 ldbu r2,1(r2) + 28c8: 11803fcc andi r6,r2,255 + 28cc: e13ffc04 addi r4,fp,-16 + 28d0: 01400074 movhi r5,1 + 28d4: 297ff504 addi r5,r5,-44 + 28d8: 0002b640 call 2b64 + print_block("pc", 2, HEX6_7); + 28dc: 01000074 movhi r4,1 + 28e0: 213ff704 addi r4,r4,-36 + 28e4: 01400084 movi r5,2 + 28e8: 01800084 movi r6,2 + 28ec: 00011e00 call 11e0 + print_block(buf, 2, HEX4_5); + 28f0: e13ffc04 addi r4,fp,-16 + 28f4: 01400084 movi r5,2 + 28f8: 01800044 movi r6,1 + 28fc: 00011e00 call 11e0 + } + + // �f�o�b�N�p + if ( global_registers[Ssw_run] ) delay10ms(100U); + 2900: 00800074 movhi r2,1 + 2904: 10908f04 addi r2,r2,16956 + 2908: 10800343 ldbu r2,13(r2) + 290c: 10803fcc andi r2,r2,255 + 2910: 1080201c xori r2,r2,128 + 2914: 10bfe004 addi r2,r2,-128 + 2918: 1005003a cmpeq r2,r2,zero + 291c: 1000021e bne r2,zero,2928 + 2920: 01001904 movi r4,100 + 2924: 00024780 call 2478 + + // �v���O�����I������ + if (inst_rec.inst != INST_END) return RUN_PROC; + 2928: e0bffb17 ldw r2,-20(fp) + 292c: 108003cc andi r2,r2,15 + 2930: 1005003a cmpeq r2,r2,zero + 2934: 1000031e bne r2,zero,2944 + 2938: 00800084 movi r2,2 + 293c: e0bfff15 stw r2,-4(fp) + 2940: 00000d06 br 2978 + return RUN_TERM; + 2944: 008000c4 movi r2,3 + 2948: e0bfff15 stw r2,-4(fp) + 294c: 00000a06 br 2978 + } + + if (RUN_TERM == mode) { + 2950: e0bffe17 ldw r2,-8(fp) + 2954: 108000d8 cmpnei r2,r2,3 + 2958: 1000061e bne r2,zero,2974 + lcd_caret_reset(); + 295c: 0001ed40 call 1ed4 + lcd_print("Run...Exit"); + 2960: 01000074 movhi r4,1 + 2964: 213ff804 addi r4,r4,-32 + 2968: 0001f240 call 1f24 + return RUN_STOP; + 296c: e03fff15 stw zero,-4(fp) + 2970: 00000106 br 2978 + } + + // Default + return RUN_STOP; + 2974: e03fff15 stw zero,-4(fp) + 2978: e0bfff17 ldw r2,-4(fp) +} + 297c: e037883a mov sp,fp + 2980: dfc00117 ldw ra,4(sp) 2984: df000017 ldw fp,0(sp) - 2988: dec00104 addi sp,sp,4 + 2988: dec00204 addi sp,sp,8 298c: f800283a ret 00002990 : @@ -4543,7 +4545,7 @@ 29a0: 00000806 br 29c4 29a4: e0ffff17 ldw r3,-4(fp) 29a8: 00800074 movhi r2,1 - 29ac: 10908d04 addi r2,r2,16948 + 29ac: 10908f04 addi r2,r2,16956 29b0: 10c5883a add r2,r2,r3 29b4: 10000005 stb zero,0(r2) 29b8: e0bfff17 ldw r2,-4(fp) @@ -4676,7 +4678,7 @@ 2b78: d8801904 addi r2,sp,100 2b7c: d8800015 stw r2,0(sp) 2b80: 00800074 movhi r2,1 - 2b84: 10883e04 addi r2,r2,8440 + 2b84: 10884004 addi r2,r2,8448 2b88: 11000017 ldw r4,0(r2) 2b8c: d9c00017 ldw r7,0(sp) 2b90: 00808204 movi r2,520 @@ -4964,7 +4966,7 @@ 2fd8: 00003098 cmpnei zero,zero,194 2fdc: 00003098 cmpnei zero,zero,194 2fe0: 00003098 cmpnei zero,zero,194 - 2fe4: 00003ec0 call 3ec + 2fe4: 00003ec0 call 3ec 2fe8: 00003098 cmpnei zero,zero,194 2fec: 00003098 cmpnei zero,zero,194 2ff0: 000037a4 muli zero,zero,222 @@ -5042,7 +5044,7 @@ 3110: dc400d17 ldw r17,52(sp) 3114: 1027883a mov r19,r2 3118: 07000074 movhi fp,1 - 311c: e700b584 addi fp,fp,726 + 311c: e700b784 addi fp,fp,734 3120: 050001c4 movi r20,7 3124: 00000306 br 3134 <___vfprintf_internal_r+0x430> 3128: 843ffc04 addi r16,r16,-16 @@ -5115,7 +5117,7 @@ 3234: 1403cf0e bge r2,r16,4174 <___vfprintf_internal_r+0x1470> 3238: 1027883a mov r19,r2 323c: 07000074 movhi fp,1 - 3240: e700b184 addi fp,fp,710 + 3240: e700b384 addi fp,fp,718 3244: 050001c4 movi r20,7 3248: 00000306 br 3258 <___vfprintf_internal_r+0x554> 324c: 843ffc04 addi r16,r16,-16 @@ -5174,7 +5176,7 @@ 3320: dc400d17 ldw r17,52(sp) 3324: 1027883a mov r19,r2 3328: 07000074 movhi fp,1 - 332c: e700b584 addi fp,fp,726 + 332c: e700b784 addi fp,fp,734 3330: 050001c4 movi r20,7 3334: 00000306 br 3344 <___vfprintf_internal_r+0x640> 3338: 843ffc04 addi r16,r16,-16 @@ -5293,7 +5295,7 @@ 34fc: 14043a0e bge r2,r16,45e8 <___vfprintf_internal_r+0x18e4> 3500: 1027883a mov r19,r2 3504: 07000074 movhi fp,1 - 3508: e700b184 addi fp,fp,710 + 3508: e700b384 addi fp,fp,718 350c: 050001c4 movi r20,7 3510: 00000306 br 3520 <___vfprintf_internal_r+0x81c> 3514: 843ffc04 addi r16,r16,-16 @@ -5346,7 +5348,7 @@ 35d0: 000b7300 call b730 <__eqdf2> 35d4: 1000f21e bne r2,zero,39a0 <___vfprintf_internal_r+0xc9c> 35d8: 00800074 movhi r2,1 - 35dc: 1080b104 addi r2,r2,708 + 35dc: 1080b304 addi r2,r2,716 35e0: 94800044 addi r18,r18,1 35e4: 8c400044 addi r17,r17,1 35e8: a8800015 stw r2,0(r21) @@ -5380,7 +5382,7 @@ 3658: dc400d17 ldw r17,52(sp) 365c: 1027883a mov r19,r2 3660: 07000074 movhi fp,1 - 3664: e700b184 addi fp,fp,710 + 3664: e700b384 addi fp,fp,718 3668: 050001c4 movi r20,7 366c: 00000306 br 367c <___vfprintf_internal_r+0x978> 3670: 18c00204 addi r3,r3,8 @@ -5609,7 +5611,7 @@ 39ec: dc400d17 ldw r17,52(sp) 39f0: 1027883a mov r19,r2 39f4: 07000074 movhi fp,1 - 39f8: e700b184 addi fp,fp,710 + 39f8: e700b384 addi fp,fp,718 39fc: 050001c4 movi r20,7 3a00: 00000306 br 3a10 <___vfprintf_internal_r+0xd0c> 3a04: 18c00204 addi r3,r3,8 @@ -5638,7 +5640,7 @@ 3a60: 1400180e bge r2,r16,3ac4 <___vfprintf_internal_r+0xdc0> 3a64: 1029883a mov r20,r2 3a68: 07000074 movhi fp,1 - 3a6c: e700b184 addi fp,fp,710 + 3a6c: e700b384 addi fp,fp,718 3a70: 054001c4 movi r21,7 3a74: 00000306 br 3a84 <___vfprintf_internal_r+0xd80> 3a78: 9cc00204 addi r19,r19,8 @@ -5661,7 +5663,7 @@ 3abc: dcc01904 addi r19,sp,100 3ac0: 003fee06 br 3a7c <___vfprintf_internal_r+0xd78> 3ac4: 07000074 movhi fp,1 - 3ac8: e700b184 addi fp,fp,710 + 3ac8: e700b384 addi fp,fp,718 3acc: 9425883a add r18,r18,r16 3ad0: 8c400044 addi r17,r17,1 3ad4: 008001c4 movi r2,7 @@ -5810,7 +5812,7 @@ 3d10: d8814c15 stw r2,1328(sp) 3d14: 39c00104 addi r7,r7,4 3d18: 01400074 movhi r5,1 - 3d1c: 29409a04 addi r5,r5,616 + 3d1c: 29409c04 addi r5,r5,624 3d20: 00800c04 movi r2,48 3d24: 0023883a mov r17,zero 3d28: 01000084 movi r4,2 @@ -5849,7 +5851,7 @@ 3dac: 008011c4 movi r2,71 3db0: 11421016 blt r2,r5,45f4 <___vfprintf_internal_r+0x18f0> 3db4: 01800074 movhi r6,1 - 3db8: 31809f04 addi r6,r6,636 + 3db8: 3180a104 addi r6,r6,644 3dbc: d9814115 stw r6,1284(sp) 3dc0: d9014c17 ldw r4,1328(sp) 3dc4: 00c000c4 movi r3,3 @@ -5872,7 +5874,7 @@ 3e08: d8000405 stb zero,16(sp) 3e0c: 003cac06 br 30c0 <___vfprintf_internal_r+0x3bc> 3e10: 01400074 movhi r5,1 - 3e14: 2940a504 addi r5,r5,660 + 3e14: 2940a704 addi r5,r5,668 3e18: d9414415 stw r5,1296(sp) 3e1c: d9814c17 ldw r6,1328(sp) 3e20: 3080080c andi r2,r6,32 @@ -5897,7 +5899,7 @@ 3e6c: d8000405 stb zero,16(sp) 3e70: 003e3206 br 373c <___vfprintf_internal_r+0xa38> 3e74: 01800074 movhi r6,1 - 3e78: 31809a04 addi r6,r6,616 + 3e78: 31809c04 addi r6,r6,624 3e7c: d9814415 stw r6,1296(sp) 3e80: 003fe606 br 3e1c <___vfprintf_internal_r+0x1118> 3e84: 00800ac4 movi r2,43 @@ -6004,14 +6006,14 @@ 4018: 00800084 movi r2,2 401c: 1880fa26 beq r3,r2,4408 <___vfprintf_internal_r+0x1704> 4020: 01000074 movhi r4,1 - 4024: 2100aa04 addi r4,r4,680 + 4024: 2100ac04 addi r4,r4,688 4028: 0002c380 call 2c38 402c: 100f883a mov r7,r2 4030: dcc14515 stw r19,1300(sp) 4034: 9880010e bge r19,r2,403c <___vfprintf_internal_r+0x1338> 4038: d8814515 stw r2,1300(sp) 403c: 00800074 movhi r2,1 - 4040: 1080aa04 addi r2,r2,680 + 4040: 1080ac04 addi r2,r2,688 4044: dcc14615 stw r19,1304(sp) 4048: d8814115 stw r2,1284(sp) 404c: 003dcf06 br 378c <___vfprintf_internal_r+0xa88> @@ -6059,7 +6061,7 @@ 40f4: 003d9e06 br 3770 <___vfprintf_internal_r+0xa6c> 40f8: dc400d17 ldw r17,52(sp) 40fc: 07000074 movhi fp,1 - 4100: e700b184 addi fp,fp,710 + 4100: e700b384 addi fp,fp,718 4104: 9425883a add r18,r18,r16 4108: 8c400044 addi r17,r17,1 410c: 008001c4 movi r2,7 @@ -6089,7 +6091,7 @@ 416c: acc00204 addi r19,r21,8 4170: 003cd106 br 34b8 <___vfprintf_internal_r+0x7b4> 4174: 07000074 movhi fp,1 - 4178: e700b184 addi fp,fp,710 + 4178: e700b384 addi fp,fp,718 417c: 003c4906 br 32a4 <___vfprintf_internal_r+0x5a0> 4180: dd000f04 addi r20,sp,60 4184: dc801904 addi r18,sp,100 @@ -6145,7 +6147,7 @@ 424c: 003efa06 br 3e38 <___vfprintf_internal_r+0x1134> 4250: dc400d17 ldw r17,52(sp) 4254: 07000074 movhi fp,1 - 4258: e700b184 addi fp,fp,710 + 4258: e700b384 addi fp,fp,718 425c: 9425883a add r18,r18,r16 4260: 8c400044 addi r17,r17,1 4264: 008001c4 movi r2,7 @@ -6159,7 +6161,7 @@ 4284: dc800e17 ldw r18,56(sp) 4288: dc400d17 ldw r17,52(sp) 428c: 07000074 movhi fp,1 - 4290: e700b584 addi fp,fp,726 + 4290: e700b784 addi fp,fp,734 4294: 003bba06 br 3180 <___vfprintf_internal_r+0x47c> 4298: d9014f17 ldw r4,1340(sp) 429c: b00b883a mov r5,r22 @@ -6190,7 +6192,7 @@ 4300: d8000405 stb zero,16(sp) 4304: 003d0d06 br 373c <___vfprintf_internal_r+0xa38> 4308: 00800074 movhi r2,1 - 430c: 1080b104 addi r2,r2,708 + 430c: 1080b304 addi r2,r2,716 4310: 94800044 addi r18,r18,1 4314: 8c400044 addi r17,r17,1 4318: a8800015 stw r2,0(r21) @@ -6226,7 +6228,7 @@ 4390: 1400800e bge r2,r16,4594 <___vfprintf_internal_r+0x1890> 4394: 1027883a mov r19,r2 4398: 07000074 movhi fp,1 - 439c: e700b184 addi fp,fp,710 + 439c: e700b384 addi fp,fp,718 43a0: 050001c4 movi r20,7 43a4: 00000306 br 43b4 <___vfprintf_internal_r+0x16b0> 43a8: 18c00204 addi r3,r3,8 @@ -6282,7 +6284,7 @@ 4470: 003cbf06 br 3770 <___vfprintf_internal_r+0xa6c> 4474: dc400d17 ldw r17,52(sp) 4478: 07000074 movhi fp,1 - 447c: e700b584 addi fp,fp,726 + 447c: e700b784 addi fp,fp,734 4480: 003bc106 br 3388 <___vfprintf_internal_r+0x684> 4484: d9414c17 ldw r5,1328(sp) 4488: 2880040c andi r2,r5,16 @@ -6353,7 +6355,7 @@ 458c: d8c01904 addi r3,sp,100 4590: 003d8b06 br 3bc0 <___vfprintf_internal_r+0xebc> 4594: 07000074 movhi fp,1 - 4598: e700b184 addi fp,fp,710 + 4598: e700b384 addi fp,fp,718 459c: 9425883a add r18,r18,r16 45a0: 8c400044 addi r17,r17,1 45a4: 008001c4 movi r2,7 @@ -6374,10 +6376,10 @@ 45e0: d8c01904 addi r3,sp,100 45e4: 003cfc06 br 39d8 <___vfprintf_internal_r+0xcd4> 45e8: 07000074 movhi fp,1 - 45ec: e700b184 addi fp,fp,710 + 45ec: e700b384 addi fp,fp,718 45f0: 003bde06 br 356c <___vfprintf_internal_r+0x868> 45f4: 00800074 movhi r2,1 - 45f8: 1080a004 addi r2,r2,640 + 45f8: 1080a204 addi r2,r2,648 45fc: d8814115 stw r2,1284(sp) 4600: 003def06 br 3dc0 <___vfprintf_internal_r+0x10bc> 4604: d9014217 ldw r4,1288(sp) @@ -6388,7 +6390,7 @@ 4618: 008011c4 movi r2,71 461c: 1140ce16 blt r2,r5,4958 <___vfprintf_internal_r+0x1c54> 4620: 01800074 movhi r6,1 - 4624: 3180a104 addi r6,r6,644 + 4624: 3180a304 addi r6,r6,652 4628: d9814115 stw r6,1284(sp) 462c: 003de406 br 3dc0 <___vfprintf_internal_r+0x10bc> 4630: d9014c17 ldw r4,1328(sp) @@ -6437,7 +6439,7 @@ 46dc: 9800010e bge r19,zero,46e4 <___vfprintf_internal_r+0x19e0> 46e0: d8014515 stw zero,1300(sp) 46e4: 00800074 movhi r2,1 - 46e8: 1080a304 addi r2,r2,652 + 46e8: 1080a504 addi r2,r2,660 46ec: 980f883a mov r7,r19 46f0: d8814115 stw r2,1284(sp) 46f4: 003a7206 br 30c0 <___vfprintf_internal_r+0x3bc> @@ -6588,13 +6590,13 @@ 4938: 0027883a mov r19,zero 493c: 00397206 br 2f08 <___vfprintf_internal_r+0x204> 4940: 00c00074 movhi r3,1 - 4944: 18c0a304 addi r3,r3,652 + 4944: 18c0a504 addi r3,r3,660 4948: 100f883a mov r7,r2 494c: d8814515 stw r2,1300(sp) 4950: d8c14115 stw r3,1284(sp) 4954: 0039da06 br 30c0 <___vfprintf_internal_r+0x3bc> 4958: 00800074 movhi r2,1 - 495c: 1080a204 addi r2,r2,648 + 495c: 1080a404 addi r2,r2,656 4960: d8814115 stw r2,1284(sp) 4964: 003d1606 br 3dc0 <___vfprintf_internal_r+0x10bc> 4968: d9414d17 ldw r5,1332(sp) @@ -6752,7 +6754,7 @@ 00004bc8 <__vfprintf_internal>: 4bc8: 00800074 movhi r2,1 - 4bcc: 10883e04 addi r2,r2,8440 + 4bcc: 10884004 addi r2,r2,8448 4bd0: 2013883a mov r9,r4 4bd4: 11000017 ldw r4,0(r2) 4bd8: 2805883a mov r2,r5 @@ -6763,7 +6765,7 @@ 00004bec <__swsetup_r>: 4bec: 00800074 movhi r2,1 - 4bf0: 10883e04 addi r2,r2,8440 + 4bf0: 10884004 addi r2,r2,8448 4bf4: 10c00017 ldw r3,0(r2) 4bf8: defffd04 addi sp,sp,-12 4bfc: dc400115 stw r17,4(sp) @@ -7039,7 +7041,7 @@ 5024: 10029b26 beq r2,zero,5a94 <_dtoa_r+0xb28> 5028: d9002917 ldw r4,164(sp) 502c: 00800074 movhi r2,1 - 5030: 1080b144 addi r2,r2,709 + 5030: 1080b344 addi r2,r2,717 5034: 10ffffc4 addi r3,r2,-1 5038: 20800015 stw r2,0(r4) 503c: 1805883a mov r2,r3 @@ -7174,7 +7176,7 @@ 5240: 20800015 stw r2,0(r4) 5244: a0001026 beq r20,zero,5288 <_dtoa_r+0x31c> 5248: 00c00074 movhi r3,1 - 524c: 18c0bd04 addi r3,r3,756 + 524c: 18c0bf04 addi r3,r3,764 5250: d9802917 ldw r6,164(sp) 5254: 303f7926 beq r6,zero,503c <_dtoa_r+0xd0> 5258: 188000c7 ldb r2,3(r3) @@ -7194,7 +7196,7 @@ 5290: a884703a and r2,r21,r2 5294: 103fec1e bne r2,zero,5248 <_dtoa_r+0x2dc> 5298: 00c00074 movhi r3,1 - 529c: 18c0ba04 addi r3,r3,744 + 529c: 18c0bc04 addi r3,r3,752 52a0: 003feb06 br 5250 <_dtoa_r+0x2e4> 52a4: d8802917 ldw r2,164(sp) 52a8: 19000204 addi r4,r3,8 @@ -7248,7 +7250,7 @@ 5368: 100490fa slli r2,r2,3 536c: 2025d13a srai r18,r4,4 5370: 00c00074 movhi r3,1 - 5374: 18c0cf04 addi r3,r3,828 + 5374: 18c0d104 addi r3,r3,836 5378: 10c5883a add r2,r2,r3 537c: 90c0040c andi r3,r18,16 5380: 14000017 ldw r16,0(r2) @@ -7257,7 +7259,7 @@ 538c: 05800084 movi r22,2 5390: 90001026 beq r18,zero,53d4 <_dtoa_r+0x468> 5394: 04c00074 movhi r19,1 - 5398: 9cc10104 addi r19,r19,1028 + 5398: 9cc10304 addi r19,r19,1036 539c: 9080004c andi r2,r18,1 53a0: 1005003a cmpeq r2,r2,zero 53a4: 1000081e bne r2,zero,53c8 <_dtoa_r+0x45c> @@ -7352,7 +7354,7 @@ 5508: 200490fa slli r2,r4,3 550c: d9802617 ldw r6,152(sp) 5510: 00c00074 movhi r3,1 - 5514: 18c0cf04 addi r3,r3,828 + 5514: 18c0d104 addi r3,r3,836 5518: 10c5883a add r2,r2,r3 551c: 14800017 ldw r18,0(r2) 5520: 14c00117 ldw r19,4(r2) @@ -7463,7 +7465,7 @@ 56c4: 003e5d06 br 503c <_dtoa_r+0xd0> 56c8: d9800d17 ldw r6,52(sp) 56cc: 00c00074 movhi r3,1 - 56d0: 18c0cf04 addi r3,r3,828 + 56d0: 18c0d104 addi r3,r3,836 56d4: d9001217 ldw r4,72(sp) 56d8: 300490fa slli r2,r6,3 56dc: d9401317 ldw r5,76(sp) @@ -7705,7 +7707,7 @@ 5a8c: 1027883a mov r19,r2 5a90: 003f2506 br 5728 <_dtoa_r+0x7bc> 5a94: 00c00074 movhi r3,1 - 5a98: 18c0b104 addi r3,r3,708 + 5a98: 18c0b304 addi r3,r3,716 5a9c: 003d6706 br 503c <_dtoa_r+0xd0> 5aa0: dd800517 ldw r22,20(sp) 5aa4: 04000044 movi r16,1 @@ -7810,7 +7812,7 @@ 5c30: 398003cc andi r6,r7,15 5c34: 300c90fa slli r6,r6,3 5c38: 01400074 movhi r5,1 - 5c3c: 2940cf04 addi r5,r5,828 + 5c3c: 2940d104 addi r5,r5,836 5c40: d9001217 ldw r4,72(sp) 5c44: 314d883a add r6,r6,r5 5c48: 30c00117 ldw r3,4(r6) @@ -7827,7 +7829,7 @@ 5c74: 8001e526 beq r16,zero,640c <_dtoa_r+0x14a0> 5c78: 05800084 movi r22,2 5c7c: 04400074 movhi r17,1 - 5c80: 8c410104 addi r17,r17,1028 + 5c80: 8c410304 addi r17,r17,1036 5c84: 8080004c andi r2,r16,1 5c88: 1005003a cmpeq r2,r2,zero 5c8c: 1000081e bne r2,zero,5cb0 <_dtoa_r+0xd44> @@ -7977,7 +7979,7 @@ 5ecc: 00cff834 movhi r3,16352 5ed0: 200c90fa slli r6,r4,3 5ed4: 01000074 movhi r4,1 - 5ed8: 2100cf04 addi r4,r4,828 + 5ed8: 2100d104 addi r4,r4,836 5edc: 180b883a mov r5,r3 5ee0: 310d883a add r6,r6,r4 5ee4: 327fff17 ldw r9,-4(r6) @@ -8129,7 +8131,7 @@ 612c: d8c00517 ldw r3,20(sp) 6130: 003bc206 br 503c <_dtoa_r+0xd0> 6134: 01800074 movhi r6,1 - 6138: 31810104 addi r6,r6,1028 + 6138: 31810304 addi r6,r6,1036 613c: 30c00917 ldw r3,36(r6) 6140: 30800817 ldw r2,32(r6) 6144: d9001217 ldw r4,72(sp) @@ -8200,7 +8202,7 @@ 6248: 003e4106 br 5b50 <_dtoa_r+0xbe4> 624c: d8800317 ldw r2,12(sp) 6250: 01800074 movhi r6,1 - 6254: 3180cf04 addi r6,r6,828 + 6254: 3180d104 addi r6,r6,836 6258: b009883a mov r4,r22 625c: 100e90fa slli r7,r2,3 6260: b80b883a mov r5,r23 @@ -8515,12 +8517,12 @@ 6724: 2007883a mov r3,r4 6728: 20000526 beq r4,zero,6740 672c: 00800074 movhi r2,1 - 6730: 10883e04 addi r2,r2,8440 + 6730: 10884004 addi r2,r2,8448 6734: 11000017 ldw r4,0(r2) 6738: 180b883a mov r5,r3 673c: 00065201 jmpi 6520 <_fflush_r> 6740: 00800074 movhi r2,1 - 6744: 10883f04 addi r2,r2,8444 + 6744: 10884104 addi r2,r2,8452 6748: 11000017 ldw r4,0(r2) 674c: 00073201 jmpi 7320 <_fwalk_reent> @@ -8613,7 +8615,7 @@ 0000686c <__fp_unlock_all>: 686c: 00800074 movhi r2,1 - 6870: 10883e04 addi r2,r2,8440 + 6870: 10884004 addi r2,r2,8448 6874: 11000017 ldw r4,0(r2) 6878: 01400034 movhi r5,0 687c: 295a1904 addi r5,r5,26724 @@ -8621,7 +8623,7 @@ 00006884 <__fp_lock_all>: 6884: 00800074 movhi r2,1 - 6888: 10883e04 addi r2,r2,8440 + 6888: 10884004 addi r2,r2,8448 688c: 11000017 ldw r4,0(r2) 6890: 01400034 movhi r5,0 6894: 295a1704 addi r5,r5,26716 @@ -8634,7 +8636,7 @@ 000068a8 <_cleanup>: 68a8: 00800074 movhi r2,1 - 68ac: 10883f04 addi r2,r2,8444 + 68ac: 10884104 addi r2,r2,8452 68b0: 11000017 ldw r4,0(r2) 68b4: 000689c1 jmpi 689c <_cleanup_r> @@ -8682,7 +8684,7 @@ 00006954 <__sfp>: 6954: defffd04 addi sp,sp,-12 6958: 00800074 movhi r2,1 - 695c: 10883f04 addi r2,r2,8444 + 695c: 10884104 addi r2,r2,8452 6960: dc000015 stw r16,0(sp) 6964: 14000017 ldw r16,0(r2) 6968: dc400115 stw r17,4(sp) @@ -8745,7 +8747,7 @@ 6a44: defffb04 addi sp,sp,-20 6a48: dcc00315 stw r19,12(sp) 6a4c: 04c00074 movhi r19,1 - 6a50: 9cc27004 addi r19,r19,2496 + 6a50: 9cc27204 addi r19,r19,2504 6a54: dc800215 stw r18,8(sp) 6a58: dc400115 stw r17,4(sp) 6a5c: dc000015 stw r16,0(sp) @@ -8788,7 +8790,7 @@ 6af0: 9009883a mov r4,r18 6af4: 000b883a mov r5,zero 6af8: 01c00074 movhi r7,1 - 6afc: 39d08304 addi r7,r7,16908 + 6afc: 39d08504 addi r7,r7,16916 6b00: 31800054 ori r6,r6,1 6b04: 10c00926 beq r2,r3,6b2c <_malloc_trim_r+0xe8> 6b08: 38800017 ldw r2,0(r7) @@ -8809,10 +8811,10 @@ 6b44: 19400054 ori r5,r3,1 6b48: 10ffdc0e bge r2,r3,6abc <_malloc_trim_r+0x78> 6b4c: 00800074 movhi r2,1 - 6b50: 10884304 addi r2,r2,8460 + 6b50: 10884504 addi r2,r2,8468 6b54: 10c00017 ldw r3,0(r2) 6b58: 00800074 movhi r2,1 - 6b5c: 10908304 addi r2,r2,16908 + 6b5c: 10908504 addi r2,r2,16916 6b60: 31400115 stw r5,4(r6) 6b64: 38c7c83a sub r3,r7,r3 6b68: 10c00015 stw r3,0(r2) @@ -8831,7 +8833,7 @@ 6b94: 41400117 ldw r5,4(r8) 6b98: 00bfff84 movi r2,-2 6b9c: 02800074 movhi r10,1 - 6ba0: 52827004 addi r10,r10,2496 + 6ba0: 52827204 addi r10,r10,2504 6ba4: 288e703a and r7,r5,r2 6ba8: 41cd883a add r6,r8,r7 6bac: 30c00117 ldw r3,4(r6) @@ -8854,7 +8856,7 @@ 6bf0: 2800451e bne r5,zero,6d08 <_free_r+0x198> 6bf4: 31000217 ldw r4,8(r6) 6bf8: 00800074 movhi r2,1 - 6bfc: 10827204 addi r2,r2,2504 + 6bfc: 10827404 addi r2,r2,2512 6c00: 20807b26 beq r4,r2,6df0 <_free_r+0x280> 6c04: 30800317 ldw r2,12(r6) 6c08: 3a07883a add r3,r7,r8 @@ -8954,14 +8956,14 @@ 6d80: 20c00215 stw r3,8(r4) 6d84: 19000315 stw r4,12(r3) 6d88: 00800074 movhi r2,1 - 6d8c: 10884204 addi r2,r2,8456 + 6d8c: 10884404 addi r2,r2,8464 6d90: 11000017 ldw r4,0(r2) 6d94: 30c00054 ori r3,r6,1 6d98: 52000215 stw r8,8(r10) 6d9c: 40c00115 stw r3,4(r8) 6da0: 313fa036 bltu r6,r4,6c24 <_free_r+0xb4> 6da4: 00800074 movhi r2,1 - 6da8: 108f3904 addi r2,r2,15588 + 6da8: 108f3b04 addi r2,r2,15596 6dac: 11400017 ldw r5,0(r2) 6db0: 8809883a mov r4,r17 6db4: 0006a440 call 6a44 <_malloc_trim_r> @@ -9420,19 +9422,19 @@ 000074a8 <_localeconv_r>: 74a8: 00800074 movhi r2,1 - 74ac: 1080c304 addi r2,r2,780 + 74ac: 1080c504 addi r2,r2,788 74b0: f800283a ret 000074b4 : 74b4: 00800074 movhi r2,1 - 74b8: 10883e04 addi r2,r2,8440 + 74b8: 10884004 addi r2,r2,8448 74bc: 11000017 ldw r4,0(r2) 74c0: 00074a81 jmpi 74a8 <_localeconv_r> 000074c4 <_setlocale_r>: 74c4: defffc04 addi sp,sp,-16 74c8: 00c00074 movhi r3,1 - 74cc: 18c0be04 addi r3,r3,760 + 74cc: 18c0c004 addi r3,r3,768 74d0: dc800215 stw r18,8(sp) 74d4: dc400115 stw r17,4(sp) 74d8: dc000015 stw r16,0(sp) @@ -9446,12 +9448,12 @@ 74f8: 00099e00 call 99e0 74fc: 8009883a mov r4,r16 7500: 01400074 movhi r5,1 - 7504: 2940a904 addi r5,r5,676 + 7504: 2940ab04 addi r5,r5,684 7508: 10000b1e bne r2,zero,7538 <_setlocale_r+0x74> 750c: 8c000d15 stw r16,52(r17) 7510: 8c800c15 stw r18,48(r17) 7514: 00c00074 movhi r3,1 - 7518: 18c0be04 addi r3,r3,760 + 7518: 18c0c004 addi r3,r3,768 751c: 1805883a mov r2,r3 7520: dfc00317 ldw ra,12(sp) 7524: dc800217 ldw r18,8(sp) @@ -9466,7 +9468,7 @@ 00007548 : 7548: 01800074 movhi r6,1 - 754c: 31883e04 addi r6,r6,8440 + 754c: 31884004 addi r6,r6,8448 7550: 2007883a mov r3,r4 7554: 31000017 ldw r4,0(r6) 7558: 280d883a mov r6,r5 @@ -9623,7 +9625,7 @@ 77a4: 880cd0fa srli r6,r17,3 77a8: 300490fa slli r2,r6,3 77ac: 02c00074 movhi r11,1 - 77b0: 5ac27004 addi r11,r11,2496 + 77b0: 5ac27204 addi r11,r11,2504 77b4: 12cb883a add r5,r2,r11 77b8: 2c000317 ldw r16,12(r5) 77bc: 580f883a mov r7,r11 @@ -9641,7 +9643,7 @@ 77ec: 31bfffc4 addi r6,r6,-1 77f0: 32400044 addi r9,r6,1 77f4: 02800074 movhi r10,1 - 77f8: 52827204 addi r10,r10,2504 + 77f8: 52827404 addi r10,r10,2512 77fc: 54000217 ldw r16,8(r10) 7800: 8280a026 beq r16,r10,7a84 <_malloc_r+0x38c> 7804: 80800117 ldw r2,4(r16) @@ -9662,7 +9664,7 @@ 7840: 80c00204 addi r3,r16,8 7844: 003fc006 br 7748 <_malloc_r+0x50> 7848: 02c00074 movhi r11,1 - 784c: 5ac27004 addi r11,r11,2496 + 784c: 5ac27204 addi r11,r11,2504 7850: 8ac5883a add r2,r17,r11 7854: 14000317 ldw r16,12(r2) 7858: 580f883a mov r7,r11 @@ -9844,7 +9846,7 @@ 7b18: 0007883a mov r3,zero 7b1c: 003f0a06 br 7748 <_malloc_r+0x50> 7b20: 05c00074 movhi r23,1 - 7b24: bdd08304 addi r23,r23,16908 + 7b24: bdd08504 addi r23,r23,16916 7b28: b8800017 ldw r2,0(r23) 7b2c: 988d883a add r6,r19,r2 7b30: b9800015 stw r6,0(r23) @@ -9901,7 +9903,7 @@ 7bfc: 82000315 stw r8,12(r16) 7c00: 81800215 stw r6,8(r16) 7c04: 07000074 movhi fp,1 - 7c08: e7027004 addi fp,fp,2496 + 7c08: e7027204 addi fp,fp,2504 7c0c: 34000315 stw r16,12(r6) 7c10: 44000215 stw r16,8(r8) 7c14: 003f3e06 br 7910 <_malloc_r+0x218> @@ -9921,7 +9923,7 @@ 7c4c: 48c000c4 addi r3,r9,3 7c50: 003f3106 br 7918 <_malloc_r+0x220> 7c54: 05c00074 movhi r23,1 - 7c58: bdd08304 addi r23,r23,16908 + 7c58: bdd08504 addi r23,r23,16916 7c5c: b8800017 ldw r2,0(r23) 7c60: 988d883a add r6,r19,r2 7c64: b9800015 stw r6,0(r23) @@ -9983,7 +9985,7 @@ 7d44: 003e9906 br 77ac <_malloc_r+0xb4> 7d48: 2009d0ba srai r4,r4,2 7d4c: 01400074 movhi r5,1 - 7d50: 29427004 addi r5,r5,2496 + 7d50: 29427204 addi r5,r5,2504 7d54: 00c00044 movi r3,1 7d58: 28800117 ldw r2,4(r5) 7d5c: 1906983a sll r3,r3,r4 @@ -10523,7 +10525,7 @@ 8554: 11000c16 blt r2,r4,8588 <_mprec_log10+0x48> 8558: 200490fa slli r2,r4,3 855c: 00c00074 movhi r3,1 - 8560: 18c0cf04 addi r3,r3,828 + 8560: 18c0d104 addi r3,r3,836 8564: 10c5883a add r2,r2,r3 8568: 12400117 ldw r9,4(r2) 856c: 12000017 ldw r8,0(r2) @@ -11248,7 +11250,7 @@ 9058: 003fe106 br 8fe0 <__pow5mult+0x60> 905c: 1085883a add r2,r2,r2 9060: 00c00074 movhi r3,1 - 9064: 18c11504 addi r3,r3,1108 + 9064: 18c11704 addi r3,r3,1116 9068: 1085883a add r2,r2,r2 906c: 10c5883a add r2,r2,r3 9070: 11bfff17 ldw r6,-4(r2) @@ -11407,7 +11409,7 @@ 92c4: dec00c04 addi sp,sp,48 92c8: f800283a ret 92cc: 00800074 movhi r2,1 - 92d0: 10827004 addi r2,r2,2496 + 92d0: 10827204 addi r2,r2,2504 92d4: 12400217 ldw r9,8(r2) 92d8: 84cd883a add r6,r16,r19 92dc: 802b883a mov r21,r16 @@ -11608,7 +11610,7 @@ 95e8: 28800115 stw r2,4(r5) 95ec: 80c00117 ldw r3,4(r16) 95f0: 00800074 movhi r2,1 - 95f4: 10827004 addi r2,r2,2496 + 95f4: 10827204 addi r2,r2,2504 95f8: b009883a mov r4,r22 95fc: 18c0004c andi r3,r3,1 9600: e0c6b03a or r3,fp,r3 @@ -11663,7 +11665,7 @@ 96c4: 28800115 stw r2,4(r5) 96c8: b8c00117 ldw r3,4(r23) 96cc: 00800074 movhi r2,1 - 96d0: 10827004 addi r2,r2,2496 + 96d0: 10827204 addi r2,r2,2504 96d4: 11400215 stw r5,8(r2) 96d8: 18c0004c andi r3,r3,1 96dc: e0c6b03a or r3,fp,r3 @@ -11757,7 +11759,7 @@ 9824: defffd04 addi sp,sp,-12 9828: dc000015 stw r16,0(sp) 982c: 04000074 movhi r16,1 - 9830: 840f3c04 addi r16,r16,15600 + 9830: 840f3e04 addi r16,r16,15608 9834: dc400115 stw r17,4(sp) 9838: 80000015 stw zero,0(r16) 983c: 2023883a mov r17,r4 @@ -11927,7 +11929,7 @@ 9a9c: defffd04 addi sp,sp,-12 9aa0: dc000015 stw r16,0(sp) 9aa4: 04000074 movhi r16,1 - 9aa8: 840f3c04 addi r16,r16,15600 + 9aa8: 840f3e04 addi r16,r16,15608 9aac: dc400115 stw r17,4(sp) 9ab0: 80000015 stw zero,0(r16) 9ab4: 2023883a mov r17,r4 @@ -12011,7 +12013,7 @@ 9bdc: defffd04 addi sp,sp,-12 9be0: dc000015 stw r16,0(sp) 9be4: 04000074 movhi r16,1 - 9be8: 840f3c04 addi r16,r16,15600 + 9be8: 840f3e04 addi r16,r16,15608 9bec: dc400115 stw r17,4(sp) 9bf0: 80000015 stw zero,0(r16) 9bf4: 2023883a mov r17,r4 @@ -12109,7 +12111,7 @@ 00009d5c : 9d5c: 00800074 movhi r2,1 - 9d60: 10883e04 addi r2,r2,8440 + 9d60: 10884004 addi r2,r2,8448 9d64: 200b883a mov r5,r4 9d68: 11000017 ldw r4,0(r2) 9d6c: 0009c4c1 jmpi 9c4c <_fclose_r> @@ -12118,7 +12120,7 @@ 9d70: defffd04 addi sp,sp,-12 9d74: dc000015 stw r16,0(sp) 9d78: 04000074 movhi r16,1 - 9d7c: 840f3c04 addi r16,r16,15600 + 9d7c: 840f3e04 addi r16,r16,15608 9d80: dc400115 stw r17,4(sp) 9d84: 80000015 stw zero,0(r16) 9d88: 2023883a mov r17,r4 @@ -12149,7 +12151,7 @@ 9de4: defffd04 addi sp,sp,-12 9de8: dc000015 stw r16,0(sp) 9dec: 04000074 movhi r16,1 - 9df0: 840f3c04 addi r16,r16,15600 + 9df0: 840f3e04 addi r16,r16,15608 9df4: dc400115 stw r17,4(sp) 9df8: 80000015 stw zero,0(r16) 9dfc: 2023883a mov r17,r4 @@ -12179,7 +12181,7 @@ 9e54: defffd04 addi sp,sp,-12 9e58: dc000015 stw r16,0(sp) 9e5c: 04000074 movhi r16,1 - 9e60: 840f3c04 addi r16,r16,15600 + 9e60: 840f3e04 addi r16,r16,15608 9e64: dc400115 stw r17,4(sp) 9e68: 80000015 stw zero,0(r16) 9e6c: 2023883a mov r17,r4 @@ -12211,7 +12213,7 @@ 9ecc: defffd04 addi sp,sp,-12 9ed0: dc000015 stw r16,0(sp) 9ed4: 04000074 movhi r16,1 - 9ed8: 840f3c04 addi r16,r16,15600 + 9ed8: 840f3e04 addi r16,r16,15608 9edc: dc400115 stw r17,4(sp) 9ee0: 80000015 stw zero,0(r16) 9ee4: 2023883a mov r17,r4 @@ -12270,7 +12272,7 @@ 9fb0: 0005883a mov r2,zero 9fb4: 9884d83a srl r2,r19,r2 9fb8: 01000074 movhi r4,1 - 9fbc: 21011d04 addi r4,r4,1140 + 9fbc: 21011f04 addi r4,r4,1148 9fc0: 01800804 movi r6,32 9fc4: 1105883a add r2,r2,r4 9fc8: 10c00003 ldbu r3,0(r2) @@ -12334,7 +12336,7 @@ a0b0: 0005883a mov r2,zero a0b4: 3084d83a srl r2,r6,r2 a0b8: 01000074 movhi r4,1 - a0bc: 21011d04 addi r4,r4,1140 + a0bc: 21011f04 addi r4,r4,1148 a0c0: 01c00804 movi r7,32 a0c4: 1105883a add r2,r2,r4 a0c8: 10c00003 ldbu r3,0(r2) @@ -12370,7 +12372,7 @@ a140: 0005883a mov r2,zero a144: 9884d83a srl r2,r19,r2 a148: 01000074 movhi r4,1 - a14c: 21011d04 addi r4,r4,1140 + a14c: 21011f04 addi r4,r4,1148 a150: 01800804 movi r6,32 a154: 1105883a add r2,r2,r4 a158: 10c00003 ldbu r3,0(r2) @@ -12683,7 +12685,7 @@ a61c: 0005883a mov r2,zero a620: 9884d83a srl r2,r19,r2 a624: 01000074 movhi r4,1 - a628: 21011d04 addi r4,r4,1140 + a628: 21011f04 addi r4,r4,1148 a62c: 01800804 movi r6,32 a630: 1105883a add r2,r2,r4 a634: 10c00003 ldbu r3,0(r2) @@ -12746,7 +12748,7 @@ a718: 0005883a mov r2,zero a71c: 3084d83a srl r2,r6,r2 a720: 01000074 movhi r4,1 - a724: 21011d04 addi r4,r4,1140 + a724: 21011f04 addi r4,r4,1148 a728: 01c00804 movi r7,32 a72c: 1105883a add r2,r2,r4 a730: 10c00003 ldbu r3,0(r2) @@ -12768,7 +12770,7 @@ a770: 0005883a mov r2,zero a774: 9884d83a srl r2,r19,r2 a778: 01000074 movhi r4,1 - a77c: 21011d04 addi r4,r4,1140 + a77c: 21011f04 addi r4,r4,1148 a780: 01800804 movi r6,32 a784: 1105883a add r2,r2,r4 a788: 10c00003 ldbu r3,0(r2) @@ -13307,7 +13309,7 @@ afd4: 98800117 ldw r2,4(r19) afd8: 10ff7f26 beq r2,r3,add8 <_fpadd_parts+0x1c8> afdc: 04c00074 movhi r19,1 - afe0: 9cc11804 addi r19,r19,1120 + afe0: 9cc11a04 addi r19,r19,1128 afe4: 003f7c06 br add8 <_fpadd_parts+0x1c8> afe8: 2006d07a srli r3,r4,1 afec: 008007c4 movi r2,31 @@ -13629,7 +13631,7 @@ b4c4: 00800084 movi r2,2 b4c8: 20bf351e bne r4,r2,b1a0 <__muldf3+0x8c> b4cc: 01000074 movhi r4,1 - b4d0: 21011804 addi r4,r4,1120 + b4d0: 21011a04 addi r4,r4,1128 b4d4: 003f3f06 br b1d4 <__muldf3+0xc0> 0000b4d8 <__divdf3>: @@ -13677,7 +13679,7 @@ b57c: dec01304 addi sp,sp,76 b580: f800283a ret b584: 01000074 movhi r4,1 - b588: 21011804 addi r4,r4,1120 + b588: 21011a04 addi r4,r4,1128 b58c: 000bee00 call bee0 <__pack_d> b590: dfc01217 ldw ra,72(sp) b594: dcc01117 ldw r19,68(sp) @@ -14272,7 +14274,7 @@ be78: 000b883a mov r5,zero be7c: 20c6d83a srl r3,r4,r3 be80: 00800074 movhi r2,1 - be84: 10811d04 addi r2,r2,1140 + be84: 10811f04 addi r2,r2,1148 be88: 1887883a add r3,r3,r2 be8c: 18800003 ldbu r2,0(r3) be90: 00c00804 movi r3,32 @@ -14288,7 +14290,7 @@ beb8: 2807883a mov r3,r5 bebc: 20c6d83a srl r3,r4,r3 bec0: 00800074 movhi r2,1 - bec4: 10811d04 addi r2,r2,1140 + bec4: 10811f04 addi r2,r2,1148 bec8: 1887883a add r3,r3,r2 becc: 18800003 ldbu r2,0(r3) bed0: 00c00804 movi r3,32 @@ -14653,7 +14655,7 @@ c41c: 0002b2c0 call 2b2c <__mulsi3> c420: 1007883a mov r3,r2 c424: 00800074 movhi r2,1 - c428: 10837c04 addi r2,r2,3568 + c428: 10837e04 addi r2,r2,3576 c42c: 1887883a add r3,r3,r2 c430: e0ffff15 stw r3,-4(fp) c434: 00000106 br c43c @@ -14743,18 +14745,18 @@ c500: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); c504: 00800074 movhi r2,1 - c508: 10884904 addi r2,r2,8484 + c508: 10884b04 addi r2,r2,8492 c50c: 10800017 ldw r2,0(r2) c510: 1005003a cmpeq r2,r2,zero c514: 1000061e bne r2,zero,c530 c518: 00800074 movhi r2,1 - c51c: 10884904 addi r2,r2,8484 + c51c: 10884b04 addi r2,r2,8492 c520: 10800017 ldw r2,0(r2) c524: 103ee83a callr r2 c528: e0bfff15 stw r2,-4(fp) c52c: 00000306 br c53c c530: 00800074 movhi r2,1 - c534: 108f3c04 addi r2,r2,15600 + c534: 108f3e04 addi r2,r2,15608 c538: e0bfff15 stw r2,-4(fp) c53c: e0bfff17 ldw r2,-4(fp) } @@ -14812,7 +14814,7 @@ c5ac: 0002b2c0 call 2b2c <__mulsi3> c5b0: 1007883a mov r3,r2 c5b4: 00800074 movhi r2,1 - c5b8: 10837c04 addi r2,r2,3568 + c5b8: 10837e04 addi r2,r2,3576 c5bc: 1887883a add r3,r3,r2 c5c0: e0ffff15 stw r3,-4(fp) c5c4: 00000106 br c5cc @@ -14889,18 +14891,18 @@ c664: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); c668: 00800074 movhi r2,1 - c66c: 10884904 addi r2,r2,8484 + c66c: 10884b04 addi r2,r2,8492 c670: 10800017 ldw r2,0(r2) c674: 1005003a cmpeq r2,r2,zero c678: 1000061e bne r2,zero,c694 c67c: 00800074 movhi r2,1 - c680: 10884904 addi r2,r2,8484 + c680: 10884b04 addi r2,r2,8492 c684: 10800017 ldw r2,0(r2) c688: 103ee83a callr r2 c68c: e0bfff15 stw r2,-4(fp) c690: 00000306 br c6a0 c694: 00800074 movhi r2,1 - c698: 108f3c04 addi r2,r2,15600 + c698: 108f3e04 addi r2,r2,15608 c69c: e0bfff15 stw r2,-4(fp) c6a0: e0bfff17 ldw r2,-4(fp) } @@ -14936,7 +14938,7 @@ c6e0: 0002b2c0 call 2b2c <__mulsi3> c6e4: 1007883a mov r3,r2 c6e8: 00800074 movhi r2,1 - c6ec: 10837c04 addi r2,r2,3568 + c6ec: 10837e04 addi r2,r2,3576 c6f0: 1887883a add r3,r3,r2 c6f4: e0ffff15 stw r3,-4(fp) c6f8: 00000106 br c700 @@ -15011,18 +15013,18 @@ c788: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); c78c: 00800074 movhi r2,1 - c790: 10884904 addi r2,r2,8484 + c790: 10884b04 addi r2,r2,8492 c794: 10800017 ldw r2,0(r2) c798: 1005003a cmpeq r2,r2,zero c79c: 1000061e bne r2,zero,c7b8 c7a0: 00800074 movhi r2,1 - c7a4: 10884904 addi r2,r2,8484 + c7a4: 10884b04 addi r2,r2,8492 c7a8: 10800017 ldw r2,0(r2) c7ac: 103ee83a callr r2 c7b0: e0bfff15 stw r2,-4(fp) c7b4: 00000306 br c7c4 c7b8: 00800074 movhi r2,1 - c7bc: 108f3c04 addi r2,r2,15600 + c7bc: 108f3e04 addi r2,r2,15608 c7c0: e0bfff15 stw r2,-4(fp) c7c4: e0bfff17 ldw r2,-4(fp) } @@ -15049,11 +15051,11 @@ alt_load_section (&__flash_rwdata_start, c7ec: 01000074 movhi r4,1 - c7f0: 21084f04 addi r4,r4,8508 + c7f0: 21085104 addi r4,r4,8516 c7f4: 01400074 movhi r5,1 - c7f8: 29416d04 addi r5,r5,1460 + c7f8: 29416f04 addi r5,r5,1468 c7fc: 01800074 movhi r6,1 - c800: 31884f04 addi r6,r6,8508 + c800: 31885104 addi r6,r6,8516 c804: 000c85c0 call c85c /* @@ -15079,7 +15081,7 @@ c82c: 01400074 movhi r5,1 c830: 297fa604 addi r5,r5,-360 c834: 01800074 movhi r6,1 - c838: 31816d04 addi r6,r6,1460 + c838: 31816f04 addi r6,r6,1468 c83c: 000c85c0 call c85c /* @@ -15179,7 +15181,7 @@ c8fc: 0002b2c0 call 2b2c <__mulsi3> c900: 1007883a mov r3,r2 c904: 00800074 movhi r2,1 - c908: 10837c04 addi r2,r2,3568 + c908: 10837e04 addi r2,r2,3576 c90c: 1887883a add r3,r3,r2 c910: e0ffff15 stw r3,-4(fp) c914: 00000106 br c91c @@ -15269,18 +15271,18 @@ c9c8: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); c9cc: 00800074 movhi r2,1 - c9d0: 10884904 addi r2,r2,8484 + c9d0: 10884b04 addi r2,r2,8492 c9d4: 10800017 ldw r2,0(r2) c9d8: 1005003a cmpeq r2,r2,zero c9dc: 1000061e bne r2,zero,c9f8 c9e0: 00800074 movhi r2,1 - c9e4: 10884904 addi r2,r2,8484 + c9e4: 10884b04 addi r2,r2,8492 c9e8: 10800017 ldw r2,0(r2) c9ec: 103ee83a callr r2 c9f0: e0bfff15 stw r2,-4(fp) c9f4: 00000306 br ca04 c9f8: 00800074 movhi r2,1 - c9fc: 108f3c04 addi r2,r2,15600 + c9fc: 108f3e04 addi r2,r2,15608 ca00: e0bfff15 stw r2,-4(fp) ca04: e0bfff17 ldw r2,-4(fp) } @@ -15323,11 +15325,11 @@ ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); ca38: 01000074 movhi r4,1 - ca3c: 21016004 addi r4,r4,1408 + ca3c: 21016204 addi r4,r4,1416 ca40: 01400074 movhi r5,1 - ca44: 29416004 addi r5,r5,1408 + ca44: 29416204 addi r5,r5,1416 ca48: 01800074 movhi r6,1 - ca4c: 31816004 addi r6,r6,1408 + ca4c: 31816204 addi r6,r6,1416 ca50: 000f2e80 call f2e8 /* * Call the C++ constructors @@ -15354,7 +15356,7 @@ ca64: d1270117 ldw r4,-25596(gp) ca68: d1670217 ldw r5,-25592(gp) ca6c: d1a70317 ldw r6,-25588(gp) - ca70: 0000ab40 call ab4
+ ca70: 00009280 call 928
ca74: e0bfff15 stw r2,-4(fp) close(STDOUT_FILENO); ca78: 01000044 movi r4,1 @@ -15425,7 +15427,7 @@ caf8: 0002b2c0 call 2b2c <__mulsi3> cafc: 1007883a mov r3,r2 cb00: 00800074 movhi r2,1 - cb04: 10837c04 addi r2,r2,3568 + cb04: 10837e04 addi r2,r2,3576 cb08: 1887883a add r3,r3,r2 cb0c: e0ffff15 stw r3,-4(fp) cb10: 00000106 br cb18 @@ -15525,18 +15527,18 @@ cbf8: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); cbfc: 00800074 movhi r2,1 - cc00: 10884904 addi r2,r2,8484 + cc00: 10884b04 addi r2,r2,8492 cc04: 10800017 ldw r2,0(r2) cc08: 1005003a cmpeq r2,r2,zero cc0c: 1000061e bne r2,zero,cc28 cc10: 00800074 movhi r2,1 - cc14: 10884904 addi r2,r2,8484 + cc14: 10884b04 addi r2,r2,8492 cc18: 10800017 ldw r2,0(r2) cc1c: 103ee83a callr r2 cc20: e0bfff15 stw r2,-4(fp) cc24: 00000306 br cc34 cc28: 00800074 movhi r2,1 - cc2c: 108f3c04 addi r2,r2,15600 + cc2c: 108f3e04 addi r2,r2,15608 cc30: e0bfff15 stw r2,-4(fp) cc34: e0bfff17 ldw r2,-4(fp) } @@ -15567,7 +15569,7 @@ alt_fd_list[fd].fd_flags = 0; cc70: e13fff17 ldw r4,-4(fp) cc74: 04000074 movhi r16,1 - cc78: 84037c04 addi r16,r16,3568 + cc78: 84037e04 addi r16,r16,3576 cc7c: 01400304 movi r5,12 cc80: 0002b2c0 call 2b2c <__mulsi3> cc84: 1405883a add r2,r2,r16 @@ -15576,7 +15578,7 @@ alt_fd_list[fd].dev = 0; cc90: e13fff17 ldw r4,-4(fp) cc94: 04000074 movhi r16,1 - cc98: 84037c04 addi r16,r16,3568 + cc98: 84037e04 addi r16,r16,3576 cc9c: 01400304 movi r5,12 cca0: 0002b2c0 call 2b2c <__mulsi3> cca4: 1405883a add r2,r2,r16 @@ -15738,7 +15740,7 @@ cde0: 0002b2c0 call 2b2c <__mulsi3> cde4: 1007883a mov r3,r2 cde8: 00800074 movhi r2,1 - cdec: 10837c04 addi r2,r2,3568 + cdec: 10837e04 addi r2,r2,3576 cdf0: 1887883a add r3,r3,r2 cdf4: e0ffff15 stw r3,-4(fp) cdf8: 00000106 br ce00 @@ -15841,18 +15843,18 @@ cee0: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); cee4: 00800074 movhi r2,1 - cee8: 10884904 addi r2,r2,8484 + cee8: 10884b04 addi r2,r2,8492 ceec: 10800017 ldw r2,0(r2) cef0: 1005003a cmpeq r2,r2,zero cef4: 1000061e bne r2,zero,cf10 cef8: 00800074 movhi r2,1 - cefc: 10884904 addi r2,r2,8484 + cefc: 10884b04 addi r2,r2,8492 cf00: 10800017 ldw r2,0(r2) cf04: 103ee83a callr r2 cf08: e0bfff15 stw r2,-4(fp) cf0c: 00000306 br cf1c cf10: 00800074 movhi r2,1 - cf14: 108f3c04 addi r2,r2,15600 + cf14: 108f3e04 addi r2,r2,15608 cf18: e0bfff15 stw r2,-4(fp) cf1c: e0bfff17 ldw r2,-4(fp) } @@ -15905,19 +15907,19 @@ cf74: d839883a mov fp,sp ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); cf78: 01000074 movhi r4,1 - cf7c: 2103e604 addi r4,r4,3992 + cf7c: 2103e804 addi r4,r4,4000 cf80: 000b883a mov r5,zero cf84: 01800144 movi r6,5 cf88: 000d1440 call d144 cf8c: 01000074 movhi r4,1 - cf90: 2103dc04 addi r4,r4,3952 + cf90: 2103de04 addi r4,r4,3960 cf94: 000cfc40 call cfc4 ALTERA_AVALON_LCD_16207_INIT ( LCD_16207_0, lcd_16207_0); cf98: 01000074 movhi r4,1 - cf9c: 2107fe04 addi r4,r4,8184 + cf9c: 21080004 addi r4,r4,8192 cfa0: 000e8ec0 call e8ec cfa4: 01000074 movhi r4,1 - cfa8: 2107f404 addi r4,r4,8144 + cfa8: 2107f604 addi r4,r4,8152 cfac: 000cfc40 call cfc4 } cfb0: e037883a mov sp,fp @@ -15943,7 +15945,7 @@ return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); cfd8: e13fff17 ldw r4,-4(fp) cfdc: 01400074 movhi r5,1 - cfe0: 29484604 addi r5,r5,8472 + cfe0: 29484804 addi r5,r5,8480 cfe4: 000ed4c0 call ed4c } cfe8: e037883a mov sp,fp @@ -16143,7 +16145,7 @@ { return _alt_tick_rate; d1b8: 00800074 movhi r2,1 - d1bc: 108f4104 addi r2,r2,15620 + d1bc: 108f4304 addi r2,r2,15628 d1c0: 10800017 ldw r2,0(r2) d1c4: 100b883a mov r5,r2 d1c8: 01800074 movhi r6,1 @@ -16469,7 +16471,7 @@ d4b8: e0bffe17 ldw r2,-8(fp) d4bc: 10c00915 stw r3,36(r2) d4c0: 00800074 movhi r2,1 - d4c4: 108f4104 addi r2,r2,15620 + d4c4: 108f4304 addi r2,r2,15628 d4c8: 10800017 ldw r2,0(r2) ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); } @@ -17731,7 +17733,7 @@ e09c: 10bfe004 addi r2,r2,-128 e0a0: 1007883a mov r3,r2 e0a4: 00800074 movhi r2,1 - e0a8: 10883d04 addi r2,r2,8436 + e0a8: 10883f04 addi r2,r2,8444 e0ac: 10800017 ldw r2,0(r2) e0b0: 1885883a add r2,r3,r2 e0b4: 10800003 ldbu r2,0(r2) @@ -17785,7 +17787,7 @@ e140: 10bfe004 addi r2,r2,-128 e144: 1007883a mov r3,r2 e148: 00800074 movhi r2,1 - e14c: 10883d04 addi r2,r2,8436 + e14c: 10883f04 addi r2,r2,8444 e150: 10800017 ldw r2,0(r2) e154: 1885883a add r2,r3,r2 e158: 10800003 ldbu r2,0(r2) @@ -18013,7 +18015,7 @@ e398: e0bff807 ldb r2,-32(fp) e39c: 1007883a mov r3,r2 e3a0: 00800074 movhi r2,1 - e3a4: 10883d04 addi r2,r2,8436 + e3a4: 10883f04 addi r2,r2,8444 e3a8: 10800017 ldw r2,0(r2) e3ac: 1885883a add r2,r3,r2 e3b0: 10800003 ldbu r2,0(r2) @@ -18152,7 +18154,7 @@ e500: e0bff807 ldb r2,-32(fp) e504: 1007883a mov r3,r2 e508: 00800074 movhi r2,1 - e50c: 10883d04 addi r2,r2,8436 + e50c: 10883f04 addi r2,r2,8444 e510: 10800017 ldw r2,0(r2) e514: 1885883a add r2,r3,r2 e518: 10800003 ldbu r2,0(r2) @@ -18636,7 +18638,7 @@ e9c4: e0bfff17 ldw r2,-4(fp) e9c8: 100009c5 stb zero,39(r2) e9cc: 00800074 movhi r2,1 - e9d0: 108f4104 addi r2,r2,15620 + e9d0: 108f4304 addi r2,r2,15628 e9d4: 10800017 ldw r2,0(r2) e9d8: 1009883a mov r4,r2 @@ -18717,7 +18719,7 @@ alt_u32 current_nticks = 0; ea9c: e03ff915 stw zero,-28(fp) eaa0: 00800074 movhi r2,1 - eaa4: 108f4104 addi r2,r2,15620 + eaa4: 108f4304 addi r2,r2,15628 eaa8: 10800017 ldw r2,0(r2) if (alt_ticks_per_second ()) @@ -18764,7 +18766,7 @@ { return _alt_nticks; eaf8: 00800074 movhi r2,1 - eafc: 108f4204 addi r2,r2,15624 + eafc: 108f4404 addi r2,r2,15632 eb00: 10800017 ldw r2,0(r2) current_nticks = alt_nticks(); @@ -18804,7 +18806,7 @@ alt_llist_insert (&alt_alarm_list, &alarm->llist); eb48: e0fffb17 ldw r3,-20(fp) eb4c: 00800074 movhi r2,1 - eb50: 10884d04 addi r2,r2,8500 + eb50: 10884f04 addi r2,r2,8508 eb54: e0bff615 stw r2,-40(fp) eb58: e0fff715 stw r3,-36(fp) */ @@ -19131,18 +19133,18 @@ ee0c: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); ee10: 00800074 movhi r2,1 - ee14: 10884904 addi r2,r2,8484 + ee14: 10884b04 addi r2,r2,8492 ee18: 10800017 ldw r2,0(r2) ee1c: 1005003a cmpeq r2,r2,zero ee20: 1000061e bne r2,zero,ee3c ee24: 00800074 movhi r2,1 - ee28: 10884904 addi r2,r2,8484 + ee28: 10884b04 addi r2,r2,8492 ee2c: 10800017 ldw r2,0(r2) ee30: 103ee83a callr r2 ee34: e0bfff15 stw r2,-4(fp) ee38: 00000306 br ee48 ee3c: 00800074 movhi r2,1 - ee40: 108f3c04 addi r2,r2,15600 + ee40: 108f3e04 addi r2,r2,15608 ee44: e0bfff15 stw r2,-4(fp) ee48: e0bfff17 ldw r2,-4(fp) } @@ -19336,15 +19338,15 @@ efd8: 10c4983a sll r2,r2,r3 efdc: 1007883a mov r3,r2 efe0: 00800074 movhi r2,1 - efe4: 108f4004 addi r2,r2,15616 + efe4: 108f4204 addi r2,r2,15624 efe8: 10800017 ldw r2,0(r2) efec: 1886b03a or r3,r3,r2 eff0: 00800074 movhi r2,1 - eff4: 108f4004 addi r2,r2,15616 + eff4: 108f4204 addi r2,r2,15624 eff8: 10c00015 stw r3,0(r2) NIOS2_WRITE_IENABLE (alt_irq_active); effc: 00800074 movhi r2,1 - f000: 108f4004 addi r2,r2,15616 + f000: 108f4204 addi r2,r2,15624 f004: 10800017 ldw r2,0(r2) f008: 100170fa wrctl ienable,r2 f00c: e0bffd17 ldw r2,-12(fp) @@ -19415,15 +19417,15 @@ f078: 0084303a nor r2,zero,r2 f07c: 1007883a mov r3,r2 f080: 00800074 movhi r2,1 - f084: 108f4004 addi r2,r2,15616 + f084: 108f4204 addi r2,r2,15624 f088: 10800017 ldw r2,0(r2) f08c: 1886703a and r3,r3,r2 f090: 00800074 movhi r2,1 - f094: 108f4004 addi r2,r2,15616 + f094: 108f4204 addi r2,r2,15624 f098: 10c00015 stw r3,0(r2) NIOS2_WRITE_IENABLE (alt_irq_active); f09c: 00800074 movhi r2,1 - f0a0: 108f4004 addi r2,r2,15616 + f0a0: 108f4204 addi r2,r2,15624 f0a4: 10800017 ldw r2,0(r2) f0a8: 100170fa wrctl ienable,r2 f0ac: e0bffd17 ldw r2,-12(fp) @@ -19535,7 +19537,7 @@ alt_irq[id].handler = isr; f174: e0bff917 ldw r2,-28(fp) f178: 00c00074 movhi r3,1 - f17c: 18d09104 addi r3,r3,16964 + f17c: 18d09304 addi r3,r3,16972 f180: 100490fa slli r2,r2,3 f184: 10c7883a add r3,r2,r3 f188: e0bffd17 ldw r2,-12(fp) @@ -19543,7 +19545,7 @@ alt_irq[id].context = isr_context; f190: e0bff917 ldw r2,-28(fp) f194: 00c00074 movhi r3,1 - f198: 18d09104 addi r3,r3,16964 + f198: 18d09304 addi r3,r3,16972 f19c: 100490fa slli r2,r2,3 f1a0: 10c5883a add r2,r2,r3 f1a4: 10c00104 addi r3,r2,4 @@ -19621,7 +19623,7 @@ fd->dev = alt_fd_list[old].dev; f254: e13ffb17 ldw r4,-20(fp) f258: 04000074 movhi r16,1 - f25c: 84037c04 addi r16,r16,3568 + f25c: 84037e04 addi r16,r16,3576 f260: 01400304 movi r5,12 f264: 0002b2c0 call 2b2c <__mulsi3> f268: 1405883a add r2,r2,r16 @@ -19631,7 +19633,7 @@ fd->priv = alt_fd_list[old].priv; f278: e13ffb17 ldw r4,-20(fp) f27c: 04000074 movhi r16,1 - f280: 84037c04 addi r16,r16,3568 + f280: 84037e04 addi r16,r16,3576 f284: 01400304 movi r5,12 f288: 0002b2c0 call 2b2c <__mulsi3> f28c: 1405883a add r2,r2,r16 @@ -19642,7 +19644,7 @@ fd->fd_flags = alt_fd_list[old].fd_flags; f2a0: e13ffb17 ldw r4,-20(fp) f2a4: 04000074 movhi r16,1 - f2a8: 84037c04 addi r16,r16,3568 + f2a8: 84037e04 addi r16,r16,3576 f2ac: 01400304 movi r5,12 f2b0: 0002b2c0 call 2b2c <__mulsi3> f2b4: 1405883a add r2,r2,r16 @@ -19681,21 +19683,21 @@ alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); f304: 01000074 movhi r4,1 - f308: 21037f04 addi r4,r4,3580 + f308: 21038104 addi r4,r4,3588 f30c: e17ffd17 ldw r5,-12(fp) f310: 01800044 movi r6,1 f314: 01c07fc4 movi r7,511 f318: 000f2100 call f210 alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); f31c: 01000074 movhi r4,1 - f320: 21037c04 addi r4,r4,3568 + f320: 21037e04 addi r4,r4,3576 f324: e17ffe17 ldw r5,-8(fp) f328: 000d883a mov r6,zero f32c: 01c07fc4 movi r7,511 f330: 000f2100 call f210 alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); f334: 01000074 movhi r4,1 - f338: 21038204 addi r4,r4,3592 + f338: 21038404 addi r4,r4,3600 f33c: e17fff17 ldw r5,-4(fp) f340: 01800044 movi r6,1 f344: 01c07fc4 movi r7,511 @@ -19743,7 +19745,7 @@ if ((alt_fd_list[i].dev == fd->dev) && f394: e13ffd17 ldw r4,-12(fp) f398: 04000074 movhi r16,1 - f39c: 84037c04 addi r16,r16,3568 + f39c: 84037e04 addi r16,r16,3576 f3a0: 01400304 movi r5,12 f3a4: 0002b2c0 call 2b2c <__mulsi3> f3a8: 1405883a add r2,r2,r16 @@ -19753,7 +19755,7 @@ f3b8: 1880161e bne r3,r2,f414 f3bc: e13ffd17 ldw r4,-12(fp) f3c0: 04000074 movhi r16,1 - f3c4: 84037c04 addi r16,r16,3568 + f3c4: 84037e04 addi r16,r16,3576 f3c8: 01400304 movi r5,12 f3cc: 0002b2c0 call 2b2c <__mulsi3> f3d0: 1405883a add r2,r2,r16 @@ -19766,7 +19768,7 @@ f3ec: 0002b2c0 call 2b2c <__mulsi3> f3f0: 1007883a mov r3,r2 f3f4: 00800074 movhi r2,1 - f3f8: 10837c04 addi r2,r2,3568 + f3f8: 10837e04 addi r2,r2,3576 f3fc: 1887883a add r3,r3,r2 f400: e0bffe17 ldw r2,-8(fp) f404: 18800326 beq r3,r2,f414 @@ -19787,7 +19789,7 @@ f418: 10800044 addi r2,r2,1 f41c: e0bffd15 stw r2,-12(fp) f420: 00800074 movhi r2,1 - f424: 10884804 addi r2,r2,8480 + f424: 10884a04 addi r2,r2,8488 f428: 10800017 ldw r2,0(r2) f42c: 1007883a mov r3,r2 f430: e0bffd17 ldw r2,-12(fp) @@ -19840,7 +19842,7 @@ if (!(dev = alt_find_dev (file, &alt_dev_list))) f488: e13ffb17 ldw r4,-20(fp) f48c: 01400074 movhi r5,1 - f490: 29484604 addi r5,r5,8472 + f490: 29484804 addi r5,r5,8480 f494: 000f8080 call f808 f498: e0bffa15 stw r2,-24(fp) f49c: e0bffa17 ldw r2,-24(fp) @@ -19887,7 +19889,7 @@ f4f4: 0002b2c0 call 2b2c <__mulsi3> f4f8: 1007883a mov r3,r2 f4fc: 00800074 movhi r2,1 - f500: 10837c04 addi r2,r2,3568 + f500: 10837e04 addi r2,r2,3576 f504: 1885883a add r2,r3,r2 f508: e0bff915 stw r2,-28(fp) fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); @@ -19990,18 +19992,18 @@ f5f0: df000104 addi fp,sp,4 return ((alt_errno) ? alt_errno() : &errno); f5f4: 00800074 movhi r2,1 - f5f8: 10884904 addi r2,r2,8484 + f5f8: 10884b04 addi r2,r2,8492 f5fc: 10800017 ldw r2,0(r2) f600: 1005003a cmpeq r2,r2,zero f604: 1000061e bne r2,zero,f620 f608: 00800074 movhi r2,1 - f60c: 10884904 addi r2,r2,8484 + f60c: 10884b04 addi r2,r2,8492 f610: 10800017 ldw r2,0(r2) f614: 103ee83a callr r2 f618: e0bfff15 stw r2,-4(fp) f61c: 00000306 br f62c f620: 00800074 movhi r2,1 - f624: 108f3c04 addi r2,r2,15600 + f624: 108f3e04 addi r2,r2,15608 f628: e0bfff15 stw r2,-4(fp) f62c: e0bfff17 ldw r2,-4(fp) } @@ -20342,7 +20344,7 @@ f8ac: e13ffe15 stw r4,-8(fp) alt_dev* next = (alt_dev*) alt_fs_list.next; f8b0: 00800074 movhi r2,1 - f8b4: 10884404 addi r2,r2,8464 + f8b4: 10884604 addi r2,r2,8472 f8b8: 10800017 ldw r2,0(r2) f8bc: e0bffd15 stw r2,-12(fp) /* @@ -20426,7 +20428,7 @@ while (next != (alt_dev*) &alt_fs_list) f990: 00c00074 movhi r3,1 - f994: 18c84404 addi r3,r3,8464 + f994: 18c84604 addi r3,r3,8472 f998: e0bffd17 ldw r2,-12(fp) f99c: 10ffc91e bne r2,r3,f8c4 next = (alt_dev*) next->llist.next; @@ -20473,7 +20475,7 @@ if (!alt_fd_list[i].dev) f9e4: e13ffe17 ldw r4,-8(fp) f9e8: 04000074 movhi r16,1 - f9ec: 84037c04 addi r16,r16,3568 + f9ec: 84037e04 addi r16,r16,3576 f9f0: 01400304 movi r5,12 f9f4: 0002b2c0 call 2b2c <__mulsi3> f9f8: 1405883a add r2,r2,r16 @@ -20484,7 +20486,7 @@ alt_fd_list[i].dev = dev; fa08: e13ffe17 ldw r4,-8(fp) fa0c: 04000074 movhi r16,1 - fa10: 84037c04 addi r16,r16,3568 + fa10: 84037e04 addi r16,r16,3576 fa14: 01400304 movi r5,12 fa18: 0002b2c0 call 2b2c <__mulsi3> fa1c: 1407883a add r3,r2,r16 @@ -20492,14 +20494,14 @@ fa24: 18800015 stw r2,0(r3) if (i > alt_max_fd) fa28: 00800074 movhi r2,1 - fa2c: 10884804 addi r2,r2,8480 + fa2c: 10884a04 addi r2,r2,8488 fa30: 10c00017 ldw r3,0(r2) fa34: e0bffe17 ldw r2,-8(fp) fa38: 1880040e bge r3,r2,fa4c { alt_max_fd = i; fa3c: 00c00074 movhi r3,1 - fa40: 18c84804 addi r3,r3,8480 + fa40: 18c84a04 addi r3,r3,8488 fa44: e0bffe17 ldw r2,-8(fp) fa48: 18800015 stw r2,0(r3) } @@ -20550,7 +20552,7 @@ fab0: 2021883a mov r16,r4 fab4: 000fc840 call fc84 <__call_exitprocs> fab8: 00800074 movhi r2,1 - fabc: 10883f04 addi r2,r2,8444 + fabc: 10884104 addi r2,r2,8452 fac0: 11000017 ldw r4,0(r2) fac4: 20800f17 ldw r2,60(r4) fac8: 10000126 beq r2,zero,fad0 @@ -20592,7 +20594,7 @@ 0000fb4c <__register_exitproc>: fb4c: defffa04 addi sp,sp,-24 fb50: 00800074 movhi r2,1 - fb54: 10883f04 addi r2,r2,8444 + fb54: 10884104 addi r2,r2,8452 fb58: dc000015 stw r16,0(sp) fb5c: 14000017 ldw r16,0(r2) fb60: dd000415 stw r20,16(sp) @@ -20644,7 +20646,7 @@ fc18: 30804115 stw r2,260(r6) fc1c: 003fdf06 br fb9c <__register_exitproc+0x50> fc20: 00800074 movhi r2,1 - fc24: 1090d104 addi r2,r2,17220 + fc24: 1090d304 addi r2,r2,17228 fc28: 100b883a mov r5,r2 fc2c: 80805215 stw r2,328(r16) fc30: 003fd606 br fb8c <__register_exitproc+0x40> @@ -20673,7 +20675,7 @@ 0000fc84 <__call_exitprocs>: fc84: 00800074 movhi r2,1 - fc88: 10883f04 addi r2,r2,8444 + fc88: 10884104 addi r2,r2,8452 fc8c: 10800017 ldw r2,0(r2) fc90: defff304 addi sp,sp,-52 fc94: df000b15 stw fp,44(sp) @@ -20844,4 +20846,4 @@ ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); fe90: 003fff06 br fe90 <_exit+0x1c> - fe94: 0000fc80 call fc8 + fe94: 0000fc80 call fc8 diff --git a/software/qsys_tutorial_lcd4/sys_debug.c b/software/qsys_tutorial_lcd4/sys_debug.c index de2aaa5..48aa3c2 100644 --- a/software/qsys_tutorial_lcd4/sys_debug.c +++ b/software/qsys_tutorial_lcd4/sys_debug.c @@ -8,6 +8,7 @@ #include "sys_debug.h" #include #include "lcd_out.h" +#include void display_inst(struct InstRec inst, unsigned int pc) { char inst_name[INST_NAME_ARRAY_LEN]; // ���ߖ� @@ -112,5 +113,6 @@ } } - - +void delay10ms(unsigned int s) { + usleep(s*10000); +} diff --git a/software/qsys_tutorial_lcd4/sys_debug.h b/software/qsys_tutorial_lcd4/sys_debug.h index 2a6dbab..751ffa5 100644 --- a/software/qsys_tutorial_lcd4/sys_debug.h +++ b/software/qsys_tutorial_lcd4/sys_debug.h @@ -56,5 +56,6 @@ void display_inst(struct InstRec inst, unsigned int pc); void display_mem(unsigned char memi, char memv); +void delay10ms(unsigned int s); #endif /* SYS_DEBUG_H_ */ diff --git a/software/qsys_tutorial_lcd4/sys_memory.c b/software/qsys_tutorial_lcd4/sys_memory.c index c8faff6..372ea9e 100644 --- a/software/qsys_tutorial_lcd4/sys_memory.c +++ b/software/qsys_tutorial_lcd4/sys_memory.c @@ -7,6 +7,7 @@ #include "system.h" #include "sys_memory.h" #include "sys_register.h" +#include "sys_except.h" /************************************************** * Public diff --git a/software/qsys_tutorial_lcd4/sys_prog.c b/software/qsys_tutorial_lcd4/sys_prog.c index 8875ae1..853e780 100644 --- a/software/qsys_tutorial_lcd4/sys_prog.c +++ b/software/qsys_tutorial_lcd4/sys_prog.c @@ -5,8 +5,13 @@ * Author: takayun */ +#include "sys_prog.h" +#include +#include "sys_debug.h" #include "sys_register.h" #include "sys_memory.h" +#include "hex_out.h" +#include "lcd_out.h" unsigned int inc_pc() { if ((unsigned char)global_registers[Spc]+1 < MEM_SIZE*MEMS_COUNT - global_current_memory*MEM_SIZE ) { @@ -25,3 +30,49 @@ global_registers[Spc]=cnt; return 0; } + + +enum RunMode run_proc(enum RunMode mode) { + volatile struct InstRec inst_rec; + + if (RUN_INIT == mode) { + lcd_caret_reset(); + lcd_print("Run...now"); + + global_registers[Spc] = 0; + clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); + + // �v���O�������s���֑J�� + return RUN_PROC; + } + + if (RUN_PROC == mode) { + // ���߃t�F�b�` + inst_rec = inst_fetch(); + // ���߃f�R�[�h���s + inst_decode(inst_rec); + // pc�\�� + { + char buf[5]; + sprintf(buf, "%02x", (unsigned char)global_registers[Spc]); + print_block("pc", 2, HEX6_7); + print_block(buf, 2, HEX4_5); + } + + // �f�o�b�N�p + if ( global_registers[Ssw_run] ) delay10ms(100U); + + // �v���O�����I������ + if (inst_rec.inst != INST_END) return RUN_PROC; + return RUN_TERM; + } + + if (RUN_TERM == mode) { + lcd_caret_reset(); + lcd_print("Run...Exit"); + return RUN_STOP; + } + + // Default + return RUN_STOP; +} diff --git a/software/qsys_tutorial_lcd4/sys_prog.h b/software/qsys_tutorial_lcd4/sys_prog.h index 3c3b830..25a9b44 100644 --- a/software/qsys_tutorial_lcd4/sys_prog.h +++ b/software/qsys_tutorial_lcd4/sys_prog.h @@ -8,9 +8,26 @@ #ifndef SYS_PROG_H_ #define SYS_PROG_H_ +/************************************************** + * Defines + **************************************************/ + +// �v���O�������s��� +enum RunMode { RUN_STOP, RUN_INIT, RUN_PROC, RUN_TERM }; + +/************************************************** + * Variables + **************************************************/ + +/************************************************** + * Functions + **************************************************/ + // ���S��PC�̃J�E���g�A�b�v���s�� unsigned int inc_pc(); unsigned int add_pc(unsigned int); unsigned int set_pc(unsigned int); +enum RunMode run_proc(enum RunMode mode); + #endif /* SYS_PROG_H_ */