diff --git a/.qsys_edit/filters.xml b/.qsys_edit/filters.xml new file mode 100644 index 0000000..519c8a6 --- /dev/null +++ b/.qsys_edit/filters.xml @@ -0,0 +1,2 @@ + + diff --git a/.qsys_edit/preferences.xml b/.qsys_edit/preferences.xml new file mode 100644 index 0000000..cc21c5e --- /dev/null +++ b/.qsys_edit/preferences.xml @@ -0,0 +1,20 @@ + + + + + + + + + + + + + + + + + + + + diff --git a/app_software/.cproject b/app_software/.cproject new file mode 100644 index 0000000..d07a4c4 --- /dev/null +++ b/app_software/.cproject @@ -0,0 +1,481 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/app_software/.project b/app_software/.project new file mode 100644 index 0000000..b583772 --- /dev/null +++ b/app_software/.project @@ -0,0 +1,90 @@ + + + qsys_turorial_green + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://qsys_turorial_green} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUICustomAppNature + + diff --git a/app_software/lights.c b/app_software/lights.c new file mode 100644 index 0000000..763f1ed --- /dev/null +++ b/app_software/lights.c @@ -0,0 +1,7 @@ +#define switches (volatile char *) 0x0002000 +#define leds (char *) 0x0002010 + +void main() +{ + while(1) *leds = *switches; +} \ No newline at end of file diff --git a/db/a_dpfifo_q131.tdf b/db/a_dpfifo_q131.tdf new file mode 100644 index 0000000..e177be3 --- /dev/null +++ b/db/a_dpfifo_q131.tdf @@ -0,0 +1,79 @@ +--a_dpfifo ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=64 LPM_SHOWAHEAD="OFF" lpm_width=8 lpm_widthu=6 OVERFLOW_CHECKING="OFF" UNDERFLOW_CHECKING="OFF" aclr clock data empty full q rreq sclr usedw wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" lpm_hint="RAM_BLOCK_TYPE=AUTO" RAM_BLOCK_TYPE="AUTO" +--VERSION_BEGIN 13.0 cbx_altdpram 2013:06:12:18:03:43:SJ cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_fifo_common 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_scfifo 2013:06:12:18:03:43:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION a_fefifo_7cf (aclr, clock, rreq, sclr, wreq) +RETURNS ( empty, full, usedw_out[5..0]); +FUNCTION dpram_nl21 (data[7..0], inclock, outclock, outclocken, rdaddress[5..0], wraddress[5..0], wren) +RETURNS ( q[7..0]); +FUNCTION cntr_1ob (aclr, clock, cnt_en, sclr) +RETURNS ( q[5..0]); + +--synthesis_resources = lut 18 M9K 1 reg 20 +SUBDESIGN a_dpfifo_q131 +( + aclr : input; + clock : input; + data[7..0] : input; + empty : output; + full : output; + q[7..0] : output; + rreq : input; + sclr : input; + usedw[5..0] : output; + wreq : input; +) +VARIABLE + fifo_state : a_fefifo_7cf; + FIFOram : dpram_nl21; + rd_ptr_count : cntr_1ob; + wr_ptr : cntr_1ob; + rd_ptr[5..0] : WIRE; + valid_rreq : WIRE; + valid_wreq : WIRE; + +BEGIN + fifo_state.aclr = aclr; + fifo_state.clock = clock; + fifo_state.rreq = rreq; + fifo_state.sclr = sclr; + fifo_state.wreq = wreq; + FIFOram.data[] = data[]; + FIFOram.inclock = clock; + FIFOram.outclock = clock; + FIFOram.outclocken = (valid_rreq # sclr); + FIFOram.rdaddress[] = ((! sclr) & rd_ptr[]); + FIFOram.wraddress[] = wr_ptr.q[]; + FIFOram.wren = valid_wreq; + rd_ptr_count.aclr = aclr; + rd_ptr_count.clock = clock; + rd_ptr_count.cnt_en = valid_rreq; + rd_ptr_count.sclr = sclr; + wr_ptr.aclr = aclr; + wr_ptr.clock = clock; + wr_ptr.cnt_en = valid_wreq; + wr_ptr.sclr = sclr; + empty = fifo_state.empty; + full = fifo_state.full; + q[] = FIFOram.q[]; + rd_ptr[] = rd_ptr_count.q[]; + usedw[] = fifo_state.usedw_out[]; + valid_rreq = rreq; + valid_wreq = wreq; +END; +--VALID FILE diff --git a/db/a_fefifo_7cf.tdf b/db/a_fefifo_7cf.tdf new file mode 100644 index 0000000..3398db0 --- /dev/null +++ b/db/a_fefifo_7cf.tdf @@ -0,0 +1,90 @@ +--a_fefifo ALLOW_RWCYCLE_WHEN_FULL="OFF" LPM_NUMWORDS=64 lpm_widthad=6 OVERFLOW_CHECKING="OFF" UNDERFLOW_CHECKING="OFF" aclr clock empty full rreq sclr usedw_out wreq +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_fifo_common 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cntr_do7 (aclr, clock, cnt_en, sclr, updown) +RETURNS ( q[5..0]); + +--synthesis_resources = lut 6 reg 8 +SUBDESIGN a_fefifo_7cf +( + aclr : input; + clock : input; + empty : output; + full : output; + rreq : input; + sclr : input; + usedw_out[5..0] : output; + wreq : input; +) +VARIABLE + b_full : dffe; + b_non_empty : dffe; + count_usedw : cntr_do7; + equal_af1w[5..0] : WIRE; + equal_one[5..0] : WIRE; + is_almost_empty0 : WIRE; + is_almost_empty1 : WIRE; + is_almost_empty2 : WIRE; + is_almost_empty3 : WIRE; + is_almost_empty4 : WIRE; + is_almost_empty5 : WIRE; + is_almost_full0 : WIRE; + is_almost_full1 : WIRE; + is_almost_full2 : WIRE; + is_almost_full3 : WIRE; + is_almost_full4 : WIRE; + is_almost_full5 : WIRE; + usedw[5..0] : WIRE; + valid_rreq : WIRE; + valid_wreq : WIRE; + +BEGIN + b_full.clk = clock; + b_full.clrn = (! aclr); + b_full.d = ((b_full.q & (b_full.q $ (sclr # rreq))) # (((! b_full.q) & b_non_empty.q) & ((! sclr) & ((is_almost_full5 & wreq) & (! rreq))))); + b_non_empty.clk = clock; + b_non_empty.clrn = (! aclr); + b_non_empty.d = (((b_full.q & (b_full.q $ sclr)) # (((! b_non_empty.q) & wreq) & (! sclr))) # (((! b_full.q) & b_non_empty.q) & (((! b_full.q) & b_non_empty.q) $ (sclr # ((is_almost_empty5 & rreq) & (! wreq)))))); + count_usedw.aclr = aclr; + count_usedw.clock = clock; + count_usedw.cnt_en = (valid_wreq $ valid_rreq); + count_usedw.sclr = sclr; + count_usedw.updown = valid_wreq; + empty = (! b_non_empty.q); + equal_af1w[] = ( B"0", B"0", B"0", B"0", B"0", B"0"); + equal_one[] = ( B"1", B"1", B"1", B"1", B"1", B"0"); + full = b_full.q; + is_almost_empty0 = (usedw[0..0] $ equal_one[0..0]); + is_almost_empty1 = ((usedw[1..1] $ equal_one[1..1]) & is_almost_empty0); + is_almost_empty2 = ((usedw[2..2] $ equal_one[2..2]) & is_almost_empty1); + is_almost_empty3 = ((usedw[3..3] $ equal_one[3..3]) & is_almost_empty2); + is_almost_empty4 = ((usedw[4..4] $ equal_one[4..4]) & is_almost_empty3); + is_almost_empty5 = ((usedw[5..5] $ equal_one[5..5]) & is_almost_empty4); + is_almost_full0 = (usedw[0..0] $ equal_af1w[0..0]); + is_almost_full1 = ((usedw[1..1] $ equal_af1w[1..1]) & is_almost_full0); + is_almost_full2 = ((usedw[2..2] $ equal_af1w[2..2]) & is_almost_full1); + is_almost_full3 = ((usedw[3..3] $ equal_af1w[3..3]) & is_almost_full2); + is_almost_full4 = ((usedw[4..4] $ equal_af1w[4..4]) & is_almost_full3); + is_almost_full5 = ((usedw[5..5] $ equal_af1w[5..5]) & is_almost_full4); + usedw[] = count_usedw.q[]; + usedw_out[] = usedw[]; + valid_rreq = rreq; + valid_wreq = wreq; +END; +--VALID FILE diff --git a/db/altsyncram_0rh1.tdf b/db/altsyncram_0rh1.tdf new file mode 100644 index 0000000..660af43 --- /dev/null +++ b/db/altsyncram_0rh1.tdf @@ -0,0 +1,1042 @@ +--altsyncram ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nios_system_nios2_processor_rf_ram_a.mif" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=0 NUMWORDS_A=32 NUMWORDS_B=32 OPERATION_MODE="DUAL_PORT" OUTDATA_REG_B="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" RDCONTROL_REG_B="CLOCK0" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=32 WIDTH_B=32 WIDTHAD_A=5 WIDTHAD_B=5 address_a address_b clock0 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M9K 1 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_0rh1 +( + address_a[4..0] : input; + address_b[4..0] : input; + clock0 : input; + data_a[31..0] : input; + q_b[31..0] : output; + wren_a : input; +) +VARIABLE + ram_block1a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 0, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 1, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 2, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 3, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 4, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 5, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 6, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 7, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a8 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 8, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a9 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 9, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a10 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 10, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a11 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 11, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a12 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 12, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a13 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 13, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a14 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 14, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a15 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 15, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a16 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 16, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a17 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 17, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a18 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 18, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a19 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 19, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a20 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 20, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a21 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 21, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a22 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 22, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a23 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 23, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a24 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 24, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a25 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 25, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a26 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 26, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a27 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 27, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a28 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 28, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a29 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 29, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a30 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 30, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a31 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_a.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 31, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[4..0] : WIRE; + address_b_wire[4..0] : WIRE; + +BEGIN + ram_block1a[31..0].clk0 = clock0; + ram_block1a[31..0].portaaddr[] = ( address_a_wire[4..0]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[8].portadatain[] = ( data_a[8..8]); + ram_block1a[9].portadatain[] = ( data_a[9..9]); + ram_block1a[10].portadatain[] = ( data_a[10..10]); + ram_block1a[11].portadatain[] = ( data_a[11..11]); + ram_block1a[12].portadatain[] = ( data_a[12..12]); + ram_block1a[13].portadatain[] = ( data_a[13..13]); + ram_block1a[14].portadatain[] = ( data_a[14..14]); + ram_block1a[15].portadatain[] = ( data_a[15..15]); + ram_block1a[16].portadatain[] = ( data_a[16..16]); + ram_block1a[17].portadatain[] = ( data_a[17..17]); + ram_block1a[18].portadatain[] = ( data_a[18..18]); + ram_block1a[19].portadatain[] = ( data_a[19..19]); + ram_block1a[20].portadatain[] = ( data_a[20..20]); + ram_block1a[21].portadatain[] = ( data_a[21..21]); + ram_block1a[22].portadatain[] = ( data_a[22..22]); + ram_block1a[23].portadatain[] = ( data_a[23..23]); + ram_block1a[24].portadatain[] = ( data_a[24..24]); + ram_block1a[25].portadatain[] = ( data_a[25..25]); + ram_block1a[26].portadatain[] = ( data_a[26..26]); + ram_block1a[27].portadatain[] = ( data_a[27..27]); + ram_block1a[28].portadatain[] = ( data_a[28..28]); + ram_block1a[29].portadatain[] = ( data_a[29..29]); + ram_block1a[30].portadatain[] = ( data_a[30..30]); + ram_block1a[31].portadatain[] = ( data_a[31..31]); + ram_block1a[31..0].portawe = wren_a; + ram_block1a[31..0].portbaddr[] = ( address_b_wire[4..0]); + ram_block1a[31..0].portbre = B"11111111111111111111111111111111"; + address_a_wire[] = address_a[]; + address_b_wire[] = address_b[]; + q_b[] = ( ram_block1a[31..0].portbdataout[0..0]); +END; +--VALID FILE diff --git a/db/altsyncram_1rh1.tdf b/db/altsyncram_1rh1.tdf new file mode 100644 index 0000000..987a124 --- /dev/null +++ b/db/altsyncram_1rh1.tdf @@ -0,0 +1,1042 @@ +--altsyncram ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nios_system_nios2_processor_rf_ram_b.mif" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=0 NUMWORDS_A=32 NUMWORDS_B=32 OPERATION_MODE="DUAL_PORT" OUTDATA_REG_B="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" RDCONTROL_REG_B="CLOCK0" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=32 WIDTH_B=32 WIDTHAD_A=5 WIDTHAD_B=5 address_a address_b clock0 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M9K 1 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_1rh1 +( + address_a[4..0] : input; + address_b[4..0] : input; + clock0 : input; + data_a[31..0] : input; + q_b[31..0] : output; + wren_a : input; +) +VARIABLE + ram_block1a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 0, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 1, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 2, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 3, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 4, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 5, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 6, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 7, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a8 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 8, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a9 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 9, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a10 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 10, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a11 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 11, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a12 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 12, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a13 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 13, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a14 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 14, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a15 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 15, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a16 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 16, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a17 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 17, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a18 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 18, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a19 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 19, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a20 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 20, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a21 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 21, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a22 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 22, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a23 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 23, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a24 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 24, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a25 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 25, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a26 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 26, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a27 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 27, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a28 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 28, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a29 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 29, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a30 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 30, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a31 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_rf_ram_b.mif", + INIT_FILE_LAYOUT = "port_b", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 5, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 31, + PORT_A_LOGICAL_RAM_DEPTH = 32, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 5, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 31, + PORT_B_LAST_ADDRESS = 31, + PORT_B_LOGICAL_RAM_DEPTH = 32, + PORT_B_LOGICAL_RAM_WIDTH = 32, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[4..0] : WIRE; + address_b_wire[4..0] : WIRE; + +BEGIN + ram_block1a[31..0].clk0 = clock0; + ram_block1a[31..0].portaaddr[] = ( address_a_wire[4..0]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[8].portadatain[] = ( data_a[8..8]); + ram_block1a[9].portadatain[] = ( data_a[9..9]); + ram_block1a[10].portadatain[] = ( data_a[10..10]); + ram_block1a[11].portadatain[] = ( data_a[11..11]); + ram_block1a[12].portadatain[] = ( data_a[12..12]); + ram_block1a[13].portadatain[] = ( data_a[13..13]); + ram_block1a[14].portadatain[] = ( data_a[14..14]); + ram_block1a[15].portadatain[] = ( data_a[15..15]); + ram_block1a[16].portadatain[] = ( data_a[16..16]); + ram_block1a[17].portadatain[] = ( data_a[17..17]); + ram_block1a[18].portadatain[] = ( data_a[18..18]); + ram_block1a[19].portadatain[] = ( data_a[19..19]); + ram_block1a[20].portadatain[] = ( data_a[20..20]); + ram_block1a[21].portadatain[] = ( data_a[21..21]); + ram_block1a[22].portadatain[] = ( data_a[22..22]); + ram_block1a[23].portadatain[] = ( data_a[23..23]); + ram_block1a[24].portadatain[] = ( data_a[24..24]); + ram_block1a[25].portadatain[] = ( data_a[25..25]); + ram_block1a[26].portadatain[] = ( data_a[26..26]); + ram_block1a[27].portadatain[] = ( data_a[27..27]); + ram_block1a[28].portadatain[] = ( data_a[28..28]); + ram_block1a[29].portadatain[] = ( data_a[29..29]); + ram_block1a[30].portadatain[] = ( data_a[30..30]); + ram_block1a[31].portadatain[] = ( data_a[31..31]); + ram_block1a[31..0].portawe = wren_a; + ram_block1a[31..0].portbaddr[] = ( address_b_wire[4..0]); + ram_block1a[31..0].portbre = B"11111111111111111111111111111111"; + address_a_wire[] = address_a[]; + address_b_wire[] = address_b[]; + q_b[] = ( ram_block1a[31..0].portbdataout[0..0]); +END; +--VALID FILE diff --git a/db/altsyncram_4891.tdf b/db/altsyncram_4891.tdf new file mode 100644 index 0000000..f9cb0e6 --- /dev/null +++ b/db/altsyncram_4891.tdf @@ -0,0 +1,819 @@ +--altsyncram CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nios_system_nios2_processor_ociram_default_contents.mif" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=0 NUMWORDS_A=256 OPERATION_MODE="SINGLE_PORT" OUTDATA_REG_A="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" WIDTH_A=32 WIDTH_BYTEENA_A=4 WIDTHAD_A=8 address_a byteena_a clock0 data_a q_a wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M9K 1 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_4891 +( + address_a[7..0] : input; + byteena_a[3..0] : input; + clock0 : input; + data_a[31..0] : input; + q_a[31..0] : output; + wren_a : input; +) +VARIABLE + ram_block1a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a8 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a9 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a10 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a11 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a12 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a13 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a14 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a15 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a16 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a17 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a18 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a19 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a20 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a21 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a22 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a23 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a24 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a25 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a26 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a27 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a28 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a29 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a30 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a31 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_nios2_processor_ociram_default_contents.mif", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 8, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 255, + PORT_A_LOGICAL_RAM_DEPTH = 256, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[7..0] : WIRE; + +BEGIN + ram_block1a[31..0].clk0 = clock0; + ram_block1a[31..0].portaaddr[] = ( address_a_wire[7..0]); + ram_block1a[7..0].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[15..8].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[23..16].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[31..24].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[8].portadatain[] = ( data_a[8..8]); + ram_block1a[9].portadatain[] = ( data_a[9..9]); + ram_block1a[10].portadatain[] = ( data_a[10..10]); + ram_block1a[11].portadatain[] = ( data_a[11..11]); + ram_block1a[12].portadatain[] = ( data_a[12..12]); + ram_block1a[13].portadatain[] = ( data_a[13..13]); + ram_block1a[14].portadatain[] = ( data_a[14..14]); + ram_block1a[15].portadatain[] = ( data_a[15..15]); + ram_block1a[16].portadatain[] = ( data_a[16..16]); + ram_block1a[17].portadatain[] = ( data_a[17..17]); + ram_block1a[18].portadatain[] = ( data_a[18..18]); + ram_block1a[19].portadatain[] = ( data_a[19..19]); + ram_block1a[20].portadatain[] = ( data_a[20..20]); + ram_block1a[21].portadatain[] = ( data_a[21..21]); + ram_block1a[22].portadatain[] = ( data_a[22..22]); + ram_block1a[23].portadatain[] = ( data_a[23..23]); + ram_block1a[24].portadatain[] = ( data_a[24..24]); + ram_block1a[25].portadatain[] = ( data_a[25..25]); + ram_block1a[26].portadatain[] = ( data_a[26..26]); + ram_block1a[27].portadatain[] = ( data_a[27..27]); + ram_block1a[28].portadatain[] = ( data_a[28..28]); + ram_block1a[29].portadatain[] = ( data_a[29..29]); + ram_block1a[30].portadatain[] = ( data_a[30..30]); + ram_block1a[31].portadatain[] = ( data_a[31..31]); + ram_block1a[31..0].portare = B"11111111111111111111111111111111"; + ram_block1a[31..0].portawe = wren_a; + address_a_wire[] = address_a[]; + q_a[] = ( ram_block1a[31..0].portadataout[0..0]); +END; +--VALID FILE diff --git a/db/altsyncram_4ed1.tdf b/db/altsyncram_4ed1.tdf new file mode 100644 index 0000000..edb6130 --- /dev/null +++ b/db/altsyncram_4ed1.tdf @@ -0,0 +1,5470 @@ +--altsyncram BYTE_SIZE=8 CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nios_system_onchip_memory.hex" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=51200 NUMWORDS_A=51200 OPERATION_MODE="SINGLE_PORT" OUTDATA_REG_A="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=32 WIDTH_BYTEENA_A=4 WIDTHAD_A=16 address_a byteena_a clock0 clocken0 data_a q_a wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION decode_qsa (data[2..0], enable) +RETURNS ( eq[6..0]); +FUNCTION mux_nob (data[223..0], sel[2..0]) +RETURNS ( result[31..0]); +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = lut 168 M9K 200 reg 3 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_4ed1 +( + address_a[15..0] : input; + byteena_a[3..0] : input; + clock0 : input; + clocken0 : input; + data_a[31..0] : input; + q_a[31..0] : output; + wren_a : input; +) +VARIABLE + address_reg_a[2..0] : dffe; + decode3 : decode_qsa; + mux2 : mux_nob; + ram_block1a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a8 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a9 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a10 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a11 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a12 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a13 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a14 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a15 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a16 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a17 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a18 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a19 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a20 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a21 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a22 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a23 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a24 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a25 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a26 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a27 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a28 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a29 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a30 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a31 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a32 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a33 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a34 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a35 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a36 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a37 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a38 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a39 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a40 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a41 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a42 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a43 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a44 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a45 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a46 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a47 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a48 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a49 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a50 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a51 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a52 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a53 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a54 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a55 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a56 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a57 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a58 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a59 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a60 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a61 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a62 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a63 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 8192, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 16383, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a64 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a65 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a66 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a67 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a68 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a69 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a70 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a71 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a72 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a73 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a74 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a75 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a76 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a77 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a78 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a79 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a80 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a81 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a82 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a83 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a84 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a85 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a86 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a87 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a88 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a89 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a90 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a91 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a92 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a93 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a94 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a95 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 16384, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 24575, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a96 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a97 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a98 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a99 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a100 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a101 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a102 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a103 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a104 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a105 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a106 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a107 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a108 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a109 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a110 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a111 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a112 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a113 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a114 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a115 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a116 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a117 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a118 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a119 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a120 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a121 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a122 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a123 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a124 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a125 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a126 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a127 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 24576, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 32767, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a128 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a129 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a130 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a131 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a132 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a133 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a134 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a135 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a136 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a137 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a138 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a139 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a140 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a141 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a142 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a143 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a144 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a145 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a146 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a147 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a148 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a149 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a150 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a151 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a152 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a153 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a154 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a155 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a156 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a157 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a158 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a159 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 32768, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 40959, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a160 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a161 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a162 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a163 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a164 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a165 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a166 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a167 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a168 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a169 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a170 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a171 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a172 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a173 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a174 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a175 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a176 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a177 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a178 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a179 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a180 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a181 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a182 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a183 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a184 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a185 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a186 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a187 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a188 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a189 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a190 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a191 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 40960, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 49151, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a192 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a193 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a194 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a195 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a196 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a197 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a198 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a199 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a200 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a201 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a202 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a203 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a204 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a205 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a206 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a207 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a208 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a209 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a210 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a211 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a212 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a213 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a214 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a215 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a216 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a217 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a218 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a219 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a220 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a221 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a222 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a223 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 11, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 49152, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 51199, + PORT_A_LOGICAL_RAM_DEPTH = 51200, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_sel[2..0] : WIRE; + address_a_wire[15..0] : WIRE; + +BEGIN + address_reg_a[].clk = clock0; + address_reg_a[].d = address_a_sel[]; + address_reg_a[].ena = clocken0; + decode3.data[2..0] = address_a_wire[15..13]; + decode3.enable = wren_a; + mux2.data[] = ( ram_block1a[223..0].portadataout[0..0]); + mux2.sel[] = address_reg_a[].q; + ram_block1a[223..0].clk0 = clock0; + ram_block1a[223..0].ena0 = clocken0; + ram_block1a[191..0].portaaddr[] = ( address_a_wire[12..0]); + ram_block1a[223..192].portaaddr[] = ( address_a_wire[10..0]); + ram_block1a[7..0].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[15..8].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[23..16].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[31..24].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[39..32].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[47..40].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[55..48].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[63..56].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[71..64].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[79..72].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[87..80].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[95..88].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[103..96].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[111..104].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[119..112].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[127..120].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[135..128].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[143..136].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[151..144].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[159..152].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[167..160].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[175..168].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[183..176].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[191..184].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[199..192].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[207..200].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[215..208].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[223..216].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[8].portadatain[] = ( data_a[8..8]); + ram_block1a[9].portadatain[] = ( data_a[9..9]); + ram_block1a[10].portadatain[] = ( data_a[10..10]); + ram_block1a[11].portadatain[] = ( data_a[11..11]); + ram_block1a[12].portadatain[] = ( data_a[12..12]); + ram_block1a[13].portadatain[] = ( data_a[13..13]); + ram_block1a[14].portadatain[] = ( data_a[14..14]); + ram_block1a[15].portadatain[] = ( data_a[15..15]); + ram_block1a[16].portadatain[] = ( data_a[16..16]); + ram_block1a[17].portadatain[] = ( data_a[17..17]); + ram_block1a[18].portadatain[] = ( data_a[18..18]); + ram_block1a[19].portadatain[] = ( data_a[19..19]); + ram_block1a[20].portadatain[] = ( data_a[20..20]); + ram_block1a[21].portadatain[] = ( data_a[21..21]); + ram_block1a[22].portadatain[] = ( data_a[22..22]); + ram_block1a[23].portadatain[] = ( data_a[23..23]); + ram_block1a[24].portadatain[] = ( data_a[24..24]); + ram_block1a[25].portadatain[] = ( data_a[25..25]); + ram_block1a[26].portadatain[] = ( data_a[26..26]); + ram_block1a[27].portadatain[] = ( data_a[27..27]); + ram_block1a[28].portadatain[] = ( data_a[28..28]); + ram_block1a[29].portadatain[] = ( data_a[29..29]); + ram_block1a[30].portadatain[] = ( data_a[30..30]); + ram_block1a[31].portadatain[] = ( data_a[31..31]); + ram_block1a[32].portadatain[] = ( data_a[0..0]); + ram_block1a[33].portadatain[] = ( data_a[1..1]); + ram_block1a[34].portadatain[] = ( data_a[2..2]); + ram_block1a[35].portadatain[] = ( data_a[3..3]); + ram_block1a[36].portadatain[] = ( data_a[4..4]); + ram_block1a[37].portadatain[] = ( data_a[5..5]); + ram_block1a[38].portadatain[] = ( data_a[6..6]); + ram_block1a[39].portadatain[] = ( data_a[7..7]); + ram_block1a[40].portadatain[] = ( data_a[8..8]); + ram_block1a[41].portadatain[] = ( data_a[9..9]); + ram_block1a[42].portadatain[] = ( data_a[10..10]); + ram_block1a[43].portadatain[] = ( data_a[11..11]); + ram_block1a[44].portadatain[] = ( data_a[12..12]); + ram_block1a[45].portadatain[] = ( data_a[13..13]); + ram_block1a[46].portadatain[] = ( data_a[14..14]); + ram_block1a[47].portadatain[] = ( data_a[15..15]); + ram_block1a[48].portadatain[] = ( data_a[16..16]); + ram_block1a[49].portadatain[] = ( data_a[17..17]); + ram_block1a[50].portadatain[] = ( data_a[18..18]); + ram_block1a[51].portadatain[] = ( data_a[19..19]); + ram_block1a[52].portadatain[] = ( data_a[20..20]); + ram_block1a[53].portadatain[] = ( data_a[21..21]); + ram_block1a[54].portadatain[] = ( data_a[22..22]); + ram_block1a[55].portadatain[] = ( data_a[23..23]); + ram_block1a[56].portadatain[] = ( data_a[24..24]); + ram_block1a[57].portadatain[] = ( data_a[25..25]); + ram_block1a[58].portadatain[] = ( data_a[26..26]); + ram_block1a[59].portadatain[] = ( data_a[27..27]); + ram_block1a[60].portadatain[] = ( data_a[28..28]); + ram_block1a[61].portadatain[] = ( data_a[29..29]); + ram_block1a[62].portadatain[] = ( data_a[30..30]); + ram_block1a[63].portadatain[] = ( data_a[31..31]); + ram_block1a[64].portadatain[] = ( data_a[0..0]); + ram_block1a[65].portadatain[] = ( data_a[1..1]); + ram_block1a[66].portadatain[] = ( data_a[2..2]); + ram_block1a[67].portadatain[] = ( data_a[3..3]); + ram_block1a[68].portadatain[] = ( data_a[4..4]); + ram_block1a[69].portadatain[] = ( data_a[5..5]); + ram_block1a[70].portadatain[] = ( data_a[6..6]); + ram_block1a[71].portadatain[] = ( data_a[7..7]); + ram_block1a[72].portadatain[] = ( data_a[8..8]); + ram_block1a[73].portadatain[] = ( data_a[9..9]); + ram_block1a[74].portadatain[] = ( data_a[10..10]); + ram_block1a[75].portadatain[] = ( data_a[11..11]); + ram_block1a[76].portadatain[] = ( data_a[12..12]); + ram_block1a[77].portadatain[] = ( data_a[13..13]); + ram_block1a[78].portadatain[] = ( data_a[14..14]); + ram_block1a[79].portadatain[] = ( data_a[15..15]); + ram_block1a[80].portadatain[] = ( data_a[16..16]); + ram_block1a[81].portadatain[] = ( data_a[17..17]); + ram_block1a[82].portadatain[] = ( data_a[18..18]); + ram_block1a[83].portadatain[] = ( data_a[19..19]); + ram_block1a[84].portadatain[] = ( data_a[20..20]); + ram_block1a[85].portadatain[] = ( data_a[21..21]); + ram_block1a[86].portadatain[] = ( data_a[22..22]); + ram_block1a[87].portadatain[] = ( data_a[23..23]); + ram_block1a[88].portadatain[] = ( data_a[24..24]); + ram_block1a[89].portadatain[] = ( data_a[25..25]); + ram_block1a[90].portadatain[] = ( data_a[26..26]); + ram_block1a[91].portadatain[] = ( data_a[27..27]); + ram_block1a[92].portadatain[] = ( data_a[28..28]); + ram_block1a[93].portadatain[] = ( data_a[29..29]); + ram_block1a[94].portadatain[] = ( data_a[30..30]); + ram_block1a[95].portadatain[] = ( data_a[31..31]); + ram_block1a[96].portadatain[] = ( data_a[0..0]); + ram_block1a[97].portadatain[] = ( data_a[1..1]); + ram_block1a[98].portadatain[] = ( data_a[2..2]); + ram_block1a[99].portadatain[] = ( data_a[3..3]); + ram_block1a[100].portadatain[] = ( data_a[4..4]); + ram_block1a[101].portadatain[] = ( data_a[5..5]); + ram_block1a[102].portadatain[] = ( data_a[6..6]); + ram_block1a[103].portadatain[] = ( data_a[7..7]); + ram_block1a[104].portadatain[] = ( data_a[8..8]); + ram_block1a[105].portadatain[] = ( data_a[9..9]); + ram_block1a[106].portadatain[] = ( data_a[10..10]); + ram_block1a[107].portadatain[] = ( data_a[11..11]); + ram_block1a[108].portadatain[] = ( data_a[12..12]); + ram_block1a[109].portadatain[] = ( data_a[13..13]); + ram_block1a[110].portadatain[] = ( data_a[14..14]); + ram_block1a[111].portadatain[] = ( data_a[15..15]); + ram_block1a[112].portadatain[] = ( data_a[16..16]); + ram_block1a[113].portadatain[] = ( data_a[17..17]); + ram_block1a[114].portadatain[] = ( data_a[18..18]); + ram_block1a[115].portadatain[] = ( data_a[19..19]); + ram_block1a[116].portadatain[] = ( data_a[20..20]); + ram_block1a[117].portadatain[] = ( data_a[21..21]); + ram_block1a[118].portadatain[] = ( data_a[22..22]); + ram_block1a[119].portadatain[] = ( data_a[23..23]); + ram_block1a[120].portadatain[] = ( data_a[24..24]); + ram_block1a[121].portadatain[] = ( data_a[25..25]); + ram_block1a[122].portadatain[] = ( data_a[26..26]); + ram_block1a[123].portadatain[] = ( data_a[27..27]); + ram_block1a[124].portadatain[] = ( data_a[28..28]); + ram_block1a[125].portadatain[] = ( data_a[29..29]); + ram_block1a[126].portadatain[] = ( data_a[30..30]); + ram_block1a[127].portadatain[] = ( data_a[31..31]); + ram_block1a[128].portadatain[] = ( data_a[0..0]); + ram_block1a[129].portadatain[] = ( data_a[1..1]); + ram_block1a[130].portadatain[] = ( data_a[2..2]); + ram_block1a[131].portadatain[] = ( data_a[3..3]); + ram_block1a[132].portadatain[] = ( data_a[4..4]); + ram_block1a[133].portadatain[] = ( data_a[5..5]); + ram_block1a[134].portadatain[] = ( data_a[6..6]); + ram_block1a[135].portadatain[] = ( data_a[7..7]); + ram_block1a[136].portadatain[] = ( data_a[8..8]); + ram_block1a[137].portadatain[] = ( data_a[9..9]); + ram_block1a[138].portadatain[] = ( data_a[10..10]); + ram_block1a[139].portadatain[] = ( data_a[11..11]); + ram_block1a[140].portadatain[] = ( data_a[12..12]); + ram_block1a[141].portadatain[] = ( data_a[13..13]); + ram_block1a[142].portadatain[] = ( data_a[14..14]); + ram_block1a[143].portadatain[] = ( data_a[15..15]); + ram_block1a[144].portadatain[] = ( data_a[16..16]); + ram_block1a[145].portadatain[] = ( data_a[17..17]); + ram_block1a[146].portadatain[] = ( data_a[18..18]); + ram_block1a[147].portadatain[] = ( data_a[19..19]); + ram_block1a[148].portadatain[] = ( data_a[20..20]); + ram_block1a[149].portadatain[] = ( data_a[21..21]); + ram_block1a[150].portadatain[] = ( data_a[22..22]); + ram_block1a[151].portadatain[] = ( data_a[23..23]); + ram_block1a[152].portadatain[] = ( data_a[24..24]); + ram_block1a[153].portadatain[] = ( data_a[25..25]); + ram_block1a[154].portadatain[] = ( data_a[26..26]); + ram_block1a[155].portadatain[] = ( data_a[27..27]); + ram_block1a[156].portadatain[] = ( data_a[28..28]); + ram_block1a[157].portadatain[] = ( data_a[29..29]); + ram_block1a[158].portadatain[] = ( data_a[30..30]); + ram_block1a[159].portadatain[] = ( data_a[31..31]); + ram_block1a[160].portadatain[] = ( data_a[0..0]); + ram_block1a[161].portadatain[] = ( data_a[1..1]); + ram_block1a[162].portadatain[] = ( data_a[2..2]); + ram_block1a[163].portadatain[] = ( data_a[3..3]); + ram_block1a[164].portadatain[] = ( data_a[4..4]); + ram_block1a[165].portadatain[] = ( data_a[5..5]); + ram_block1a[166].portadatain[] = ( data_a[6..6]); + ram_block1a[167].portadatain[] = ( data_a[7..7]); + ram_block1a[168].portadatain[] = ( data_a[8..8]); + ram_block1a[169].portadatain[] = ( data_a[9..9]); + ram_block1a[170].portadatain[] = ( data_a[10..10]); + ram_block1a[171].portadatain[] = ( data_a[11..11]); + ram_block1a[172].portadatain[] = ( data_a[12..12]); + ram_block1a[173].portadatain[] = ( data_a[13..13]); + ram_block1a[174].portadatain[] = ( data_a[14..14]); + ram_block1a[175].portadatain[] = ( data_a[15..15]); + ram_block1a[176].portadatain[] = ( data_a[16..16]); + ram_block1a[177].portadatain[] = ( data_a[17..17]); + ram_block1a[178].portadatain[] = ( data_a[18..18]); + ram_block1a[179].portadatain[] = ( data_a[19..19]); + ram_block1a[180].portadatain[] = ( data_a[20..20]); + ram_block1a[181].portadatain[] = ( data_a[21..21]); + ram_block1a[182].portadatain[] = ( data_a[22..22]); + ram_block1a[183].portadatain[] = ( data_a[23..23]); + ram_block1a[184].portadatain[] = ( data_a[24..24]); + ram_block1a[185].portadatain[] = ( data_a[25..25]); + ram_block1a[186].portadatain[] = ( data_a[26..26]); + ram_block1a[187].portadatain[] = ( data_a[27..27]); + ram_block1a[188].portadatain[] = ( data_a[28..28]); + ram_block1a[189].portadatain[] = ( data_a[29..29]); + ram_block1a[190].portadatain[] = ( data_a[30..30]); + ram_block1a[191].portadatain[] = ( data_a[31..31]); + ram_block1a[192].portadatain[] = ( data_a[0..0]); + ram_block1a[193].portadatain[] = ( data_a[1..1]); + ram_block1a[194].portadatain[] = ( data_a[2..2]); + ram_block1a[195].portadatain[] = ( data_a[3..3]); + ram_block1a[196].portadatain[] = ( data_a[4..4]); + ram_block1a[197].portadatain[] = ( data_a[5..5]); + ram_block1a[198].portadatain[] = ( data_a[6..6]); + ram_block1a[199].portadatain[] = ( data_a[7..7]); + ram_block1a[200].portadatain[] = ( data_a[8..8]); + ram_block1a[201].portadatain[] = ( data_a[9..9]); + ram_block1a[202].portadatain[] = ( data_a[10..10]); + ram_block1a[203].portadatain[] = ( data_a[11..11]); + ram_block1a[204].portadatain[] = ( data_a[12..12]); + ram_block1a[205].portadatain[] = ( data_a[13..13]); + ram_block1a[206].portadatain[] = ( data_a[14..14]); + ram_block1a[207].portadatain[] = ( data_a[15..15]); + ram_block1a[208].portadatain[] = ( data_a[16..16]); + ram_block1a[209].portadatain[] = ( data_a[17..17]); + ram_block1a[210].portadatain[] = ( data_a[18..18]); + ram_block1a[211].portadatain[] = ( data_a[19..19]); + ram_block1a[212].portadatain[] = ( data_a[20..20]); + ram_block1a[213].portadatain[] = ( data_a[21..21]); + ram_block1a[214].portadatain[] = ( data_a[22..22]); + ram_block1a[215].portadatain[] = ( data_a[23..23]); + ram_block1a[216].portadatain[] = ( data_a[24..24]); + ram_block1a[217].portadatain[] = ( data_a[25..25]); + ram_block1a[218].portadatain[] = ( data_a[26..26]); + ram_block1a[219].portadatain[] = ( data_a[27..27]); + ram_block1a[220].portadatain[] = ( data_a[28..28]); + ram_block1a[221].portadatain[] = ( data_a[29..29]); + ram_block1a[222].portadatain[] = ( data_a[30..30]); + ram_block1a[223].portadatain[] = ( data_a[31..31]); + ram_block1a[223..0].portare = B"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111"; + ram_block1a[223..0].portawe = ( decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..6], decode3.eq[6..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..5], decode3.eq[5..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..4], decode3.eq[4..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..3], decode3.eq[3..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..2], decode3.eq[2..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..1], decode3.eq[1..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0], decode3.eq[0..0]); + address_a_sel[2..0] = address_a[15..13]; + address_a_wire[] = address_a[]; + q_a[] = mux2.result[]; +END; +--VALID FILE diff --git a/db/altsyncram_mbd1.tdf b/db/altsyncram_mbd1.tdf new file mode 100644 index 0000000..638b810 --- /dev/null +++ b/db/altsyncram_mbd1.tdf @@ -0,0 +1,821 @@ +--altsyncram BYTE_SIZE=8 CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nios_system_onchip_memory.hex" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=4096 NUMWORDS_A=4096 OPERATION_MODE="SINGLE_PORT" OUTDATA_REG_A="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=32 WIDTH_BYTEENA_A=4 WIDTHAD_A=12 address_a byteena_a clock0 clocken0 data_a q_a wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M9K 16 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_mbd1 +( + address_a[11..0] : input; + byteena_a[3..0] : input; + clock0 : input; + clocken0 : input; + data_a[31..0] : input; + q_a[31..0] : output; + wren_a : input; +) +VARIABLE + ram_block1a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a8 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a9 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a10 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a11 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a12 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a13 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a14 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a15 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a16 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a17 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a18 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a19 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a20 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a21 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a22 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a23 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a24 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a25 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a26 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a27 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a28 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a29 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a30 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a31 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 12, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 4095, + PORT_A_LOGICAL_RAM_DEPTH = 4096, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[11..0] : WIRE; + +BEGIN + ram_block1a[31..0].clk0 = clock0; + ram_block1a[31..0].ena0 = clocken0; + ram_block1a[31..0].portaaddr[] = ( address_a_wire[11..0]); + ram_block1a[7..0].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[15..8].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[23..16].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[31..24].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[8].portadatain[] = ( data_a[8..8]); + ram_block1a[9].portadatain[] = ( data_a[9..9]); + ram_block1a[10].portadatain[] = ( data_a[10..10]); + ram_block1a[11].portadatain[] = ( data_a[11..11]); + ram_block1a[12].portadatain[] = ( data_a[12..12]); + ram_block1a[13].portadatain[] = ( data_a[13..13]); + ram_block1a[14].portadatain[] = ( data_a[14..14]); + ram_block1a[15].portadatain[] = ( data_a[15..15]); + ram_block1a[16].portadatain[] = ( data_a[16..16]); + ram_block1a[17].portadatain[] = ( data_a[17..17]); + ram_block1a[18].portadatain[] = ( data_a[18..18]); + ram_block1a[19].portadatain[] = ( data_a[19..19]); + ram_block1a[20].portadatain[] = ( data_a[20..20]); + ram_block1a[21].portadatain[] = ( data_a[21..21]); + ram_block1a[22].portadatain[] = ( data_a[22..22]); + ram_block1a[23].portadatain[] = ( data_a[23..23]); + ram_block1a[24].portadatain[] = ( data_a[24..24]); + ram_block1a[25].portadatain[] = ( data_a[25..25]); + ram_block1a[26].portadatain[] = ( data_a[26..26]); + ram_block1a[27].portadatain[] = ( data_a[27..27]); + ram_block1a[28].portadatain[] = ( data_a[28..28]); + ram_block1a[29].portadatain[] = ( data_a[29..29]); + ram_block1a[30].portadatain[] = ( data_a[30..30]); + ram_block1a[31].portadatain[] = ( data_a[31..31]); + ram_block1a[31..0].portare = B"11111111111111111111111111111111"; + ram_block1a[31..0].portawe = wren_a; + address_a_wire[] = address_a[]; + q_a[] = ( ram_block1a[31..0].portadataout[0..0]); +END; +--VALID FILE diff --git a/db/altsyncram_r1m1.tdf b/db/altsyncram_r1m1.tdf new file mode 100644 index 0000000..15e2d8b --- /dev/null +++ b/db/altsyncram_r1m1.tdf @@ -0,0 +1,303 @@ +--altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" RDCONTROL_ACLR_B="NONE" RDCONTROL_REG_B="CLOCK0" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=8 WIDTH_B=8 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTHAD_A=6 WIDTHAD_B=6 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M9K 1 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_r1m1 +( + address_a[5..0] : input; + address_b[5..0] : input; + clock0 : input; + clock1 : input; + clocken1 : input; + data_a[7..0] : input; + q_b[7..0] : output; + wren_a : input; +) +VARIABLE + ram_block2a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 0, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 1, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 2, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 3, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 4, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 5, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 6, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block2a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "none", + CLK1_CORE_CLOCK_ENABLE = "ena1", + CLK1_INPUT_CLOCK_ENABLE = "ena1", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "dont_care", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 6, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 63, + PORT_A_LOGICAL_RAM_DEPTH = 64, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock1", + PORT_B_ADDRESS_WIDTH = 6, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 7, + PORT_B_LAST_ADDRESS = 63, + PORT_B_LOGICAL_RAM_DEPTH = 64, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock1", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[5..0] : WIRE; + address_b_wire[5..0] : WIRE; + +BEGIN + ram_block2a[7..0].clk0 = clock0; + ram_block2a[7..0].clk1 = clock1; + ram_block2a[7..0].ena0 = wren_a; + ram_block2a[7..0].ena1 = clocken1; + ram_block2a[7..0].portaaddr[] = ( address_a_wire[5..0]); + ram_block2a[0].portadatain[] = ( data_a[0..0]); + ram_block2a[1].portadatain[] = ( data_a[1..1]); + ram_block2a[2].portadatain[] = ( data_a[2..2]); + ram_block2a[3].portadatain[] = ( data_a[3..3]); + ram_block2a[4].portadatain[] = ( data_a[4..4]); + ram_block2a[5].portadatain[] = ( data_a[5..5]); + ram_block2a[6].portadatain[] = ( data_a[6..6]); + ram_block2a[7].portadatain[] = ( data_a[7..7]); + ram_block2a[7..0].portawe = wren_a; + ram_block2a[7..0].portbaddr[] = ( address_b_wire[5..0]); + ram_block2a[7..0].portbre = B"11111111"; + address_a_wire[] = address_a[]; + address_b_wire[] = address_b[]; + q_b[] = ( ram_block2a[7..0].portbdataout[0..0]); +END; +--VALID FILE diff --git a/db/altsyncram_sad1.tdf b/db/altsyncram_sad1.tdf new file mode 100644 index 0000000..674477b --- /dev/null +++ b/db/altsyncram_sad1.tdf @@ -0,0 +1,821 @@ +--altsyncram BYTE_SIZE=8 CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nios_system_onchip_memory.hex" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=1024 NUMWORDS_A=1024 OPERATION_MODE="SINGLE_PORT" OUTDATA_REG_A="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=32 WIDTH_BYTEENA_A=4 WIDTHAD_A=10 address_a byteena_a clock0 clocken0 data_a q_a wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) +RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M9K 4 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_sad1 +( + address_a[9..0] : input; + byteena_a[3..0] : input; + clock0 : input; + clocken0 : input; + data_a[31..0] : input; + q_a[31..0] : output; + wren_a : input; +) +VARIABLE + ram_block1a0 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a8 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 8, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a9 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 9, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a10 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 10, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a11 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 11, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a12 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 12, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a13 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 13, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a14 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 14, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a15 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 15, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a16 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 16, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a17 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 17, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a18 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 18, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a19 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 19, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a20 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 20, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a21 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 21, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a22 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 22, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a23 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 23, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a24 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 24, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a25 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 25, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a26 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 26, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a27 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 27, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a28 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 28, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a29 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 29, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a30 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 30, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a31 : cycloneive_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "ena0", + CLK0_INPUT_CLOCK_ENABLE = "ena0", + CONNECTIVITY_CHECKING = "OFF", + INIT_FILE = "nios_system_onchip_memory.hex", + INIT_FILE_LAYOUT = "port_a", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + OPERATION_MODE = "single_port", + PORT_A_ADDRESS_WIDTH = 10, + PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, + PORT_A_BYTE_SIZE = 1, + PORT_A_DATA_OUT_CLEAR = "none", + PORT_A_DATA_OUT_CLOCK = "none", + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 31, + PORT_A_LAST_ADDRESS = 1023, + PORT_A_LOGICAL_RAM_DEPTH = 1024, + PORT_A_LOGICAL_RAM_WIDTH = 32, + PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[9..0] : WIRE; + +BEGIN + ram_block1a[31..0].clk0 = clock0; + ram_block1a[31..0].ena0 = clocken0; + ram_block1a[31..0].portaaddr[] = ( address_a_wire[9..0]); + ram_block1a[7..0].portabyteenamasks[] = ( byteena_a[0..0]); + ram_block1a[15..8].portabyteenamasks[] = ( byteena_a[1..1]); + ram_block1a[23..16].portabyteenamasks[] = ( byteena_a[2..2]); + ram_block1a[31..24].portabyteenamasks[] = ( byteena_a[3..3]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[8].portadatain[] = ( data_a[8..8]); + ram_block1a[9].portadatain[] = ( data_a[9..9]); + ram_block1a[10].portadatain[] = ( data_a[10..10]); + ram_block1a[11].portadatain[] = ( data_a[11..11]); + ram_block1a[12].portadatain[] = ( data_a[12..12]); + ram_block1a[13].portadatain[] = ( data_a[13..13]); + ram_block1a[14].portadatain[] = ( data_a[14..14]); + ram_block1a[15].portadatain[] = ( data_a[15..15]); + ram_block1a[16].portadatain[] = ( data_a[16..16]); + ram_block1a[17].portadatain[] = ( data_a[17..17]); + ram_block1a[18].portadatain[] = ( data_a[18..18]); + ram_block1a[19].portadatain[] = ( data_a[19..19]); + ram_block1a[20].portadatain[] = ( data_a[20..20]); + ram_block1a[21].portadatain[] = ( data_a[21..21]); + ram_block1a[22].portadatain[] = ( data_a[22..22]); + ram_block1a[23].portadatain[] = ( data_a[23..23]); + ram_block1a[24].portadatain[] = ( data_a[24..24]); + ram_block1a[25].portadatain[] = ( data_a[25..25]); + ram_block1a[26].portadatain[] = ( data_a[26..26]); + ram_block1a[27].portadatain[] = ( data_a[27..27]); + ram_block1a[28].portadatain[] = ( data_a[28..28]); + ram_block1a[29].portadatain[] = ( data_a[29..29]); + ram_block1a[30].portadatain[] = ( data_a[30..30]); + ram_block1a[31].portadatain[] = ( data_a[31..31]); + ram_block1a[31..0].portare = B"11111111111111111111111111111111"; + ram_block1a[31..0].portawe = wren_a; + address_a_wire[] = address_a[]; + q_a[] = ( ram_block1a[31..0].portadataout[0..0]); +END; +--VALID FILE diff --git a/db/cntr_1ob.tdf b/db/cntr_1ob.tdf new file mode 100644 index 0000000..f20e091 --- /dev/null +++ b/db/cntr_1ob.tdf @@ -0,0 +1,97 @@ +--lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=6 aclr clock cnt_en q sclr +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) +WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) +RETURNS ( combout, cout); + +--synthesis_resources = lut 6 reg 6 +SUBDESIGN cntr_1ob +( + aclr : input; + clock : input; + cnt_en : input; + q[5..0] : output; + sclr : input; +) +VARIABLE + counter_comb_bita0 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita1 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita2 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita3 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita4 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita5 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_reg_bit[5..0] : dffeas; + aclr_actual : WIRE; + clk_en : NODE; + data[5..0] : NODE; + external_cin : WIRE; + s_val[5..0] : WIRE; + safe_q[5..0] : WIRE; + sload : NODE; + sset : NODE; + updown_dir : WIRE; + +BEGIN + counter_comb_bita[5..0].cin = ( counter_comb_bita[4..0].cout, external_cin); + counter_comb_bita[5..0].dataa = ( counter_reg_bit[5..0].q); + counter_comb_bita[5..0].datab = ( updown_dir, updown_dir, updown_dir, updown_dir, updown_dir, updown_dir); + counter_comb_bita[5..0].datad = ( B"1", B"1", B"1", B"1", B"1", B"1"); + counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); + counter_reg_bit[].clk = clock; + counter_reg_bit[].clrn = (! aclr_actual); + counter_reg_bit[].d = ( counter_comb_bita[5..0].combout); + counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); + counter_reg_bit[].sload = ((sclr # sset) # sload); + aclr_actual = aclr; + clk_en = VCC; + data[] = GND; + external_cin = B"1"; + q[] = safe_q[]; + s_val[] = B"111111"; + safe_q[] = counter_reg_bit[].q; + sload = GND; + sset = GND; + updown_dir = B"1"; +END; +--VALID FILE diff --git a/db/cntr_do7.tdf b/db/cntr_do7.tdf new file mode 100644 index 0000000..cf34edf --- /dev/null +++ b/db/cntr_do7.tdf @@ -0,0 +1,98 @@ +--lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_width=6 aclr clock cnt_en q sclr updown +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) +WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) +RETURNS ( combout, cout); + +--synthesis_resources = lut 6 reg 6 +SUBDESIGN cntr_do7 +( + aclr : input; + clock : input; + cnt_en : input; + q[5..0] : output; + sclr : input; + updown : input; +) +VARIABLE + counter_comb_bita0 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita1 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita2 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita3 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita4 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_comb_bita5 : cycloneive_lcell_comb + WITH ( + LUT_MASK = "5A90", + SUM_LUTC_INPUT = "cin" + ); + counter_reg_bit[5..0] : dffeas; + aclr_actual : WIRE; + clk_en : NODE; + data[5..0] : NODE; + external_cin : WIRE; + s_val[5..0] : WIRE; + safe_q[5..0] : WIRE; + sload : NODE; + sset : NODE; + updown_dir : WIRE; + +BEGIN + counter_comb_bita[5..0].cin = ( counter_comb_bita[4..0].cout, external_cin); + counter_comb_bita[5..0].dataa = ( counter_reg_bit[5..0].q); + counter_comb_bita[5..0].datab = ( updown_dir, updown_dir, updown_dir, updown_dir, updown_dir, updown_dir); + counter_comb_bita[5..0].datad = ( B"1", B"1", B"1", B"1", B"1", B"1"); + counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); + counter_reg_bit[].clk = clock; + counter_reg_bit[].clrn = (! aclr_actual); + counter_reg_bit[].d = ( counter_comb_bita[5..0].combout); + counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); + counter_reg_bit[].sload = ((sclr # sset) # sload); + aclr_actual = aclr; + clk_en = VCC; + data[] = GND; + external_cin = B"1"; + q[] = safe_q[]; + s_val[] = B"111111"; + safe_q[] = counter_reg_bit[].q; + sload = GND; + sset = GND; + updown_dir = updown; +END; +--VALID FILE diff --git a/db/decode_qsa.tdf b/db/decode_qsa.tdf new file mode 100644 index 0000000..78baf29 --- /dev/null +++ b/db/decode_qsa.tdf @@ -0,0 +1,57 @@ +--lpm_decode CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_DECODES=7 LPM_WIDTH=3 data enable eq +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + + +--synthesis_resources = lut 8 +SUBDESIGN decode_qsa +( + data[2..0] : input; + enable : input; + eq[6..0] : output; +) +VARIABLE + data_wire[2..0] : WIRE; + enable_wire : WIRE; + eq_node[6..0] : WIRE; + eq_wire[7..0] : WIRE; + w_anode1849w[3..0] : WIRE; + w_anode1866w[3..0] : WIRE; + w_anode1876w[3..0] : WIRE; + w_anode1886w[3..0] : WIRE; + w_anode1896w[3..0] : WIRE; + w_anode1906w[3..0] : WIRE; + w_anode1916w[3..0] : WIRE; + w_anode1926w[3..0] : WIRE; + +BEGIN + data_wire[] = data[]; + enable_wire = enable; + eq[] = eq_node[]; + eq_node[6..0] = eq_wire[6..0]; + eq_wire[] = ( w_anode1926w[3..3], w_anode1916w[3..3], w_anode1906w[3..3], w_anode1896w[3..3], w_anode1886w[3..3], w_anode1876w[3..3], w_anode1866w[3..3], w_anode1849w[3..3]); + w_anode1849w[] = ( (w_anode1849w[2..2] & (! data_wire[2..2])), (w_anode1849w[1..1] & (! data_wire[1..1])), (w_anode1849w[0..0] & (! data_wire[0..0])), enable_wire); + w_anode1866w[] = ( (w_anode1866w[2..2] & (! data_wire[2..2])), (w_anode1866w[1..1] & (! data_wire[1..1])), (w_anode1866w[0..0] & data_wire[0..0]), enable_wire); + w_anode1876w[] = ( (w_anode1876w[2..2] & (! data_wire[2..2])), (w_anode1876w[1..1] & data_wire[1..1]), (w_anode1876w[0..0] & (! data_wire[0..0])), enable_wire); + w_anode1886w[] = ( (w_anode1886w[2..2] & (! data_wire[2..2])), (w_anode1886w[1..1] & data_wire[1..1]), (w_anode1886w[0..0] & data_wire[0..0]), enable_wire); + w_anode1896w[] = ( (w_anode1896w[2..2] & data_wire[2..2]), (w_anode1896w[1..1] & (! data_wire[1..1])), (w_anode1896w[0..0] & (! data_wire[0..0])), enable_wire); + w_anode1906w[] = ( (w_anode1906w[2..2] & data_wire[2..2]), (w_anode1906w[1..1] & (! data_wire[1..1])), (w_anode1906w[0..0] & data_wire[0..0]), enable_wire); + w_anode1916w[] = ( (w_anode1916w[2..2] & data_wire[2..2]), (w_anode1916w[1..1] & data_wire[1..1]), (w_anode1916w[0..0] & (! data_wire[0..0])), enable_wire); + w_anode1926w[] = ( (w_anode1926w[2..2] & data_wire[2..2]), (w_anode1926w[1..1] & data_wire[1..1]), (w_anode1926w[0..0] & data_wire[0..0]), enable_wire); +END; +--VALID FILE diff --git a/db/dpram_nl21.tdf b/db/dpram_nl21.tdf new file mode 100644 index 0000000..ed4cc69 --- /dev/null +++ b/db/dpram_nl21.tdf @@ -0,0 +1,48 @@ +--altdpram DEVICE_FAMILY="Cyclone IV E" lpm_hint="RAM_BLOCK_TYPE=AUTO" RAM_BLOCK_TYPE="AUTO" RDCONTROL_ACLR="OFF" RDCONTROL_REG="UNREGISTERED" SUPPRESS_MEMORY_CONVERSION_WARNINGS="ON" USE_EAB="ON" WIDTH=8 WIDTHAD=6 data inclock outclock outclocken q rdaddress wraddress wren CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" +--VERSION_BEGIN 13.0 cbx_altdpram 2013:06:12:18:03:43:SJ cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION altsyncram_r1m1 (address_a[5..0], address_b[5..0], clock0, clock1, clocken1, data_a[7..0], wren_a) +RETURNS ( q_b[7..0]); + +--synthesis_resources = M9K 1 +SUBDESIGN dpram_nl21 +( + data[7..0] : input; + inclock : input; + outclock : input; + outclocken : input; + q[7..0] : output; + rdaddress[5..0] : input; + wraddress[5..0] : input; + wren : input; +) +VARIABLE + altsyncram1 : altsyncram_r1m1; + +BEGIN + altsyncram1.address_a[] = wraddress[]; + altsyncram1.address_b[] = rdaddress[]; + altsyncram1.clock0 = inclock; + altsyncram1.clock1 = outclock; + altsyncram1.clocken1 = outclocken; + altsyncram1.data_a[] = data[]; + altsyncram1.wren_a = wren; + q[] = altsyncram1.q_b[]; +END; +--VALID FILE diff --git a/db/ip/nios_system/nios_system.bsf b/db/ip/nios_system/nios_system.bsf new file mode 100644 index 0000000..dac37b1 --- /dev/null +++ b/db/ip/nios_system/nios_system.bsf @@ -0,0 +1,248 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 0 0 384 792) + (text "nios_system" (rect 155 -1 206 11)(font "Arial" (font_size 10))) + (text "inst" (rect 8 776 20 788)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk_clk" (rect 0 0 27 12)(font "Arial" (font_size 8))) + (text "clk_clk" (rect 4 61 46 72)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 160 72)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "reset_reset_n" (rect 0 0 56 12)(font "Arial" (font_size 8))) + (text "reset_reset_n" (rect 4 141 82 152)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 160 152)(line_width 1)) + ) + (port + (pt 0 232) + (input) + (text "switches_export[17..0]" (rect 0 0 87 12)(font "Arial" (font_size 8))) + (text "switches_export[17..0]" (rect 4 221 136 232)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 160 232)(line_width 3)) + ) + (port + (pt 0 272) + (input) + (text "push_switches_export[2..0]" (rect 0 0 108 12)(font "Arial" (font_size 8))) + (text "push_switches_export[2..0]" (rect 4 261 160 272)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 160 272)(line_width 3)) + ) + (port + (pt 0 112) + (output) + (text "leds_export[7..0]" (rect 0 0 66 12)(font "Arial" (font_size 8))) + (text "leds_export[7..0]" (rect 4 101 106 112)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 160 112)(line_width 3)) + ) + (port + (pt 0 192) + (output) + (text "ledrs_export[17..0]" (rect 0 0 73 12)(font "Arial" (font_size 8))) + (text "ledrs_export[17..0]" (rect 4 181 118 192)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 160 192)(line_width 3)) + ) + (port + (pt 0 312) + (output) + (text "hex0_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex0_export[6..0]" (rect 4 301 106 312)(font "Arial" (font_size 8))) + (line (pt 0 312)(pt 160 312)(line_width 3)) + ) + (port + (pt 0 352) + (output) + (text "hex1_export[6..0]" (rect 0 0 68 12)(font "Arial" (font_size 8))) + (text "hex1_export[6..0]" (rect 4 341 106 352)(font "Arial" (font_size 8))) + (line (pt 0 352)(pt 160 352)(line_width 3)) + ) + (port + (pt 0 392) + (output) + (text "hex2_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex2_export[6..0]" (rect 4 381 106 392)(font "Arial" (font_size 8))) + (line (pt 0 392)(pt 160 392)(line_width 3)) + ) + (port + (pt 0 432) + (output) + (text "hex3_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex3_export[6..0]" (rect 4 421 106 432)(font "Arial" (font_size 8))) + (line (pt 0 432)(pt 160 432)(line_width 3)) + ) + (port + (pt 0 472) + (output) + (text "hex4_export[6..0]" (rect 0 0 70 12)(font "Arial" (font_size 8))) + (text "hex4_export[6..0]" (rect 4 461 106 472)(font "Arial" (font_size 8))) + (line (pt 0 472)(pt 160 472)(line_width 3)) + ) + (port + (pt 0 512) + (output) + (text "hex5_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex5_export[6..0]" (rect 4 501 106 512)(font "Arial" (font_size 8))) + (line (pt 0 512)(pt 160 512)(line_width 3)) + ) + (port + (pt 0 552) + (output) + (text "hex6_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex6_export[6..0]" (rect 4 541 106 552)(font "Arial" (font_size 8))) + (line (pt 0 552)(pt 160 552)(line_width 3)) + ) + (port + (pt 0 592) + (output) + (text "hex7_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex7_export[6..0]" (rect 4 581 106 592)(font "Arial" (font_size 8))) + (line (pt 0 592)(pt 160 592)(line_width 3)) + ) + (port + (pt 0 632) + (output) + (text "lcd_16207_0_RS" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_RS" (rect 4 621 88 632)(font "Arial" (font_size 8))) + (line (pt 0 632)(pt 160 632)(line_width 1)) + ) + (port + (pt 0 648) + (output) + (text "lcd_16207_0_RW" (rect 0 0 74 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_RW" (rect 4 637 88 648)(font "Arial" (font_size 8))) + (line (pt 0 648)(pt 160 648)(line_width 1)) + ) + (port + (pt 0 680) + (output) + (text "lcd_16207_0_E" (rect 0 0 62 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_E" (rect 4 669 82 680)(font "Arial" (font_size 8))) + (line (pt 0 680)(pt 160 680)(line_width 1)) + ) + (port + (pt 0 720) + (output) + (text "lcd_on_export" (rect 0 0 56 12)(font "Arial" (font_size 8))) + (text "lcd_on_export" (rect 4 709 82 720)(font "Arial" (font_size 8))) + (line (pt 0 720)(pt 160 720)(line_width 1)) + ) + (port + (pt 0 760) + (output) + (text "lcd_blon_export" (rect 0 0 62 12)(font "Arial" (font_size 8))) + (text "lcd_blon_export" (rect 4 749 94 760)(font "Arial" (font_size 8))) + (line (pt 0 760)(pt 160 760)(line_width 1)) + ) + (port + (pt 0 664) + (bidir) + (text "lcd_16207_0_data[7..0]" (rect 0 0 92 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_data[7..0]" (rect 4 653 136 664)(font "Arial" (font_size 8))) + (line (pt 0 664)(pt 160 664)(line_width 3)) + ) + (drawing + (text "clk" (rect 145 43 308 99)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 165 67 348 144)(font "Arial" (color 0 0 0))) + (text "leds" (rect 137 83 298 179)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 107 366 224)(font "Arial" (color 0 0 0))) + (text "reset" (rect 131 123 292 259)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_n" (rect 165 147 372 304)(font "Arial" (color 0 0 0))) + (text "ledrs" (rect 132 163 294 339)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 187 366 384)(font "Arial" (color 0 0 0))) + (text "switches" (rect 110 203 268 419)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 227 366 464)(font "Arial" (color 0 0 0))) + (text "push_switches" (rect 74 243 226 499)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 267 366 544)(font "Arial" (color 0 0 0))) + (text "hex0" (rect 134 283 292 579)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 307 366 624)(font "Arial" (color 0 0 0))) + (text "hex1" (rect 136 323 296 659)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 347 366 704)(font "Arial" (color 0 0 0))) + (text "hex2" (rect 134 363 292 739)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 387 366 784)(font "Arial" (color 0 0 0))) + (text "hex3" (rect 134 403 292 819)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 427 366 864)(font "Arial" (color 0 0 0))) + (text "hex4" (rect 134 443 292 899)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 467 366 944)(font "Arial" (color 0 0 0))) + (text "hex5" (rect 134 483 292 979)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 507 366 1024)(font "Arial" (color 0 0 0))) + (text "hex6" (rect 134 523 292 1059)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 547 366 1104)(font "Arial" (color 0 0 0))) + (text "hex7" (rect 134 563 292 1139)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 587 366 1184)(font "Arial" (color 0 0 0))) + (text "lcd_16207_0" (rect 89 603 244 1219)(font "Arial" (color 128 0 0)(font_size 9))) + (text "RS" (rect 165 627 342 1264)(font "Arial" (color 0 0 0))) + (text "RW" (rect 165 643 342 1296)(font "Arial" (color 0 0 0))) + (text "data" (rect 165 659 354 1328)(font "Arial" (color 0 0 0))) + (text "E" (rect 165 675 336 1360)(font "Arial" (color 0 0 0))) + (text "lcd_on" (rect 123 691 282 1395)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 715 366 1440)(font "Arial" (color 0 0 0))) + (text "lcd_blon" (rect 113 731 274 1475)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 755 366 1520)(font "Arial" (color 0 0 0))) + (text " nios_system " (rect 326 776 730 1562)(font "Arial" )) + (line (pt 161 52)(pt 161 76)(line_width 1)) + (line (pt 162 52)(pt 162 76)(line_width 1)) + (line (pt 161 92)(pt 161 116)(line_width 1)) + (line (pt 162 92)(pt 162 116)(line_width 1)) + (line (pt 161 132)(pt 161 156)(line_width 1)) + (line (pt 162 132)(pt 162 156)(line_width 1)) + (line (pt 161 172)(pt 161 196)(line_width 1)) + (line (pt 162 172)(pt 162 196)(line_width 1)) + (line (pt 161 212)(pt 161 236)(line_width 1)) + (line (pt 162 212)(pt 162 236)(line_width 1)) + (line (pt 161 252)(pt 161 276)(line_width 1)) + (line (pt 162 252)(pt 162 276)(line_width 1)) + (line (pt 161 292)(pt 161 316)(line_width 1)) + (line (pt 162 292)(pt 162 316)(line_width 1)) + (line (pt 161 332)(pt 161 356)(line_width 1)) + (line (pt 162 332)(pt 162 356)(line_width 1)) + (line (pt 161 372)(pt 161 396)(line_width 1)) + (line (pt 162 372)(pt 162 396)(line_width 1)) + (line (pt 161 412)(pt 161 436)(line_width 1)) + (line (pt 162 412)(pt 162 436)(line_width 1)) + (line (pt 161 452)(pt 161 476)(line_width 1)) + (line (pt 162 452)(pt 162 476)(line_width 1)) + (line (pt 161 492)(pt 161 516)(line_width 1)) + (line (pt 162 492)(pt 162 516)(line_width 1)) + (line (pt 161 532)(pt 161 556)(line_width 1)) + (line (pt 162 532)(pt 162 556)(line_width 1)) + (line (pt 161 572)(pt 161 596)(line_width 1)) + (line (pt 162 572)(pt 162 596)(line_width 1)) + (line (pt 161 612)(pt 161 684)(line_width 1)) + (line (pt 162 612)(pt 162 684)(line_width 1)) + (line (pt 161 700)(pt 161 724)(line_width 1)) + (line (pt 162 700)(pt 162 724)(line_width 1)) + (line (pt 161 740)(pt 161 764)(line_width 1)) + (line (pt 162 740)(pt 162 764)(line_width 1)) + (line (pt 160 32)(pt 224 32)(line_width 1)) + (line (pt 224 32)(pt 224 776)(line_width 1)) + (line (pt 160 776)(pt 224 776)(line_width 1)) + (line (pt 160 32)(pt 160 776)(line_width 1)) + (line (pt 0 0)(pt 384 0)(line_width 1)) + (line (pt 384 0)(pt 384 792)(line_width 1)) + (line (pt 0 792)(pt 384 792)(line_width 1)) + (line (pt 0 0)(pt 0 792)(line_width 1)) + ) +) diff --git a/db/ip/nios_system/nios_system.v b/db/ip/nios_system/nios_system.v new file mode 100644 index 0000000..06baee3 --- /dev/null +++ b/db/ip/nios_system/nios_system.v @@ -0,0 +1,5964 @@ +// nios_system.v + +// Generated using ACDS version 13.0sp1 232 at 2017.01.26.11:05:39 + +`timescale 1 ps / 1 ps +module nios_system ( + input wire clk_clk, // clk.clk + output wire [7:0] leds_export, // leds.export + input wire reset_reset_n, // reset.reset_n + output wire [17:0] ledrs_export, // ledrs.export + input wire [17:0] switches_export, // switches.export + input wire [2:0] push_switches_export, // push_switches.export + output wire [6:0] hex0_export, // hex0.export + output wire [6:0] hex1_export, // hex1.export + output wire [6:0] hex2_export, // hex2.export + output wire [6:0] hex3_export, // hex3.export + output wire [6:0] hex4_export, // hex4.export + output wire [6:0] hex5_export, // hex5.export + output wire [6:0] hex6_export, // hex6.export + output wire [6:0] hex7_export, // hex7.export + output wire lcd_16207_0_RS, // lcd_16207_0.RS + output wire lcd_16207_0_RW, // .RW + inout wire [7:0] lcd_16207_0_data, // .data + output wire lcd_16207_0_E, // .E + output wire lcd_on_export, // lcd_on.export + output wire lcd_blon_export // lcd_blon.export + ); + + wire nios2_processor_instruction_master_waitrequest; // nios2_processor_instruction_master_translator:av_waitrequest -> nios2_processor:i_waitrequest + wire [18:0] nios2_processor_instruction_master_address; // nios2_processor:i_address -> nios2_processor_instruction_master_translator:av_address + wire nios2_processor_instruction_master_read; // nios2_processor:i_read -> nios2_processor_instruction_master_translator:av_read + wire [31:0] nios2_processor_instruction_master_readdata; // nios2_processor_instruction_master_translator:av_readdata -> nios2_processor:i_readdata + wire nios2_processor_data_master_waitrequest; // nios2_processor_data_master_translator:av_waitrequest -> nios2_processor:d_waitrequest + wire [31:0] nios2_processor_data_master_writedata; // nios2_processor:d_writedata -> nios2_processor_data_master_translator:av_writedata + wire [18:0] nios2_processor_data_master_address; // nios2_processor:d_address -> nios2_processor_data_master_translator:av_address + wire nios2_processor_data_master_write; // nios2_processor:d_write -> nios2_processor_data_master_translator:av_write + wire nios2_processor_data_master_read; // nios2_processor:d_read -> nios2_processor_data_master_translator:av_read + wire [31:0] nios2_processor_data_master_readdata; // nios2_processor_data_master_translator:av_readdata -> nios2_processor:d_readdata + wire nios2_processor_data_master_debugaccess; // nios2_processor:jtag_debug_module_debugaccess_to_roms -> nios2_processor_data_master_translator:av_debugaccess + wire [3:0] nios2_processor_data_master_byteenable; // nios2_processor:d_byteenable -> nios2_processor_data_master_translator:av_byteenable + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest; // nios2_processor:jtag_debug_module_waitrequest -> nios2_processor_jtag_debug_module_translator:av_waitrequest + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata; // nios2_processor_jtag_debug_module_translator:av_writedata -> nios2_processor:jtag_debug_module_writedata + wire [8:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address; // nios2_processor_jtag_debug_module_translator:av_address -> nios2_processor:jtag_debug_module_address + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write; // nios2_processor_jtag_debug_module_translator:av_write -> nios2_processor:jtag_debug_module_write + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read; // nios2_processor_jtag_debug_module_translator:av_read -> nios2_processor:jtag_debug_module_read + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata; // nios2_processor:jtag_debug_module_readdata -> nios2_processor_jtag_debug_module_translator:av_readdata + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess; // nios2_processor_jtag_debug_module_translator:av_debugaccess -> nios2_processor:jtag_debug_module_debugaccess + wire [3:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable; // nios2_processor_jtag_debug_module_translator:av_byteenable -> nios2_processor:jtag_debug_module_byteenable + wire [31:0] onchip_memory_s1_translator_avalon_anti_slave_0_writedata; // onchip_memory_s1_translator:av_writedata -> onchip_memory:writedata + wire [15:0] onchip_memory_s1_translator_avalon_anti_slave_0_address; // onchip_memory_s1_translator:av_address -> onchip_memory:address + wire onchip_memory_s1_translator_avalon_anti_slave_0_chipselect; // onchip_memory_s1_translator:av_chipselect -> onchip_memory:chipselect + wire onchip_memory_s1_translator_avalon_anti_slave_0_clken; // onchip_memory_s1_translator:av_clken -> onchip_memory:clken + wire onchip_memory_s1_translator_avalon_anti_slave_0_write; // onchip_memory_s1_translator:av_write -> onchip_memory:write + wire [31:0] onchip_memory_s1_translator_avalon_anti_slave_0_readdata; // onchip_memory:readdata -> onchip_memory_s1_translator:av_readdata + wire [3:0] onchip_memory_s1_translator_avalon_anti_slave_0_byteenable; // onchip_memory_s1_translator:av_byteenable -> onchip_memory:byteenable + wire [31:0] leds_s1_translator_avalon_anti_slave_0_writedata; // LEDs_s1_translator:av_writedata -> LEDs:writedata + wire [1:0] leds_s1_translator_avalon_anti_slave_0_address; // LEDs_s1_translator:av_address -> LEDs:address + wire leds_s1_translator_avalon_anti_slave_0_chipselect; // LEDs_s1_translator:av_chipselect -> LEDs:chipselect + wire leds_s1_translator_avalon_anti_slave_0_write; // LEDs_s1_translator:av_write -> LEDs:write_n + wire [31:0] leds_s1_translator_avalon_anti_slave_0_readdata; // LEDs:readdata -> LEDs_s1_translator:av_readdata + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest; // jtag_uart:av_waitrequest -> jtag_uart_avalon_jtag_slave_translator:av_waitrequest + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata; // jtag_uart_avalon_jtag_slave_translator:av_writedata -> jtag_uart:av_writedata + wire [0:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address; // jtag_uart_avalon_jtag_slave_translator:av_address -> jtag_uart:av_address + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect; // jtag_uart_avalon_jtag_slave_translator:av_chipselect -> jtag_uart:av_chipselect + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write; // jtag_uart_avalon_jtag_slave_translator:av_write -> jtag_uart:av_write_n + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read; // jtag_uart_avalon_jtag_slave_translator:av_read -> jtag_uart:av_read_n + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata; // jtag_uart:av_readdata -> jtag_uart_avalon_jtag_slave_translator:av_readdata + wire [31:0] ledrs_s1_translator_avalon_anti_slave_0_writedata; // LEDRs_s1_translator:av_writedata -> LEDRs:writedata + wire [1:0] ledrs_s1_translator_avalon_anti_slave_0_address; // LEDRs_s1_translator:av_address -> LEDRs:address + wire ledrs_s1_translator_avalon_anti_slave_0_chipselect; // LEDRs_s1_translator:av_chipselect -> LEDRs:chipselect + wire ledrs_s1_translator_avalon_anti_slave_0_write; // LEDRs_s1_translator:av_write -> LEDRs:write_n + wire [31:0] ledrs_s1_translator_avalon_anti_slave_0_readdata; // LEDRs:readdata -> LEDRs_s1_translator:av_readdata + wire [1:0] switches_s1_translator_avalon_anti_slave_0_address; // switches_s1_translator:av_address -> switches:address + wire [31:0] switches_s1_translator_avalon_anti_slave_0_readdata; // switches:readdata -> switches_s1_translator:av_readdata + wire [1:0] push_switches_s1_translator_avalon_anti_slave_0_address; // push_switches_s1_translator:av_address -> push_switches:address + wire [31:0] push_switches_s1_translator_avalon_anti_slave_0_readdata; // push_switches:readdata -> push_switches_s1_translator:av_readdata + wire [31:0] hex0_s1_translator_avalon_anti_slave_0_writedata; // hex0_s1_translator:av_writedata -> hex0:writedata + wire [1:0] hex0_s1_translator_avalon_anti_slave_0_address; // hex0_s1_translator:av_address -> hex0:address + wire hex0_s1_translator_avalon_anti_slave_0_chipselect; // hex0_s1_translator:av_chipselect -> hex0:chipselect + wire hex0_s1_translator_avalon_anti_slave_0_write; // hex0_s1_translator:av_write -> hex0:write_n + wire [31:0] hex0_s1_translator_avalon_anti_slave_0_readdata; // hex0:readdata -> hex0_s1_translator:av_readdata + wire [31:0] hex1_s1_translator_avalon_anti_slave_0_writedata; // hex1_s1_translator:av_writedata -> hex1:writedata + wire [1:0] hex1_s1_translator_avalon_anti_slave_0_address; // hex1_s1_translator:av_address -> hex1:address + wire hex1_s1_translator_avalon_anti_slave_0_chipselect; // hex1_s1_translator:av_chipselect -> hex1:chipselect + wire hex1_s1_translator_avalon_anti_slave_0_write; // hex1_s1_translator:av_write -> hex1:write_n + wire [31:0] hex1_s1_translator_avalon_anti_slave_0_readdata; // hex1:readdata -> hex1_s1_translator:av_readdata + wire [31:0] hex2_s1_translator_avalon_anti_slave_0_writedata; // hex2_s1_translator:av_writedata -> hex2:writedata + wire [1:0] hex2_s1_translator_avalon_anti_slave_0_address; // hex2_s1_translator:av_address -> hex2:address + wire hex2_s1_translator_avalon_anti_slave_0_chipselect; // hex2_s1_translator:av_chipselect -> hex2:chipselect + wire hex2_s1_translator_avalon_anti_slave_0_write; // hex2_s1_translator:av_write -> hex2:write_n + wire [31:0] hex2_s1_translator_avalon_anti_slave_0_readdata; // hex2:readdata -> hex2_s1_translator:av_readdata + wire [31:0] hex3_s1_translator_avalon_anti_slave_0_writedata; // hex3_s1_translator:av_writedata -> hex3:writedata + wire [1:0] hex3_s1_translator_avalon_anti_slave_0_address; // hex3_s1_translator:av_address -> hex3:address + wire hex3_s1_translator_avalon_anti_slave_0_chipselect; // hex3_s1_translator:av_chipselect -> hex3:chipselect + wire hex3_s1_translator_avalon_anti_slave_0_write; // hex3_s1_translator:av_write -> hex3:write_n + wire [31:0] hex3_s1_translator_avalon_anti_slave_0_readdata; // hex3:readdata -> hex3_s1_translator:av_readdata + wire [31:0] hex4_s1_translator_avalon_anti_slave_0_writedata; // hex4_s1_translator:av_writedata -> hex4:writedata + wire [1:0] hex4_s1_translator_avalon_anti_slave_0_address; // hex4_s1_translator:av_address -> hex4:address + wire hex4_s1_translator_avalon_anti_slave_0_chipselect; // hex4_s1_translator:av_chipselect -> hex4:chipselect + wire hex4_s1_translator_avalon_anti_slave_0_write; // hex4_s1_translator:av_write -> hex4:write_n + wire [31:0] hex4_s1_translator_avalon_anti_slave_0_readdata; // hex4:readdata -> hex4_s1_translator:av_readdata + wire [31:0] hex5_s1_translator_avalon_anti_slave_0_writedata; // hex5_s1_translator:av_writedata -> hex5:writedata + wire [1:0] hex5_s1_translator_avalon_anti_slave_0_address; // hex5_s1_translator:av_address -> hex5:address + wire hex5_s1_translator_avalon_anti_slave_0_chipselect; // hex5_s1_translator:av_chipselect -> hex5:chipselect + wire hex5_s1_translator_avalon_anti_slave_0_write; // hex5_s1_translator:av_write -> hex5:write_n + wire [31:0] hex5_s1_translator_avalon_anti_slave_0_readdata; // hex5:readdata -> hex5_s1_translator:av_readdata + wire [31:0] hex6_s1_translator_avalon_anti_slave_0_writedata; // hex6_s1_translator:av_writedata -> hex6:writedata + wire [1:0] hex6_s1_translator_avalon_anti_slave_0_address; // hex6_s1_translator:av_address -> hex6:address + wire hex6_s1_translator_avalon_anti_slave_0_chipselect; // hex6_s1_translator:av_chipselect -> hex6:chipselect + wire hex6_s1_translator_avalon_anti_slave_0_write; // hex6_s1_translator:av_write -> hex6:write_n + wire [31:0] hex6_s1_translator_avalon_anti_slave_0_readdata; // hex6:readdata -> hex6_s1_translator:av_readdata + wire [31:0] hex7_s1_translator_avalon_anti_slave_0_writedata; // hex7_s1_translator:av_writedata -> hex7:writedata + wire [1:0] hex7_s1_translator_avalon_anti_slave_0_address; // hex7_s1_translator:av_address -> hex7:address + wire hex7_s1_translator_avalon_anti_slave_0_chipselect; // hex7_s1_translator:av_chipselect -> hex7:chipselect + wire hex7_s1_translator_avalon_anti_slave_0_write; // hex7_s1_translator:av_write -> hex7:write_n + wire [31:0] hex7_s1_translator_avalon_anti_slave_0_readdata; // hex7:readdata -> hex7_s1_translator:av_readdata + wire [7:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata; // lcd_16207_0_control_slave_translator:av_writedata -> lcd_16207_0:writedata + wire [1:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address; // lcd_16207_0_control_slave_translator:av_address -> lcd_16207_0:address + wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write; // lcd_16207_0_control_slave_translator:av_write -> lcd_16207_0:write + wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read; // lcd_16207_0_control_slave_translator:av_read -> lcd_16207_0:read + wire [7:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata; // lcd_16207_0:readdata -> lcd_16207_0_control_slave_translator:av_readdata + wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer; // lcd_16207_0_control_slave_translator:av_begintransfer -> lcd_16207_0:begintransfer + wire [31:0] lcd_on_s1_translator_avalon_anti_slave_0_writedata; // lcd_on_s1_translator:av_writedata -> lcd_on:writedata + wire [1:0] lcd_on_s1_translator_avalon_anti_slave_0_address; // lcd_on_s1_translator:av_address -> lcd_on:address + wire lcd_on_s1_translator_avalon_anti_slave_0_chipselect; // lcd_on_s1_translator:av_chipselect -> lcd_on:chipselect + wire lcd_on_s1_translator_avalon_anti_slave_0_write; // lcd_on_s1_translator:av_write -> lcd_on:write_n + wire [31:0] lcd_on_s1_translator_avalon_anti_slave_0_readdata; // lcd_on:readdata -> lcd_on_s1_translator:av_readdata + wire [31:0] lcd_blon_s1_translator_avalon_anti_slave_0_writedata; // lcd_blon_s1_translator:av_writedata -> lcd_blon:writedata + wire [1:0] lcd_blon_s1_translator_avalon_anti_slave_0_address; // lcd_blon_s1_translator:av_address -> lcd_blon:address + wire lcd_blon_s1_translator_avalon_anti_slave_0_chipselect; // lcd_blon_s1_translator:av_chipselect -> lcd_blon:chipselect + wire lcd_blon_s1_translator_avalon_anti_slave_0_write; // lcd_blon_s1_translator:av_write -> lcd_blon:write_n + wire [31:0] lcd_blon_s1_translator_avalon_anti_slave_0_readdata; // lcd_blon:readdata -> lcd_blon_s1_translator:av_readdata + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_processor_instruction_master_translator:uav_waitrequest + wire [2:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount; // nios2_processor_instruction_master_translator:uav_burstcount -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_burstcount + wire [31:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata; // nios2_processor_instruction_master_translator:uav_writedata -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_writedata + wire [18:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_address; // nios2_processor_instruction_master_translator:uav_address -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_address + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_lock; // nios2_processor_instruction_master_translator:uav_lock -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_lock + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_write; // nios2_processor_instruction_master_translator:uav_write -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_write + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_read; // nios2_processor_instruction_master_translator:uav_read -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_read + wire [31:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_processor_instruction_master_translator:uav_readdata + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess; // nios2_processor_instruction_master_translator:uav_debugaccess -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_debugaccess + wire [3:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable; // nios2_processor_instruction_master_translator:uav_byteenable -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_byteenable + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_processor_instruction_master_translator:uav_readdatavalid + wire nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_processor_data_master_translator:uav_waitrequest + wire [2:0] nios2_processor_data_master_translator_avalon_universal_master_0_burstcount; // nios2_processor_data_master_translator:uav_burstcount -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_burstcount + wire [31:0] nios2_processor_data_master_translator_avalon_universal_master_0_writedata; // nios2_processor_data_master_translator:uav_writedata -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_writedata + wire [18:0] nios2_processor_data_master_translator_avalon_universal_master_0_address; // nios2_processor_data_master_translator:uav_address -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_address + wire nios2_processor_data_master_translator_avalon_universal_master_0_lock; // nios2_processor_data_master_translator:uav_lock -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_lock + wire nios2_processor_data_master_translator_avalon_universal_master_0_write; // nios2_processor_data_master_translator:uav_write -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_write + wire nios2_processor_data_master_translator_avalon_universal_master_0_read; // nios2_processor_data_master_translator:uav_read -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_read + wire [31:0] nios2_processor_data_master_translator_avalon_universal_master_0_readdata; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_processor_data_master_translator:uav_readdata + wire nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess; // nios2_processor_data_master_translator:uav_debugaccess -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_debugaccess + wire [3:0] nios2_processor_data_master_translator_avalon_universal_master_0_byteenable; // nios2_processor_data_master_translator:uav_byteenable -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_byteenable + wire nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_processor_data_master_translator:uav_readdatavalid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest; // nios2_processor_jtag_debug_module_translator:uav_waitrequest -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_burstcount -> nios2_processor_jtag_debug_module_translator:uav_burstcount + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_writedata -> nios2_processor_jtag_debug_module_translator:uav_writedata + wire [18:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_address -> nios2_processor_jtag_debug_module_translator:uav_address + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_write -> nios2_processor_jtag_debug_module_translator:uav_write + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_lock -> nios2_processor_jtag_debug_module_translator:uav_lock + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_read -> nios2_processor_jtag_debug_module_translator:uav_read + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata; // nios2_processor_jtag_debug_module_translator:uav_readdata -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdata + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // nios2_processor_jtag_debug_module_translator:uav_readdatavalid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_debugaccess -> nios2_processor_jtag_debug_module_translator:uav_debugaccess + wire [3:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_byteenable -> nios2_processor_jtag_debug_module_translator:uav_byteenable + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_ready + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // onchip_memory_s1_translator:uav_waitrequest -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> onchip_memory_s1_translator:uav_burstcount + wire [31:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> onchip_memory_s1_translator:uav_writedata + wire [18:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_address -> onchip_memory_s1_translator:uav_address + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_write -> onchip_memory_s1_translator:uav_write + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_lock -> onchip_memory_s1_translator:uav_lock + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_read -> onchip_memory_s1_translator:uav_read + wire [31:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // onchip_memory_s1_translator:uav_readdata -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // onchip_memory_s1_translator:uav_readdatavalid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> onchip_memory_s1_translator:uav_debugaccess + wire [3:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> onchip_memory_s1_translator:uav_byteenable + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // LEDs_s1_translator:uav_waitrequest -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> LEDs_s1_translator:uav_burstcount + wire [31:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> LEDs_s1_translator:uav_writedata + wire [18:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_address; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_address -> LEDs_s1_translator:uav_address + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_write; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_write -> LEDs_s1_translator:uav_write + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_lock; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_lock -> LEDs_s1_translator:uav_lock + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_read; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_read -> LEDs_s1_translator:uav_read + wire [31:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // LEDs_s1_translator:uav_readdata -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // LEDs_s1_translator:uav_readdatavalid -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> LEDs_s1_translator:uav_debugaccess + wire [3:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> LEDs_s1_translator:uav_byteenable + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // jtag_uart_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> jtag_uart_avalon_jtag_slave_translator:uav_burstcount + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> jtag_uart_avalon_jtag_slave_translator:uav_writedata + wire [18:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_address -> jtag_uart_avalon_jtag_slave_translator:uav_address + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_write -> jtag_uart_avalon_jtag_slave_translator:uav_write + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_lock -> jtag_uart_avalon_jtag_slave_translator:uav_lock + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_read -> jtag_uart_avalon_jtag_slave_translator:uav_read + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // jtag_uart_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdata + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // jtag_uart_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> jtag_uart_avalon_jtag_slave_translator:uav_debugaccess + wire [3:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> jtag_uart_avalon_jtag_slave_translator:uav_byteenable + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // LEDRs_s1_translator:uav_waitrequest -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> LEDRs_s1_translator:uav_burstcount + wire [31:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> LEDRs_s1_translator:uav_writedata + wire [18:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_address -> LEDRs_s1_translator:uav_address + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_write -> LEDRs_s1_translator:uav_write + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_lock -> LEDRs_s1_translator:uav_lock + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_read -> LEDRs_s1_translator:uav_read + wire [31:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // LEDRs_s1_translator:uav_readdata -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // LEDRs_s1_translator:uav_readdatavalid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> LEDRs_s1_translator:uav_debugaccess + wire [3:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> LEDRs_s1_translator:uav_byteenable + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // switches_s1_translator:uav_waitrequest -> switches_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // switches_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switches_s1_translator:uav_burstcount + wire [31:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // switches_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switches_s1_translator:uav_writedata + wire [18:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_address; // switches_s1_translator_avalon_universal_slave_0_agent:m0_address -> switches_s1_translator:uav_address + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_write; // switches_s1_translator_avalon_universal_slave_0_agent:m0_write -> switches_s1_translator:uav_write + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_lock; // switches_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switches_s1_translator:uav_lock + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_read; // switches_s1_translator_avalon_universal_slave_0_agent:m0_read -> switches_s1_translator:uav_read + wire [31:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // switches_s1_translator:uav_readdata -> switches_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // switches_s1_translator:uav_readdatavalid -> switches_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // switches_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switches_s1_translator:uav_debugaccess + wire [3:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // switches_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switches_s1_translator:uav_byteenable + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // push_switches_s1_translator:uav_waitrequest -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> push_switches_s1_translator:uav_burstcount + wire [31:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> push_switches_s1_translator:uav_writedata + wire [18:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_address -> push_switches_s1_translator:uav_address + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_write -> push_switches_s1_translator:uav_write + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_lock -> push_switches_s1_translator:uav_lock + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_read -> push_switches_s1_translator:uav_read + wire [31:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // push_switches_s1_translator:uav_readdata -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // push_switches_s1_translator:uav_readdatavalid -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> push_switches_s1_translator:uav_debugaccess + wire [3:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> push_switches_s1_translator:uav_byteenable + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex0_s1_translator:uav_waitrequest -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex0_s1_translator:uav_burstcount + wire [31:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex0_s1_translator:uav_writedata + wire [18:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex0_s1_translator:uav_address + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex0_s1_translator:uav_write + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex0_s1_translator:uav_lock + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex0_s1_translator:uav_read + wire [31:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex0_s1_translator:uav_readdata -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex0_s1_translator:uav_readdatavalid -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex0_s1_translator:uav_debugaccess + wire [3:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex0_s1_translator:uav_byteenable + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex1_s1_translator:uav_waitrequest -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex1_s1_translator:uav_burstcount + wire [31:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex1_s1_translator:uav_writedata + wire [18:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex1_s1_translator:uav_address + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex1_s1_translator:uav_write + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex1_s1_translator:uav_lock + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex1_s1_translator:uav_read + wire [31:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex1_s1_translator:uav_readdata -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex1_s1_translator:uav_readdatavalid -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex1_s1_translator:uav_debugaccess + wire [3:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex1_s1_translator:uav_byteenable + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex2_s1_translator:uav_waitrequest -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex2_s1_translator:uav_burstcount + wire [31:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex2_s1_translator:uav_writedata + wire [18:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex2_s1_translator:uav_address + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex2_s1_translator:uav_write + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex2_s1_translator:uav_lock + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex2_s1_translator:uav_read + wire [31:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex2_s1_translator:uav_readdata -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex2_s1_translator:uav_readdatavalid -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex2_s1_translator:uav_debugaccess + wire [3:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex2_s1_translator:uav_byteenable + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex3_s1_translator:uav_waitrequest -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex3_s1_translator:uav_burstcount + wire [31:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex3_s1_translator:uav_writedata + wire [18:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex3_s1_translator:uav_address + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex3_s1_translator:uav_write + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex3_s1_translator:uav_lock + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex3_s1_translator:uav_read + wire [31:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex3_s1_translator:uav_readdata -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex3_s1_translator:uav_readdatavalid -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex3_s1_translator:uav_debugaccess + wire [3:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex3_s1_translator:uav_byteenable + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex4_s1_translator:uav_waitrequest -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex4_s1_translator:uav_burstcount + wire [31:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex4_s1_translator:uav_writedata + wire [18:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex4_s1_translator:uav_address + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex4_s1_translator:uav_write + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex4_s1_translator:uav_lock + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex4_s1_translator:uav_read + wire [31:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex4_s1_translator:uav_readdata -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex4_s1_translator:uav_readdatavalid -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex4_s1_translator:uav_debugaccess + wire [3:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex4_s1_translator:uav_byteenable + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex5_s1_translator:uav_waitrequest -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex5_s1_translator:uav_burstcount + wire [31:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex5_s1_translator:uav_writedata + wire [18:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex5_s1_translator:uav_address + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex5_s1_translator:uav_write + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex5_s1_translator:uav_lock + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex5_s1_translator:uav_read + wire [31:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex5_s1_translator:uav_readdata -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex5_s1_translator:uav_readdatavalid -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex5_s1_translator:uav_debugaccess + wire [3:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex5_s1_translator:uav_byteenable + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex6_s1_translator:uav_waitrequest -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex6_s1_translator:uav_burstcount + wire [31:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex6_s1_translator:uav_writedata + wire [18:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex6_s1_translator:uav_address + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex6_s1_translator:uav_write + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex6_s1_translator:uav_lock + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex6_s1_translator:uav_read + wire [31:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex6_s1_translator:uav_readdata -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex6_s1_translator:uav_readdatavalid -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex6_s1_translator:uav_debugaccess + wire [3:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex6_s1_translator:uav_byteenable + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex7_s1_translator:uav_waitrequest -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex7_s1_translator:uav_burstcount + wire [31:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex7_s1_translator:uav_writedata + wire [18:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex7_s1_translator:uav_address + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex7_s1_translator:uav_write + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex7_s1_translator:uav_lock + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex7_s1_translator:uav_read + wire [31:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex7_s1_translator:uav_readdata -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex7_s1_translator:uav_readdatavalid -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex7_s1_translator:uav_debugaccess + wire [3:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex7_s1_translator:uav_byteenable + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_16207_0_control_slave_translator:uav_waitrequest -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_16207_0_control_slave_translator:uav_burstcount + wire [31:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_16207_0_control_slave_translator:uav_writedata + wire [18:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_address -> lcd_16207_0_control_slave_translator:uav_address + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_write -> lcd_16207_0_control_slave_translator:uav_write + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_16207_0_control_slave_translator:uav_lock + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_read -> lcd_16207_0_control_slave_translator:uav_read + wire [31:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_16207_0_control_slave_translator:uav_readdata -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdata + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_16207_0_control_slave_translator:uav_readdatavalid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_16207_0_control_slave_translator:uav_debugaccess + wire [3:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_16207_0_control_slave_translator:uav_byteenable + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_on_s1_translator:uav_waitrequest -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_on_s1_translator:uav_burstcount + wire [31:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_on_s1_translator:uav_writedata + wire [18:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_address -> lcd_on_s1_translator:uav_address + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_write -> lcd_on_s1_translator:uav_write + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_on_s1_translator:uav_lock + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_read -> lcd_on_s1_translator:uav_read + wire [31:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_on_s1_translator:uav_readdata -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_on_s1_translator:uav_readdatavalid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_on_s1_translator:uav_debugaccess + wire [3:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_on_s1_translator:uav_byteenable + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_blon_s1_translator:uav_waitrequest -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_blon_s1_translator:uav_burstcount + wire [31:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_blon_s1_translator:uav_writedata + wire [18:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_address -> lcd_blon_s1_translator:uav_address + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_write -> lcd_blon_s1_translator:uav_write + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_blon_s1_translator:uav_lock + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_read -> lcd_blon_s1_translator:uav_read + wire [31:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_blon_s1_translator:uav_readdata -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_blon_s1_translator:uav_readdatavalid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_blon_s1_translator:uav_debugaccess + wire [3:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_blon_s1_translator:uav_byteenable + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router:sink_endofpacket + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router:sink_valid + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router:sink_startofpacket + wire [95:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router:sink_data + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router:sink_ready -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_ready + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router_001:sink_endofpacket + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router_001:sink_valid + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router_001:sink_startofpacket + wire [95:0] nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router_001:sink_data + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router_001:sink_ready -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_ready + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router:sink_endofpacket + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_valid -> id_router:sink_valid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router:sink_startofpacket + wire [95:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_data -> id_router:sink_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready; // id_router:sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_001:sink_endofpacket + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_001:sink_valid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_001:sink_startofpacket + wire [95:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_001:sink_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_001:sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_002:sink_endofpacket + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_002:sink_valid + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_002:sink_startofpacket + wire [95:0] leds_s1_translator_avalon_universal_slave_0_agent_rp_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_002:sink_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_002:sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_003:sink_endofpacket + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_003:sink_valid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_003:sink_startofpacket + wire [95:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_003:sink_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_003:sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_004:sink_endofpacket + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_004:sink_valid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_004:sink_startofpacket + wire [95:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_004:sink_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_004:sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_005:sink_endofpacket + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_005:sink_valid + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_005:sink_startofpacket + wire [95:0] switches_s1_translator_avalon_universal_slave_0_agent_rp_data; // switches_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_005:sink_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_005:sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_006:sink_endofpacket + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_006:sink_valid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_006:sink_startofpacket + wire [95:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_006:sink_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_006:sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_007:sink_endofpacket + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_007:sink_valid + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_007:sink_startofpacket + wire [95:0] hex0_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_007:sink_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_007:sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_008:sink_endofpacket + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_008:sink_valid + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_008:sink_startofpacket + wire [95:0] hex1_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_008:sink_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_008:sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_009:sink_endofpacket + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_009:sink_valid + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_009:sink_startofpacket + wire [95:0] hex2_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_009:sink_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_009:sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_010:sink_endofpacket + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_010:sink_valid + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_010:sink_startofpacket + wire [95:0] hex3_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_010:sink_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_010:sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_011:sink_endofpacket + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_011:sink_valid + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_011:sink_startofpacket + wire [95:0] hex4_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_011:sink_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_011:sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_012:sink_endofpacket + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_012:sink_valid + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_012:sink_startofpacket + wire [95:0] hex5_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_012:sink_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_012:sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_013:sink_endofpacket + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_013:sink_valid + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_013:sink_startofpacket + wire [95:0] hex6_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_013:sink_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_013:sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_014:sink_endofpacket + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_014:sink_valid + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_014:sink_startofpacket + wire [95:0] hex7_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_014:sink_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_014:sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_015:sink_endofpacket + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_015:sink_valid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_015:sink_startofpacket + wire [95:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_015:sink_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_015:sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_016:sink_endofpacket + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_016:sink_valid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_016:sink_startofpacket + wire [95:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_016:sink_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_016:sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_017:sink_endofpacket + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_017:sink_valid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_017:sink_startofpacket + wire [95:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_017:sink_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_017:sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [LEDRs:reset_n, LEDRs_s1_translator:reset, LEDRs_s1_translator_avalon_universal_slave_0_agent:reset, LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, LEDs:reset_n, LEDs_s1_translator:reset, LEDs_s1_translator_avalon_universal_slave_0_agent:reset, LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, addr_router:reset, addr_router_001:reset, cmd_xbar_demux:reset, cmd_xbar_demux_001:reset, cmd_xbar_mux:reset, cmd_xbar_mux_001:reset, hex0:reset_n, hex0_s1_translator:reset, hex0_s1_translator_avalon_universal_slave_0_agent:reset, hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex1:reset_n, hex1_s1_translator:reset, hex1_s1_translator_avalon_universal_slave_0_agent:reset, hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex2:reset_n, hex2_s1_translator:reset, hex2_s1_translator_avalon_universal_slave_0_agent:reset, hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex3:reset_n, hex3_s1_translator:reset, hex3_s1_translator_avalon_universal_slave_0_agent:reset, hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex4:reset_n, hex4_s1_translator:reset, hex4_s1_translator_avalon_universal_slave_0_agent:reset, hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex5:reset_n, hex5_s1_translator:reset, hex5_s1_translator_avalon_universal_slave_0_agent:reset, hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex6:reset_n, hex6_s1_translator:reset, hex6_s1_translator_avalon_universal_slave_0_agent:reset, hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex7:reset_n, hex7_s1_translator:reset, hex7_s1_translator_avalon_universal_slave_0_agent:reset, hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, id_router:reset, id_router_001:reset, id_router_002:reset, id_router_003:reset, id_router_004:reset, id_router_005:reset, id_router_006:reset, id_router_007:reset, id_router_008:reset, id_router_009:reset, id_router_010:reset, id_router_011:reset, id_router_012:reset, id_router_013:reset, id_router_014:reset, id_router_015:reset, id_router_016:reset, id_router_017:reset, irq_mapper:reset, jtag_uart:rst_n, jtag_uart_avalon_jtag_slave_translator:reset, jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:reset, jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_16207_0:reset_n, lcd_16207_0_control_slave_translator:reset, lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:reset, lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_blon:reset_n, lcd_blon_s1_translator:reset, lcd_blon_s1_translator_avalon_universal_slave_0_agent:reset, lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_on:reset_n, lcd_on_s1_translator:reset, lcd_on_s1_translator_avalon_universal_slave_0_agent:reset, lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, nios2_processor:reset_n, nios2_processor_data_master_translator:reset, nios2_processor_data_master_translator_avalon_universal_master_0_agent:reset, nios2_processor_instruction_master_translator:reset, nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:reset, nios2_processor_jtag_debug_module_translator:reset, nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:reset, nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, onchip_memory:reset, onchip_memory_s1_translator:reset, onchip_memory_s1_translator_avalon_universal_slave_0_agent:reset, onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, push_switches:reset_n, push_switches_s1_translator:reset, push_switches_s1_translator_avalon_universal_slave_0_agent:reset, push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, rsp_xbar_demux:reset, rsp_xbar_demux_001:reset, rsp_xbar_demux_002:reset, rsp_xbar_demux_003:reset, rsp_xbar_demux_004:reset, rsp_xbar_demux_005:reset, rsp_xbar_demux_006:reset, rsp_xbar_demux_007:reset, rsp_xbar_demux_008:reset, rsp_xbar_demux_009:reset, rsp_xbar_demux_010:reset, rsp_xbar_demux_011:reset, rsp_xbar_demux_012:reset, rsp_xbar_demux_013:reset, rsp_xbar_demux_014:reset, rsp_xbar_demux_015:reset, rsp_xbar_demux_016:reset, rsp_xbar_demux_017:reset, rsp_xbar_mux:reset, rsp_xbar_mux_001:reset, switches:reset_n, switches_s1_translator:reset, switches_s1_translator_avalon_universal_slave_0_agent:reset, switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset] + wire rst_controller_reset_out_reset_req; // rst_controller:reset_req -> onchip_memory:reset_req + wire nios2_processor_jtag_debug_module_reset_reset; // nios2_processor:jtag_debug_module_resetrequest -> rst_controller:reset_in1 + wire cmd_xbar_demux_src0_endofpacket; // cmd_xbar_demux:src0_endofpacket -> cmd_xbar_mux:sink0_endofpacket + wire cmd_xbar_demux_src0_valid; // cmd_xbar_demux:src0_valid -> cmd_xbar_mux:sink0_valid + wire cmd_xbar_demux_src0_startofpacket; // cmd_xbar_demux:src0_startofpacket -> cmd_xbar_mux:sink0_startofpacket + wire [95:0] cmd_xbar_demux_src0_data; // cmd_xbar_demux:src0_data -> cmd_xbar_mux:sink0_data + wire [17:0] cmd_xbar_demux_src0_channel; // cmd_xbar_demux:src0_channel -> cmd_xbar_mux:sink0_channel + wire cmd_xbar_demux_src0_ready; // cmd_xbar_mux:sink0_ready -> cmd_xbar_demux:src0_ready + wire cmd_xbar_demux_src1_endofpacket; // cmd_xbar_demux:src1_endofpacket -> cmd_xbar_mux_001:sink0_endofpacket + wire cmd_xbar_demux_src1_valid; // cmd_xbar_demux:src1_valid -> cmd_xbar_mux_001:sink0_valid + wire cmd_xbar_demux_src1_startofpacket; // cmd_xbar_demux:src1_startofpacket -> cmd_xbar_mux_001:sink0_startofpacket + wire [95:0] cmd_xbar_demux_src1_data; // cmd_xbar_demux:src1_data -> cmd_xbar_mux_001:sink0_data + wire [17:0] cmd_xbar_demux_src1_channel; // cmd_xbar_demux:src1_channel -> cmd_xbar_mux_001:sink0_channel + wire cmd_xbar_demux_src1_ready; // cmd_xbar_mux_001:sink0_ready -> cmd_xbar_demux:src1_ready + wire cmd_xbar_demux_001_src0_endofpacket; // cmd_xbar_demux_001:src0_endofpacket -> cmd_xbar_mux:sink1_endofpacket + wire cmd_xbar_demux_001_src0_valid; // cmd_xbar_demux_001:src0_valid -> cmd_xbar_mux:sink1_valid + wire cmd_xbar_demux_001_src0_startofpacket; // cmd_xbar_demux_001:src0_startofpacket -> cmd_xbar_mux:sink1_startofpacket + wire [95:0] cmd_xbar_demux_001_src0_data; // cmd_xbar_demux_001:src0_data -> cmd_xbar_mux:sink1_data + wire [17:0] cmd_xbar_demux_001_src0_channel; // cmd_xbar_demux_001:src0_channel -> cmd_xbar_mux:sink1_channel + wire cmd_xbar_demux_001_src0_ready; // cmd_xbar_mux:sink1_ready -> cmd_xbar_demux_001:src0_ready + wire cmd_xbar_demux_001_src1_endofpacket; // cmd_xbar_demux_001:src1_endofpacket -> cmd_xbar_mux_001:sink1_endofpacket + wire cmd_xbar_demux_001_src1_valid; // cmd_xbar_demux_001:src1_valid -> cmd_xbar_mux_001:sink1_valid + wire cmd_xbar_demux_001_src1_startofpacket; // cmd_xbar_demux_001:src1_startofpacket -> cmd_xbar_mux_001:sink1_startofpacket + wire [95:0] cmd_xbar_demux_001_src1_data; // cmd_xbar_demux_001:src1_data -> cmd_xbar_mux_001:sink1_data + wire [17:0] cmd_xbar_demux_001_src1_channel; // cmd_xbar_demux_001:src1_channel -> cmd_xbar_mux_001:sink1_channel + wire cmd_xbar_demux_001_src1_ready; // cmd_xbar_mux_001:sink1_ready -> cmd_xbar_demux_001:src1_ready + wire cmd_xbar_demux_001_src2_endofpacket; // cmd_xbar_demux_001:src2_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src2_valid; // cmd_xbar_demux_001:src2_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src2_startofpacket; // cmd_xbar_demux_001:src2_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src2_data; // cmd_xbar_demux_001:src2_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src2_channel; // cmd_xbar_demux_001:src2_channel -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src3_endofpacket; // cmd_xbar_demux_001:src3_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src3_valid; // cmd_xbar_demux_001:src3_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src3_startofpacket; // cmd_xbar_demux_001:src3_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src3_data; // cmd_xbar_demux_001:src3_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src3_channel; // cmd_xbar_demux_001:src3_channel -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src4_endofpacket; // cmd_xbar_demux_001:src4_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src4_valid; // cmd_xbar_demux_001:src4_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src4_startofpacket; // cmd_xbar_demux_001:src4_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src4_data; // cmd_xbar_demux_001:src4_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src4_channel; // cmd_xbar_demux_001:src4_channel -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src5_endofpacket; // cmd_xbar_demux_001:src5_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src5_valid; // cmd_xbar_demux_001:src5_valid -> switches_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src5_startofpacket; // cmd_xbar_demux_001:src5_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src5_data; // cmd_xbar_demux_001:src5_data -> switches_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src5_channel; // cmd_xbar_demux_001:src5_channel -> switches_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src6_endofpacket; // cmd_xbar_demux_001:src6_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src6_valid; // cmd_xbar_demux_001:src6_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src6_startofpacket; // cmd_xbar_demux_001:src6_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src6_data; // cmd_xbar_demux_001:src6_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src6_channel; // cmd_xbar_demux_001:src6_channel -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src7_endofpacket; // cmd_xbar_demux_001:src7_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src7_valid; // cmd_xbar_demux_001:src7_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src7_startofpacket; // cmd_xbar_demux_001:src7_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src7_data; // cmd_xbar_demux_001:src7_data -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src7_channel; // cmd_xbar_demux_001:src7_channel -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src8_endofpacket; // cmd_xbar_demux_001:src8_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src8_valid; // cmd_xbar_demux_001:src8_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src8_startofpacket; // cmd_xbar_demux_001:src8_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src8_data; // cmd_xbar_demux_001:src8_data -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src8_channel; // cmd_xbar_demux_001:src8_channel -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src9_endofpacket; // cmd_xbar_demux_001:src9_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src9_valid; // cmd_xbar_demux_001:src9_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src9_startofpacket; // cmd_xbar_demux_001:src9_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src9_data; // cmd_xbar_demux_001:src9_data -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src9_channel; // cmd_xbar_demux_001:src9_channel -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src10_endofpacket; // cmd_xbar_demux_001:src10_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src10_valid; // cmd_xbar_demux_001:src10_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src10_startofpacket; // cmd_xbar_demux_001:src10_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src10_data; // cmd_xbar_demux_001:src10_data -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src10_channel; // cmd_xbar_demux_001:src10_channel -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src11_endofpacket; // cmd_xbar_demux_001:src11_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src11_valid; // cmd_xbar_demux_001:src11_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src11_startofpacket; // cmd_xbar_demux_001:src11_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src11_data; // cmd_xbar_demux_001:src11_data -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src11_channel; // cmd_xbar_demux_001:src11_channel -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src12_endofpacket; // cmd_xbar_demux_001:src12_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src12_valid; // cmd_xbar_demux_001:src12_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src12_startofpacket; // cmd_xbar_demux_001:src12_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src12_data; // cmd_xbar_demux_001:src12_data -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src12_channel; // cmd_xbar_demux_001:src12_channel -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src13_endofpacket; // cmd_xbar_demux_001:src13_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src13_valid; // cmd_xbar_demux_001:src13_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src13_startofpacket; // cmd_xbar_demux_001:src13_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src13_data; // cmd_xbar_demux_001:src13_data -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src13_channel; // cmd_xbar_demux_001:src13_channel -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src14_endofpacket; // cmd_xbar_demux_001:src14_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src14_valid; // cmd_xbar_demux_001:src14_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src14_startofpacket; // cmd_xbar_demux_001:src14_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src14_data; // cmd_xbar_demux_001:src14_data -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src14_channel; // cmd_xbar_demux_001:src14_channel -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src15_endofpacket; // cmd_xbar_demux_001:src15_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src15_valid; // cmd_xbar_demux_001:src15_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src15_startofpacket; // cmd_xbar_demux_001:src15_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src15_data; // cmd_xbar_demux_001:src15_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src15_channel; // cmd_xbar_demux_001:src15_channel -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src16_endofpacket; // cmd_xbar_demux_001:src16_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src16_valid; // cmd_xbar_demux_001:src16_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src16_startofpacket; // cmd_xbar_demux_001:src16_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src16_data; // cmd_xbar_demux_001:src16_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src16_channel; // cmd_xbar_demux_001:src16_channel -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src17_endofpacket; // cmd_xbar_demux_001:src17_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src17_valid; // cmd_xbar_demux_001:src17_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src17_startofpacket; // cmd_xbar_demux_001:src17_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src17_data; // cmd_xbar_demux_001:src17_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src17_channel; // cmd_xbar_demux_001:src17_channel -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire rsp_xbar_demux_src0_endofpacket; // rsp_xbar_demux:src0_endofpacket -> rsp_xbar_mux:sink0_endofpacket + wire rsp_xbar_demux_src0_valid; // rsp_xbar_demux:src0_valid -> rsp_xbar_mux:sink0_valid + wire rsp_xbar_demux_src0_startofpacket; // rsp_xbar_demux:src0_startofpacket -> rsp_xbar_mux:sink0_startofpacket + wire [95:0] rsp_xbar_demux_src0_data; // rsp_xbar_demux:src0_data -> rsp_xbar_mux:sink0_data + wire [17:0] rsp_xbar_demux_src0_channel; // rsp_xbar_demux:src0_channel -> rsp_xbar_mux:sink0_channel + wire rsp_xbar_demux_src0_ready; // rsp_xbar_mux:sink0_ready -> rsp_xbar_demux:src0_ready + wire rsp_xbar_demux_src1_endofpacket; // rsp_xbar_demux:src1_endofpacket -> rsp_xbar_mux_001:sink0_endofpacket + wire rsp_xbar_demux_src1_valid; // rsp_xbar_demux:src1_valid -> rsp_xbar_mux_001:sink0_valid + wire rsp_xbar_demux_src1_startofpacket; // rsp_xbar_demux:src1_startofpacket -> rsp_xbar_mux_001:sink0_startofpacket + wire [95:0] rsp_xbar_demux_src1_data; // rsp_xbar_demux:src1_data -> rsp_xbar_mux_001:sink0_data + wire [17:0] rsp_xbar_demux_src1_channel; // rsp_xbar_demux:src1_channel -> rsp_xbar_mux_001:sink0_channel + wire rsp_xbar_demux_src1_ready; // rsp_xbar_mux_001:sink0_ready -> rsp_xbar_demux:src1_ready + wire rsp_xbar_demux_001_src0_endofpacket; // rsp_xbar_demux_001:src0_endofpacket -> rsp_xbar_mux:sink1_endofpacket + wire rsp_xbar_demux_001_src0_valid; // rsp_xbar_demux_001:src0_valid -> rsp_xbar_mux:sink1_valid + wire rsp_xbar_demux_001_src0_startofpacket; // rsp_xbar_demux_001:src0_startofpacket -> rsp_xbar_mux:sink1_startofpacket + wire [95:0] rsp_xbar_demux_001_src0_data; // rsp_xbar_demux_001:src0_data -> rsp_xbar_mux:sink1_data + wire [17:0] rsp_xbar_demux_001_src0_channel; // rsp_xbar_demux_001:src0_channel -> rsp_xbar_mux:sink1_channel + wire rsp_xbar_demux_001_src0_ready; // rsp_xbar_mux:sink1_ready -> rsp_xbar_demux_001:src0_ready + wire rsp_xbar_demux_001_src1_endofpacket; // rsp_xbar_demux_001:src1_endofpacket -> rsp_xbar_mux_001:sink1_endofpacket + wire rsp_xbar_demux_001_src1_valid; // rsp_xbar_demux_001:src1_valid -> rsp_xbar_mux_001:sink1_valid + wire rsp_xbar_demux_001_src1_startofpacket; // rsp_xbar_demux_001:src1_startofpacket -> rsp_xbar_mux_001:sink1_startofpacket + wire [95:0] rsp_xbar_demux_001_src1_data; // rsp_xbar_demux_001:src1_data -> rsp_xbar_mux_001:sink1_data + wire [17:0] rsp_xbar_demux_001_src1_channel; // rsp_xbar_demux_001:src1_channel -> rsp_xbar_mux_001:sink1_channel + wire rsp_xbar_demux_001_src1_ready; // rsp_xbar_mux_001:sink1_ready -> rsp_xbar_demux_001:src1_ready + wire rsp_xbar_demux_002_src0_endofpacket; // rsp_xbar_demux_002:src0_endofpacket -> rsp_xbar_mux_001:sink2_endofpacket + wire rsp_xbar_demux_002_src0_valid; // rsp_xbar_demux_002:src0_valid -> rsp_xbar_mux_001:sink2_valid + wire rsp_xbar_demux_002_src0_startofpacket; // rsp_xbar_demux_002:src0_startofpacket -> rsp_xbar_mux_001:sink2_startofpacket + wire [95:0] rsp_xbar_demux_002_src0_data; // rsp_xbar_demux_002:src0_data -> rsp_xbar_mux_001:sink2_data + wire [17:0] rsp_xbar_demux_002_src0_channel; // rsp_xbar_demux_002:src0_channel -> rsp_xbar_mux_001:sink2_channel + wire rsp_xbar_demux_002_src0_ready; // rsp_xbar_mux_001:sink2_ready -> rsp_xbar_demux_002:src0_ready + wire rsp_xbar_demux_003_src0_endofpacket; // rsp_xbar_demux_003:src0_endofpacket -> rsp_xbar_mux_001:sink3_endofpacket + wire rsp_xbar_demux_003_src0_valid; // rsp_xbar_demux_003:src0_valid -> rsp_xbar_mux_001:sink3_valid + wire rsp_xbar_demux_003_src0_startofpacket; // rsp_xbar_demux_003:src0_startofpacket -> rsp_xbar_mux_001:sink3_startofpacket + wire [95:0] rsp_xbar_demux_003_src0_data; // rsp_xbar_demux_003:src0_data -> rsp_xbar_mux_001:sink3_data + wire [17:0] rsp_xbar_demux_003_src0_channel; // rsp_xbar_demux_003:src0_channel -> rsp_xbar_mux_001:sink3_channel + wire rsp_xbar_demux_003_src0_ready; // rsp_xbar_mux_001:sink3_ready -> rsp_xbar_demux_003:src0_ready + wire rsp_xbar_demux_004_src0_endofpacket; // rsp_xbar_demux_004:src0_endofpacket -> rsp_xbar_mux_001:sink4_endofpacket + wire rsp_xbar_demux_004_src0_valid; // rsp_xbar_demux_004:src0_valid -> rsp_xbar_mux_001:sink4_valid + wire rsp_xbar_demux_004_src0_startofpacket; // rsp_xbar_demux_004:src0_startofpacket -> rsp_xbar_mux_001:sink4_startofpacket + wire [95:0] rsp_xbar_demux_004_src0_data; // rsp_xbar_demux_004:src0_data -> rsp_xbar_mux_001:sink4_data + wire [17:0] rsp_xbar_demux_004_src0_channel; // rsp_xbar_demux_004:src0_channel -> rsp_xbar_mux_001:sink4_channel + wire rsp_xbar_demux_004_src0_ready; // rsp_xbar_mux_001:sink4_ready -> rsp_xbar_demux_004:src0_ready + wire rsp_xbar_demux_005_src0_endofpacket; // rsp_xbar_demux_005:src0_endofpacket -> rsp_xbar_mux_001:sink5_endofpacket + wire rsp_xbar_demux_005_src0_valid; // rsp_xbar_demux_005:src0_valid -> rsp_xbar_mux_001:sink5_valid + wire rsp_xbar_demux_005_src0_startofpacket; // rsp_xbar_demux_005:src0_startofpacket -> rsp_xbar_mux_001:sink5_startofpacket + wire [95:0] rsp_xbar_demux_005_src0_data; // rsp_xbar_demux_005:src0_data -> rsp_xbar_mux_001:sink5_data + wire [17:0] rsp_xbar_demux_005_src0_channel; // rsp_xbar_demux_005:src0_channel -> rsp_xbar_mux_001:sink5_channel + wire rsp_xbar_demux_005_src0_ready; // rsp_xbar_mux_001:sink5_ready -> rsp_xbar_demux_005:src0_ready + wire rsp_xbar_demux_006_src0_endofpacket; // rsp_xbar_demux_006:src0_endofpacket -> rsp_xbar_mux_001:sink6_endofpacket + wire rsp_xbar_demux_006_src0_valid; // rsp_xbar_demux_006:src0_valid -> rsp_xbar_mux_001:sink6_valid + wire rsp_xbar_demux_006_src0_startofpacket; // rsp_xbar_demux_006:src0_startofpacket -> rsp_xbar_mux_001:sink6_startofpacket + wire [95:0] rsp_xbar_demux_006_src0_data; // rsp_xbar_demux_006:src0_data -> rsp_xbar_mux_001:sink6_data + wire [17:0] rsp_xbar_demux_006_src0_channel; // rsp_xbar_demux_006:src0_channel -> rsp_xbar_mux_001:sink6_channel + wire rsp_xbar_demux_006_src0_ready; // rsp_xbar_mux_001:sink6_ready -> rsp_xbar_demux_006:src0_ready + wire rsp_xbar_demux_007_src0_endofpacket; // rsp_xbar_demux_007:src0_endofpacket -> rsp_xbar_mux_001:sink7_endofpacket + wire rsp_xbar_demux_007_src0_valid; // rsp_xbar_demux_007:src0_valid -> rsp_xbar_mux_001:sink7_valid + wire rsp_xbar_demux_007_src0_startofpacket; // rsp_xbar_demux_007:src0_startofpacket -> rsp_xbar_mux_001:sink7_startofpacket + wire [95:0] rsp_xbar_demux_007_src0_data; // rsp_xbar_demux_007:src0_data -> rsp_xbar_mux_001:sink7_data + wire [17:0] rsp_xbar_demux_007_src0_channel; // rsp_xbar_demux_007:src0_channel -> rsp_xbar_mux_001:sink7_channel + wire rsp_xbar_demux_007_src0_ready; // rsp_xbar_mux_001:sink7_ready -> rsp_xbar_demux_007:src0_ready + wire rsp_xbar_demux_008_src0_endofpacket; // rsp_xbar_demux_008:src0_endofpacket -> rsp_xbar_mux_001:sink8_endofpacket + wire rsp_xbar_demux_008_src0_valid; // rsp_xbar_demux_008:src0_valid -> rsp_xbar_mux_001:sink8_valid + wire rsp_xbar_demux_008_src0_startofpacket; // rsp_xbar_demux_008:src0_startofpacket -> rsp_xbar_mux_001:sink8_startofpacket + wire [95:0] rsp_xbar_demux_008_src0_data; // rsp_xbar_demux_008:src0_data -> rsp_xbar_mux_001:sink8_data + wire [17:0] rsp_xbar_demux_008_src0_channel; // rsp_xbar_demux_008:src0_channel -> rsp_xbar_mux_001:sink8_channel + wire rsp_xbar_demux_008_src0_ready; // rsp_xbar_mux_001:sink8_ready -> rsp_xbar_demux_008:src0_ready + wire rsp_xbar_demux_009_src0_endofpacket; // rsp_xbar_demux_009:src0_endofpacket -> rsp_xbar_mux_001:sink9_endofpacket + wire rsp_xbar_demux_009_src0_valid; // rsp_xbar_demux_009:src0_valid -> rsp_xbar_mux_001:sink9_valid + wire rsp_xbar_demux_009_src0_startofpacket; // rsp_xbar_demux_009:src0_startofpacket -> rsp_xbar_mux_001:sink9_startofpacket + wire [95:0] rsp_xbar_demux_009_src0_data; // rsp_xbar_demux_009:src0_data -> rsp_xbar_mux_001:sink9_data + wire [17:0] rsp_xbar_demux_009_src0_channel; // rsp_xbar_demux_009:src0_channel -> rsp_xbar_mux_001:sink9_channel + wire rsp_xbar_demux_009_src0_ready; // rsp_xbar_mux_001:sink9_ready -> rsp_xbar_demux_009:src0_ready + wire rsp_xbar_demux_010_src0_endofpacket; // rsp_xbar_demux_010:src0_endofpacket -> rsp_xbar_mux_001:sink10_endofpacket + wire rsp_xbar_demux_010_src0_valid; // rsp_xbar_demux_010:src0_valid -> rsp_xbar_mux_001:sink10_valid + wire rsp_xbar_demux_010_src0_startofpacket; // rsp_xbar_demux_010:src0_startofpacket -> rsp_xbar_mux_001:sink10_startofpacket + wire [95:0] rsp_xbar_demux_010_src0_data; // rsp_xbar_demux_010:src0_data -> rsp_xbar_mux_001:sink10_data + wire [17:0] rsp_xbar_demux_010_src0_channel; // rsp_xbar_demux_010:src0_channel -> rsp_xbar_mux_001:sink10_channel + wire rsp_xbar_demux_010_src0_ready; // rsp_xbar_mux_001:sink10_ready -> rsp_xbar_demux_010:src0_ready + wire rsp_xbar_demux_011_src0_endofpacket; // rsp_xbar_demux_011:src0_endofpacket -> rsp_xbar_mux_001:sink11_endofpacket + wire rsp_xbar_demux_011_src0_valid; // rsp_xbar_demux_011:src0_valid -> rsp_xbar_mux_001:sink11_valid + wire rsp_xbar_demux_011_src0_startofpacket; // rsp_xbar_demux_011:src0_startofpacket -> rsp_xbar_mux_001:sink11_startofpacket + wire [95:0] rsp_xbar_demux_011_src0_data; // rsp_xbar_demux_011:src0_data -> rsp_xbar_mux_001:sink11_data + wire [17:0] rsp_xbar_demux_011_src0_channel; // rsp_xbar_demux_011:src0_channel -> rsp_xbar_mux_001:sink11_channel + wire rsp_xbar_demux_011_src0_ready; // rsp_xbar_mux_001:sink11_ready -> rsp_xbar_demux_011:src0_ready + wire rsp_xbar_demux_012_src0_endofpacket; // rsp_xbar_demux_012:src0_endofpacket -> rsp_xbar_mux_001:sink12_endofpacket + wire rsp_xbar_demux_012_src0_valid; // rsp_xbar_demux_012:src0_valid -> rsp_xbar_mux_001:sink12_valid + wire rsp_xbar_demux_012_src0_startofpacket; // rsp_xbar_demux_012:src0_startofpacket -> rsp_xbar_mux_001:sink12_startofpacket + wire [95:0] rsp_xbar_demux_012_src0_data; // rsp_xbar_demux_012:src0_data -> rsp_xbar_mux_001:sink12_data + wire [17:0] rsp_xbar_demux_012_src0_channel; // rsp_xbar_demux_012:src0_channel -> rsp_xbar_mux_001:sink12_channel + wire rsp_xbar_demux_012_src0_ready; // rsp_xbar_mux_001:sink12_ready -> rsp_xbar_demux_012:src0_ready + wire rsp_xbar_demux_013_src0_endofpacket; // rsp_xbar_demux_013:src0_endofpacket -> rsp_xbar_mux_001:sink13_endofpacket + wire rsp_xbar_demux_013_src0_valid; // rsp_xbar_demux_013:src0_valid -> rsp_xbar_mux_001:sink13_valid + wire rsp_xbar_demux_013_src0_startofpacket; // rsp_xbar_demux_013:src0_startofpacket -> rsp_xbar_mux_001:sink13_startofpacket + wire [95:0] rsp_xbar_demux_013_src0_data; // rsp_xbar_demux_013:src0_data -> rsp_xbar_mux_001:sink13_data + wire [17:0] rsp_xbar_demux_013_src0_channel; // rsp_xbar_demux_013:src0_channel -> rsp_xbar_mux_001:sink13_channel + wire rsp_xbar_demux_013_src0_ready; // rsp_xbar_mux_001:sink13_ready -> rsp_xbar_demux_013:src0_ready + wire rsp_xbar_demux_014_src0_endofpacket; // rsp_xbar_demux_014:src0_endofpacket -> rsp_xbar_mux_001:sink14_endofpacket + wire rsp_xbar_demux_014_src0_valid; // rsp_xbar_demux_014:src0_valid -> rsp_xbar_mux_001:sink14_valid + wire rsp_xbar_demux_014_src0_startofpacket; // rsp_xbar_demux_014:src0_startofpacket -> rsp_xbar_mux_001:sink14_startofpacket + wire [95:0] rsp_xbar_demux_014_src0_data; // rsp_xbar_demux_014:src0_data -> rsp_xbar_mux_001:sink14_data + wire [17:0] rsp_xbar_demux_014_src0_channel; // rsp_xbar_demux_014:src0_channel -> rsp_xbar_mux_001:sink14_channel + wire rsp_xbar_demux_014_src0_ready; // rsp_xbar_mux_001:sink14_ready -> rsp_xbar_demux_014:src0_ready + wire rsp_xbar_demux_015_src0_endofpacket; // rsp_xbar_demux_015:src0_endofpacket -> rsp_xbar_mux_001:sink15_endofpacket + wire rsp_xbar_demux_015_src0_valid; // rsp_xbar_demux_015:src0_valid -> rsp_xbar_mux_001:sink15_valid + wire rsp_xbar_demux_015_src0_startofpacket; // rsp_xbar_demux_015:src0_startofpacket -> rsp_xbar_mux_001:sink15_startofpacket + wire [95:0] rsp_xbar_demux_015_src0_data; // rsp_xbar_demux_015:src0_data -> rsp_xbar_mux_001:sink15_data + wire [17:0] rsp_xbar_demux_015_src0_channel; // rsp_xbar_demux_015:src0_channel -> rsp_xbar_mux_001:sink15_channel + wire rsp_xbar_demux_015_src0_ready; // rsp_xbar_mux_001:sink15_ready -> rsp_xbar_demux_015:src0_ready + wire rsp_xbar_demux_016_src0_endofpacket; // rsp_xbar_demux_016:src0_endofpacket -> rsp_xbar_mux_001:sink16_endofpacket + wire rsp_xbar_demux_016_src0_valid; // rsp_xbar_demux_016:src0_valid -> rsp_xbar_mux_001:sink16_valid + wire rsp_xbar_demux_016_src0_startofpacket; // rsp_xbar_demux_016:src0_startofpacket -> rsp_xbar_mux_001:sink16_startofpacket + wire [95:0] rsp_xbar_demux_016_src0_data; // rsp_xbar_demux_016:src0_data -> rsp_xbar_mux_001:sink16_data + wire [17:0] rsp_xbar_demux_016_src0_channel; // rsp_xbar_demux_016:src0_channel -> rsp_xbar_mux_001:sink16_channel + wire rsp_xbar_demux_016_src0_ready; // rsp_xbar_mux_001:sink16_ready -> rsp_xbar_demux_016:src0_ready + wire rsp_xbar_demux_017_src0_endofpacket; // rsp_xbar_demux_017:src0_endofpacket -> rsp_xbar_mux_001:sink17_endofpacket + wire rsp_xbar_demux_017_src0_valid; // rsp_xbar_demux_017:src0_valid -> rsp_xbar_mux_001:sink17_valid + wire rsp_xbar_demux_017_src0_startofpacket; // rsp_xbar_demux_017:src0_startofpacket -> rsp_xbar_mux_001:sink17_startofpacket + wire [95:0] rsp_xbar_demux_017_src0_data; // rsp_xbar_demux_017:src0_data -> rsp_xbar_mux_001:sink17_data + wire [17:0] rsp_xbar_demux_017_src0_channel; // rsp_xbar_demux_017:src0_channel -> rsp_xbar_mux_001:sink17_channel + wire rsp_xbar_demux_017_src0_ready; // rsp_xbar_mux_001:sink17_ready -> rsp_xbar_demux_017:src0_ready + wire addr_router_src_endofpacket; // addr_router:src_endofpacket -> cmd_xbar_demux:sink_endofpacket + wire addr_router_src_valid; // addr_router:src_valid -> cmd_xbar_demux:sink_valid + wire addr_router_src_startofpacket; // addr_router:src_startofpacket -> cmd_xbar_demux:sink_startofpacket + wire [95:0] addr_router_src_data; // addr_router:src_data -> cmd_xbar_demux:sink_data + wire [17:0] addr_router_src_channel; // addr_router:src_channel -> cmd_xbar_demux:sink_channel + wire addr_router_src_ready; // cmd_xbar_demux:sink_ready -> addr_router:src_ready + wire rsp_xbar_mux_src_endofpacket; // rsp_xbar_mux:src_endofpacket -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_endofpacket + wire rsp_xbar_mux_src_valid; // rsp_xbar_mux:src_valid -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_valid + wire rsp_xbar_mux_src_startofpacket; // rsp_xbar_mux:src_startofpacket -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_startofpacket + wire [95:0] rsp_xbar_mux_src_data; // rsp_xbar_mux:src_data -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_data + wire [17:0] rsp_xbar_mux_src_channel; // rsp_xbar_mux:src_channel -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_channel + wire rsp_xbar_mux_src_ready; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux:src_ready + wire addr_router_001_src_endofpacket; // addr_router_001:src_endofpacket -> cmd_xbar_demux_001:sink_endofpacket + wire addr_router_001_src_valid; // addr_router_001:src_valid -> cmd_xbar_demux_001:sink_valid + wire addr_router_001_src_startofpacket; // addr_router_001:src_startofpacket -> cmd_xbar_demux_001:sink_startofpacket + wire [95:0] addr_router_001_src_data; // addr_router_001:src_data -> cmd_xbar_demux_001:sink_data + wire [17:0] addr_router_001_src_channel; // addr_router_001:src_channel -> cmd_xbar_demux_001:sink_channel + wire addr_router_001_src_ready; // cmd_xbar_demux_001:sink_ready -> addr_router_001:src_ready + wire rsp_xbar_mux_001_src_endofpacket; // rsp_xbar_mux_001:src_endofpacket -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_endofpacket + wire rsp_xbar_mux_001_src_valid; // rsp_xbar_mux_001:src_valid -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_valid + wire rsp_xbar_mux_001_src_startofpacket; // rsp_xbar_mux_001:src_startofpacket -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_startofpacket + wire [95:0] rsp_xbar_mux_001_src_data; // rsp_xbar_mux_001:src_data -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_data + wire [17:0] rsp_xbar_mux_001_src_channel; // rsp_xbar_mux_001:src_channel -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_channel + wire rsp_xbar_mux_001_src_ready; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux_001:src_ready + wire cmd_xbar_mux_src_endofpacket; // cmd_xbar_mux:src_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_mux_src_valid; // cmd_xbar_mux:src_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_mux_src_startofpacket; // cmd_xbar_mux:src_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_mux_src_data; // cmd_xbar_mux:src_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_mux_src_channel; // cmd_xbar_mux:src_channel -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_mux_src_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux:src_ready + wire id_router_src_endofpacket; // id_router:src_endofpacket -> rsp_xbar_demux:sink_endofpacket + wire id_router_src_valid; // id_router:src_valid -> rsp_xbar_demux:sink_valid + wire id_router_src_startofpacket; // id_router:src_startofpacket -> rsp_xbar_demux:sink_startofpacket + wire [95:0] id_router_src_data; // id_router:src_data -> rsp_xbar_demux:sink_data + wire [17:0] id_router_src_channel; // id_router:src_channel -> rsp_xbar_demux:sink_channel + wire id_router_src_ready; // rsp_xbar_demux:sink_ready -> id_router:src_ready + wire cmd_xbar_mux_001_src_endofpacket; // cmd_xbar_mux_001:src_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_mux_001_src_valid; // cmd_xbar_mux_001:src_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_mux_001_src_startofpacket; // cmd_xbar_mux_001:src_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_mux_001_src_data; // cmd_xbar_mux_001:src_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_mux_001_src_channel; // cmd_xbar_mux_001:src_channel -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_mux_001_src_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux_001:src_ready + wire id_router_001_src_endofpacket; // id_router_001:src_endofpacket -> rsp_xbar_demux_001:sink_endofpacket + wire id_router_001_src_valid; // id_router_001:src_valid -> rsp_xbar_demux_001:sink_valid + wire id_router_001_src_startofpacket; // id_router_001:src_startofpacket -> rsp_xbar_demux_001:sink_startofpacket + wire [95:0] id_router_001_src_data; // id_router_001:src_data -> rsp_xbar_demux_001:sink_data + wire [17:0] id_router_001_src_channel; // id_router_001:src_channel -> rsp_xbar_demux_001:sink_channel + wire id_router_001_src_ready; // rsp_xbar_demux_001:sink_ready -> id_router_001:src_ready + wire cmd_xbar_demux_001_src2_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src2_ready + wire id_router_002_src_endofpacket; // id_router_002:src_endofpacket -> rsp_xbar_demux_002:sink_endofpacket + wire id_router_002_src_valid; // id_router_002:src_valid -> rsp_xbar_demux_002:sink_valid + wire id_router_002_src_startofpacket; // id_router_002:src_startofpacket -> rsp_xbar_demux_002:sink_startofpacket + wire [95:0] id_router_002_src_data; // id_router_002:src_data -> rsp_xbar_demux_002:sink_data + wire [17:0] id_router_002_src_channel; // id_router_002:src_channel -> rsp_xbar_demux_002:sink_channel + wire id_router_002_src_ready; // rsp_xbar_demux_002:sink_ready -> id_router_002:src_ready + wire cmd_xbar_demux_001_src3_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src3_ready + wire id_router_003_src_endofpacket; // id_router_003:src_endofpacket -> rsp_xbar_demux_003:sink_endofpacket + wire id_router_003_src_valid; // id_router_003:src_valid -> rsp_xbar_demux_003:sink_valid + wire id_router_003_src_startofpacket; // id_router_003:src_startofpacket -> rsp_xbar_demux_003:sink_startofpacket + wire [95:0] id_router_003_src_data; // id_router_003:src_data -> rsp_xbar_demux_003:sink_data + wire [17:0] id_router_003_src_channel; // id_router_003:src_channel -> rsp_xbar_demux_003:sink_channel + wire id_router_003_src_ready; // rsp_xbar_demux_003:sink_ready -> id_router_003:src_ready + wire cmd_xbar_demux_001_src4_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src4_ready + wire id_router_004_src_endofpacket; // id_router_004:src_endofpacket -> rsp_xbar_demux_004:sink_endofpacket + wire id_router_004_src_valid; // id_router_004:src_valid -> rsp_xbar_demux_004:sink_valid + wire id_router_004_src_startofpacket; // id_router_004:src_startofpacket -> rsp_xbar_demux_004:sink_startofpacket + wire [95:0] id_router_004_src_data; // id_router_004:src_data -> rsp_xbar_demux_004:sink_data + wire [17:0] id_router_004_src_channel; // id_router_004:src_channel -> rsp_xbar_demux_004:sink_channel + wire id_router_004_src_ready; // rsp_xbar_demux_004:sink_ready -> id_router_004:src_ready + wire cmd_xbar_demux_001_src5_ready; // switches_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src5_ready + wire id_router_005_src_endofpacket; // id_router_005:src_endofpacket -> rsp_xbar_demux_005:sink_endofpacket + wire id_router_005_src_valid; // id_router_005:src_valid -> rsp_xbar_demux_005:sink_valid + wire id_router_005_src_startofpacket; // id_router_005:src_startofpacket -> rsp_xbar_demux_005:sink_startofpacket + wire [95:0] id_router_005_src_data; // id_router_005:src_data -> rsp_xbar_demux_005:sink_data + wire [17:0] id_router_005_src_channel; // id_router_005:src_channel -> rsp_xbar_demux_005:sink_channel + wire id_router_005_src_ready; // rsp_xbar_demux_005:sink_ready -> id_router_005:src_ready + wire cmd_xbar_demux_001_src6_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src6_ready + wire id_router_006_src_endofpacket; // id_router_006:src_endofpacket -> rsp_xbar_demux_006:sink_endofpacket + wire id_router_006_src_valid; // id_router_006:src_valid -> rsp_xbar_demux_006:sink_valid + wire id_router_006_src_startofpacket; // id_router_006:src_startofpacket -> rsp_xbar_demux_006:sink_startofpacket + wire [95:0] id_router_006_src_data; // id_router_006:src_data -> rsp_xbar_demux_006:sink_data + wire [17:0] id_router_006_src_channel; // id_router_006:src_channel -> rsp_xbar_demux_006:sink_channel + wire id_router_006_src_ready; // rsp_xbar_demux_006:sink_ready -> id_router_006:src_ready + wire cmd_xbar_demux_001_src7_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src7_ready + wire id_router_007_src_endofpacket; // id_router_007:src_endofpacket -> rsp_xbar_demux_007:sink_endofpacket + wire id_router_007_src_valid; // id_router_007:src_valid -> rsp_xbar_demux_007:sink_valid + wire id_router_007_src_startofpacket; // id_router_007:src_startofpacket -> rsp_xbar_demux_007:sink_startofpacket + wire [95:0] id_router_007_src_data; // id_router_007:src_data -> rsp_xbar_demux_007:sink_data + wire [17:0] id_router_007_src_channel; // id_router_007:src_channel -> rsp_xbar_demux_007:sink_channel + wire id_router_007_src_ready; // rsp_xbar_demux_007:sink_ready -> id_router_007:src_ready + wire cmd_xbar_demux_001_src8_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src8_ready + wire id_router_008_src_endofpacket; // id_router_008:src_endofpacket -> rsp_xbar_demux_008:sink_endofpacket + wire id_router_008_src_valid; // id_router_008:src_valid -> rsp_xbar_demux_008:sink_valid + wire id_router_008_src_startofpacket; // id_router_008:src_startofpacket -> rsp_xbar_demux_008:sink_startofpacket + wire [95:0] id_router_008_src_data; // id_router_008:src_data -> rsp_xbar_demux_008:sink_data + wire [17:0] id_router_008_src_channel; // id_router_008:src_channel -> rsp_xbar_demux_008:sink_channel + wire id_router_008_src_ready; // rsp_xbar_demux_008:sink_ready -> id_router_008:src_ready + wire cmd_xbar_demux_001_src9_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src9_ready + wire id_router_009_src_endofpacket; // id_router_009:src_endofpacket -> rsp_xbar_demux_009:sink_endofpacket + wire id_router_009_src_valid; // id_router_009:src_valid -> rsp_xbar_demux_009:sink_valid + wire id_router_009_src_startofpacket; // id_router_009:src_startofpacket -> rsp_xbar_demux_009:sink_startofpacket + wire [95:0] id_router_009_src_data; // id_router_009:src_data -> rsp_xbar_demux_009:sink_data + wire [17:0] id_router_009_src_channel; // id_router_009:src_channel -> rsp_xbar_demux_009:sink_channel + wire id_router_009_src_ready; // rsp_xbar_demux_009:sink_ready -> id_router_009:src_ready + wire cmd_xbar_demux_001_src10_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src10_ready + wire id_router_010_src_endofpacket; // id_router_010:src_endofpacket -> rsp_xbar_demux_010:sink_endofpacket + wire id_router_010_src_valid; // id_router_010:src_valid -> rsp_xbar_demux_010:sink_valid + wire id_router_010_src_startofpacket; // id_router_010:src_startofpacket -> rsp_xbar_demux_010:sink_startofpacket + wire [95:0] id_router_010_src_data; // id_router_010:src_data -> rsp_xbar_demux_010:sink_data + wire [17:0] id_router_010_src_channel; // id_router_010:src_channel -> rsp_xbar_demux_010:sink_channel + wire id_router_010_src_ready; // rsp_xbar_demux_010:sink_ready -> id_router_010:src_ready + wire cmd_xbar_demux_001_src11_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src11_ready + wire id_router_011_src_endofpacket; // id_router_011:src_endofpacket -> rsp_xbar_demux_011:sink_endofpacket + wire id_router_011_src_valid; // id_router_011:src_valid -> rsp_xbar_demux_011:sink_valid + wire id_router_011_src_startofpacket; // id_router_011:src_startofpacket -> rsp_xbar_demux_011:sink_startofpacket + wire [95:0] id_router_011_src_data; // id_router_011:src_data -> rsp_xbar_demux_011:sink_data + wire [17:0] id_router_011_src_channel; // id_router_011:src_channel -> rsp_xbar_demux_011:sink_channel + wire id_router_011_src_ready; // rsp_xbar_demux_011:sink_ready -> id_router_011:src_ready + wire cmd_xbar_demux_001_src12_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src12_ready + wire id_router_012_src_endofpacket; // id_router_012:src_endofpacket -> rsp_xbar_demux_012:sink_endofpacket + wire id_router_012_src_valid; // id_router_012:src_valid -> rsp_xbar_demux_012:sink_valid + wire id_router_012_src_startofpacket; // id_router_012:src_startofpacket -> rsp_xbar_demux_012:sink_startofpacket + wire [95:0] id_router_012_src_data; // id_router_012:src_data -> rsp_xbar_demux_012:sink_data + wire [17:0] id_router_012_src_channel; // id_router_012:src_channel -> rsp_xbar_demux_012:sink_channel + wire id_router_012_src_ready; // rsp_xbar_demux_012:sink_ready -> id_router_012:src_ready + wire cmd_xbar_demux_001_src13_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src13_ready + wire id_router_013_src_endofpacket; // id_router_013:src_endofpacket -> rsp_xbar_demux_013:sink_endofpacket + wire id_router_013_src_valid; // id_router_013:src_valid -> rsp_xbar_demux_013:sink_valid + wire id_router_013_src_startofpacket; // id_router_013:src_startofpacket -> rsp_xbar_demux_013:sink_startofpacket + wire [95:0] id_router_013_src_data; // id_router_013:src_data -> rsp_xbar_demux_013:sink_data + wire [17:0] id_router_013_src_channel; // id_router_013:src_channel -> rsp_xbar_demux_013:sink_channel + wire id_router_013_src_ready; // rsp_xbar_demux_013:sink_ready -> id_router_013:src_ready + wire cmd_xbar_demux_001_src14_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src14_ready + wire id_router_014_src_endofpacket; // id_router_014:src_endofpacket -> rsp_xbar_demux_014:sink_endofpacket + wire id_router_014_src_valid; // id_router_014:src_valid -> rsp_xbar_demux_014:sink_valid + wire id_router_014_src_startofpacket; // id_router_014:src_startofpacket -> rsp_xbar_demux_014:sink_startofpacket + wire [95:0] id_router_014_src_data; // id_router_014:src_data -> rsp_xbar_demux_014:sink_data + wire [17:0] id_router_014_src_channel; // id_router_014:src_channel -> rsp_xbar_demux_014:sink_channel + wire id_router_014_src_ready; // rsp_xbar_demux_014:sink_ready -> id_router_014:src_ready + wire cmd_xbar_demux_001_src15_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src15_ready + wire id_router_015_src_endofpacket; // id_router_015:src_endofpacket -> rsp_xbar_demux_015:sink_endofpacket + wire id_router_015_src_valid; // id_router_015:src_valid -> rsp_xbar_demux_015:sink_valid + wire id_router_015_src_startofpacket; // id_router_015:src_startofpacket -> rsp_xbar_demux_015:sink_startofpacket + wire [95:0] id_router_015_src_data; // id_router_015:src_data -> rsp_xbar_demux_015:sink_data + wire [17:0] id_router_015_src_channel; // id_router_015:src_channel -> rsp_xbar_demux_015:sink_channel + wire id_router_015_src_ready; // rsp_xbar_demux_015:sink_ready -> id_router_015:src_ready + wire cmd_xbar_demux_001_src16_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src16_ready + wire id_router_016_src_endofpacket; // id_router_016:src_endofpacket -> rsp_xbar_demux_016:sink_endofpacket + wire id_router_016_src_valid; // id_router_016:src_valid -> rsp_xbar_demux_016:sink_valid + wire id_router_016_src_startofpacket; // id_router_016:src_startofpacket -> rsp_xbar_demux_016:sink_startofpacket + wire [95:0] id_router_016_src_data; // id_router_016:src_data -> rsp_xbar_demux_016:sink_data + wire [17:0] id_router_016_src_channel; // id_router_016:src_channel -> rsp_xbar_demux_016:sink_channel + wire id_router_016_src_ready; // rsp_xbar_demux_016:sink_ready -> id_router_016:src_ready + wire cmd_xbar_demux_001_src17_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src17_ready + wire id_router_017_src_endofpacket; // id_router_017:src_endofpacket -> rsp_xbar_demux_017:sink_endofpacket + wire id_router_017_src_valid; // id_router_017:src_valid -> rsp_xbar_demux_017:sink_valid + wire id_router_017_src_startofpacket; // id_router_017:src_startofpacket -> rsp_xbar_demux_017:sink_startofpacket + wire [95:0] id_router_017_src_data; // id_router_017:src_data -> rsp_xbar_demux_017:sink_data + wire [17:0] id_router_017_src_channel; // id_router_017:src_channel -> rsp_xbar_demux_017:sink_channel + wire id_router_017_src_ready; // rsp_xbar_demux_017:sink_ready -> id_router_017:src_ready + wire irq_mapper_receiver0_irq; // jtag_uart:av_irq -> irq_mapper:receiver0_irq + wire [31:0] nios2_processor_d_irq_irq; // irq_mapper:sender_irq -> nios2_processor:d_irq + + nios_system_nios2_processor nios2_processor ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset_n.reset_n + .d_address (nios2_processor_data_master_address), // data_master.address + .d_byteenable (nios2_processor_data_master_byteenable), // .byteenable + .d_read (nios2_processor_data_master_read), // .read + .d_readdata (nios2_processor_data_master_readdata), // .readdata + .d_waitrequest (nios2_processor_data_master_waitrequest), // .waitrequest + .d_write (nios2_processor_data_master_write), // .write + .d_writedata (nios2_processor_data_master_writedata), // .writedata + .jtag_debug_module_debugaccess_to_roms (nios2_processor_data_master_debugaccess), // .debugaccess + .i_address (nios2_processor_instruction_master_address), // instruction_master.address + .i_read (nios2_processor_instruction_master_read), // .read + .i_readdata (nios2_processor_instruction_master_readdata), // .readdata + .i_waitrequest (nios2_processor_instruction_master_waitrequest), // .waitrequest + .d_irq (nios2_processor_d_irq_irq), // d_irq.irq + .jtag_debug_module_resetrequest (nios2_processor_jtag_debug_module_reset_reset), // jtag_debug_module_reset.reset + .jtag_debug_module_address (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address), // jtag_debug_module.address + .jtag_debug_module_byteenable (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable + .jtag_debug_module_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess + .jtag_debug_module_read (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read), // .read + .jtag_debug_module_readdata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata + .jtag_debug_module_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .jtag_debug_module_write (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write + .jtag_debug_module_writedata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata + .no_ci_readra () // custom_instruction_master.readra + ); + + nios_system_onchip_memory onchip_memory ( + .clk (clk_clk), // clk1.clk + .address (onchip_memory_s1_translator_avalon_anti_slave_0_address), // s1.address + .clken (onchip_memory_s1_translator_avalon_anti_slave_0_clken), // .clken + .chipselect (onchip_memory_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .write (onchip_memory_s1_translator_avalon_anti_slave_0_write), // .write + .readdata (onchip_memory_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .writedata (onchip_memory_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .byteenable (onchip_memory_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable + .reset (rst_controller_reset_out_reset), // reset1.reset + .reset_req (rst_controller_reset_out_reset_req) // .reset_req + ); + + nios_system_jtag_uart jtag_uart ( + .clk (clk_clk), // clk.clk + .rst_n (~rst_controller_reset_out_reset), // reset.reset_n + .av_chipselect (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // avalon_jtag_slave.chipselect + .av_address (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // .address + .av_read_n (~jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read_n + .av_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .av_write_n (~jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write_n + .av_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .av_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .av_irq (irq_mapper_receiver0_irq) // irq.irq + ); + + nios_system_LEDs leds ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (leds_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~leds_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (leds_export) // external_connection.export + ); + + nios_system_LEDRs ledrs ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (ledrs_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~ledrs_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (ledrs_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (ledrs_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (ledrs_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (ledrs_export) // external_connection.export + ); + + nios_system_switches switches ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (switches_s1_translator_avalon_anti_slave_0_address), // s1.address + .readdata (switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .in_port (switches_export) // external_connection.export + ); + + nios_system_push_switches push_switches ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (push_switches_s1_translator_avalon_anti_slave_0_address), // s1.address + .readdata (push_switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .in_port (push_switches_export) // external_connection.export + ); + + nios_system_hex0 hex0 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex0_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex0_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex0_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex0_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex0_export) // external_connection.export + ); + + nios_system_hex0 hex1 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex1_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex1_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex1_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex1_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex1_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex1_export) // external_connection.export + ); + + nios_system_hex0 hex2 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex2_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex2_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex2_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex2_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex2_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex2_export) // external_connection.export + ); + + nios_system_hex0 hex3 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex3_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex3_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex3_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex3_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex3_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex3_export) // external_connection.export + ); + + nios_system_hex0 hex4 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex4_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex4_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex4_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex4_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex4_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex4_export) // external_connection.export + ); + + nios_system_hex0 hex5 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex5_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex5_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex5_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex5_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex5_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex5_export) // external_connection.export + ); + + nios_system_hex0 hex6 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex6_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex6_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex6_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex6_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex6_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex6_export) // external_connection.export + ); + + nios_system_hex0 hex7 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex7_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex7_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex7_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex7_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex7_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex7_export) // external_connection.export + ); + + nios_system_lcd_16207_0 lcd_16207_0 ( + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .clk (clk_clk), // clk.clk + .begintransfer (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer), // control_slave.begintransfer + .read (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read), // .read + .write (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write), // .write + .readdata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .writedata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .address (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address), // .address + .LCD_RS (lcd_16207_0_RS), // external.export + .LCD_RW (lcd_16207_0_RW), // .export + .LCD_data (lcd_16207_0_data), // .export + .LCD_E (lcd_16207_0_E) // .export + ); + + nios_system_lcd_on lcd_on ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (lcd_on_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~lcd_on_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (lcd_on_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (lcd_on_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (lcd_on_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (lcd_on_export) // external_connection.export + ); + + nios_system_lcd_on lcd_blon ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (lcd_blon_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~lcd_blon_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (lcd_blon_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (lcd_blon_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (lcd_blon_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (lcd_blon_export) // external_connection.export + ); + + altera_merlin_master_translator #( + .AV_ADDRESS_W (19), + .AV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .USE_READ (1), + .USE_WRITE (0), + .USE_BEGINBURSTTRANSFER (0), + .USE_BEGINTRANSFER (0), + .USE_CHIPSELECT (0), + .USE_BURSTCOUNT (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (1), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_LINEWRAPBURSTS (1), + .AV_REGISTERINCOMINGSIGNALS (0) + ) nios2_processor_instruction_master_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (nios2_processor_instruction_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address + .uav_burstcount (nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .uav_read (nios2_processor_instruction_master_translator_avalon_universal_master_0_read), // .read + .uav_write (nios2_processor_instruction_master_translator_avalon_universal_master_0_write), // .write + .uav_waitrequest (nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .uav_readdatavalid (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .uav_byteenable (nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .uav_readdata (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata + .uav_writedata (nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata + .uav_lock (nios2_processor_instruction_master_translator_avalon_universal_master_0_lock), // .lock + .uav_debugaccess (nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_address (nios2_processor_instruction_master_address), // avalon_anti_master_0.address + .av_waitrequest (nios2_processor_instruction_master_waitrequest), // .waitrequest + .av_read (nios2_processor_instruction_master_read), // .read + .av_readdata (nios2_processor_instruction_master_readdata), // .readdata + .av_burstcount (1'b1), // (terminated) + .av_byteenable (4'b1111), // (terminated) + .av_beginbursttransfer (1'b0), // (terminated) + .av_begintransfer (1'b0), // (terminated) + .av_chipselect (1'b0), // (terminated) + .av_readdatavalid (), // (terminated) + .av_write (1'b0), // (terminated) + .av_writedata (32'b00000000000000000000000000000000), // (terminated) + .av_lock (1'b0), // (terminated) + .av_debugaccess (1'b0), // (terminated) + .uav_clken (), // (terminated) + .av_clken (1'b1), // (terminated) + .uav_response (2'b00), // (terminated) + .av_response (), // (terminated) + .uav_writeresponserequest (), // (terminated) + .uav_writeresponsevalid (1'b0), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_master_translator #( + .AV_ADDRESS_W (19), + .AV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .USE_READ (1), + .USE_WRITE (1), + .USE_BEGINBURSTTRANSFER (0), + .USE_BEGINTRANSFER (0), + .USE_CHIPSELECT (0), + .USE_BURSTCOUNT (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (1), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_LINEWRAPBURSTS (0), + .AV_REGISTERINCOMINGSIGNALS (1) + ) nios2_processor_data_master_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (nios2_processor_data_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address + .uav_burstcount (nios2_processor_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .uav_read (nios2_processor_data_master_translator_avalon_universal_master_0_read), // .read + .uav_write (nios2_processor_data_master_translator_avalon_universal_master_0_write), // .write + .uav_waitrequest (nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .uav_readdatavalid (nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .uav_byteenable (nios2_processor_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .uav_readdata (nios2_processor_data_master_translator_avalon_universal_master_0_readdata), // .readdata + .uav_writedata (nios2_processor_data_master_translator_avalon_universal_master_0_writedata), // .writedata + .uav_lock (nios2_processor_data_master_translator_avalon_universal_master_0_lock), // .lock + .uav_debugaccess (nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_address (nios2_processor_data_master_address), // avalon_anti_master_0.address + .av_waitrequest (nios2_processor_data_master_waitrequest), // .waitrequest + .av_byteenable (nios2_processor_data_master_byteenable), // .byteenable + .av_read (nios2_processor_data_master_read), // .read + .av_readdata (nios2_processor_data_master_readdata), // .readdata + .av_write (nios2_processor_data_master_write), // .write + .av_writedata (nios2_processor_data_master_writedata), // .writedata + .av_debugaccess (nios2_processor_data_master_debugaccess), // .debugaccess + .av_burstcount (1'b1), // (terminated) + .av_beginbursttransfer (1'b0), // (terminated) + .av_begintransfer (1'b0), // (terminated) + .av_chipselect (1'b0), // (terminated) + .av_readdatavalid (), // (terminated) + .av_lock (1'b0), // (terminated) + .uav_clken (), // (terminated) + .av_clken (1'b1), // (terminated) + .uav_response (2'b00), // (terminated) + .av_response (), // (terminated) + .uav_writeresponserequest (), // (terminated) + .uav_writeresponsevalid (1'b0), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (9), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) nios2_processor_jtag_debug_module_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write + .av_read (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read), // .read + .av_readdata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata + .av_byteenable (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable + .av_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .av_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (16), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (1), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (0), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) onchip_memory_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (onchip_memory_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (onchip_memory_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (onchip_memory_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (onchip_memory_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_byteenable (onchip_memory_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable + .av_chipselect (onchip_memory_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_clken (onchip_memory_s1_translator_avalon_anti_slave_0_clken), // .clken + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) leds_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (leds_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (leds_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (1), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) jtag_uart_avalon_jtag_slave_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write + .av_read (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read + .av_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .av_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .av_chipselect (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) ledrs_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (ledrs_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (ledrs_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (ledrs_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (ledrs_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (ledrs_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) switches_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (switches_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_readdata (switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_write (), // (terminated) + .av_read (), // (terminated) + .av_writedata (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) push_switches_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (push_switches_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_readdata (push_switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_write (), // (terminated) + .av_read (), // (terminated) + .av_writedata (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex0_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex0_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex0_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex0_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex1_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex1_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex1_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex1_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex1_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex1_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex1_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex1_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex1_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex2_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex2_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex2_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex2_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex2_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex2_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex2_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex2_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex2_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex3_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex3_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex3_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex3_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex3_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex3_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex3_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex3_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex3_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex4_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex4_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex4_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex4_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex4_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex4_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex4_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex4_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex4_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex5_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex5_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex5_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex5_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex5_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex5_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex5_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex5_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex5_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex6_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex6_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex6_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex6_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex6_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex6_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex6_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex6_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex6_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex7_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex7_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex7_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex7_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex7_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex7_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex7_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex7_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex7_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (8), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (13), + .AV_WRITE_WAIT_CYCLES (13), + .AV_SETUP_WAIT_CYCLES (13), + .AV_DATA_HOLD_CYCLES (13) + ) lcd_16207_0_control_slave_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write), // .write + .av_read (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read), // .read + .av_readdata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .av_begintransfer (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer), // .begintransfer + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) lcd_on_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (lcd_on_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (lcd_on_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (lcd_on_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (lcd_on_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (lcd_on_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) lcd_blon_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (lcd_blon_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (lcd_blon_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (lcd_blon_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (lcd_blon_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (lcd_blon_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_master_agent #( + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_BEGIN_BURST (74), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .PKT_BURST_TYPE_H (71), + .PKT_BURST_TYPE_L (70), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_TRANS_EXCLUSIVE (60), + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_THREAD_ID_H (86), + .PKT_THREAD_ID_L (86), + .PKT_CACHE_H (93), + .PKT_CACHE_L (90), + .PKT_DATA_SIDEBAND_H (73), + .PKT_DATA_SIDEBAND_L (73), + .PKT_QOS_H (75), + .PKT_QOS_L (75), + .PKT_ADDR_SIDEBAND_H (72), + .PKT_ADDR_SIDEBAND_L (72), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .ST_DATA_W (96), + .ST_CHANNEL_W (18), + .AV_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_RSP (0), + .ID (1), + .BURSTWRAP_VALUE (3), + .CACHE_VALUE (0), + .SECURE_ACCESS_BIT (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) nios2_processor_instruction_master_translator_avalon_universal_master_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .av_address (nios2_processor_instruction_master_translator_avalon_universal_master_0_address), // av.address + .av_write (nios2_processor_instruction_master_translator_avalon_universal_master_0_write), // .write + .av_read (nios2_processor_instruction_master_translator_avalon_universal_master_0_read), // .read + .av_writedata (nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata + .av_readdata (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata + .av_waitrequest (nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .av_readdatavalid (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .av_byteenable (nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .av_burstcount (nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .av_debugaccess (nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_lock (nios2_processor_instruction_master_translator_avalon_universal_master_0_lock), // .lock + .cp_valid (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid + .cp_data (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .cp_startofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .cp_endofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .cp_ready (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready + .rp_valid (rsp_xbar_mux_src_valid), // rp.valid + .rp_data (rsp_xbar_mux_src_data), // .data + .rp_channel (rsp_xbar_mux_src_channel), // .channel + .rp_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket + .rp_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket + .rp_ready (rsp_xbar_mux_src_ready), // .ready + .av_response (), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_master_agent #( + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_BEGIN_BURST (74), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .PKT_BURST_TYPE_H (71), + .PKT_BURST_TYPE_L (70), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_TRANS_EXCLUSIVE (60), + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_THREAD_ID_H (86), + .PKT_THREAD_ID_L (86), + .PKT_CACHE_H (93), + .PKT_CACHE_L (90), + .PKT_DATA_SIDEBAND_H (73), + .PKT_DATA_SIDEBAND_L (73), + .PKT_QOS_H (75), + .PKT_QOS_L (75), + .PKT_ADDR_SIDEBAND_H (72), + .PKT_ADDR_SIDEBAND_L (72), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .ST_DATA_W (96), + .ST_CHANNEL_W (18), + .AV_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_RSP (0), + .ID (0), + .BURSTWRAP_VALUE (7), + .CACHE_VALUE (0), + .SECURE_ACCESS_BIT (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) nios2_processor_data_master_translator_avalon_universal_master_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .av_address (nios2_processor_data_master_translator_avalon_universal_master_0_address), // av.address + .av_write (nios2_processor_data_master_translator_avalon_universal_master_0_write), // .write + .av_read (nios2_processor_data_master_translator_avalon_universal_master_0_read), // .read + .av_writedata (nios2_processor_data_master_translator_avalon_universal_master_0_writedata), // .writedata + .av_readdata (nios2_processor_data_master_translator_avalon_universal_master_0_readdata), // .readdata + .av_waitrequest (nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .av_readdatavalid (nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .av_byteenable (nios2_processor_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .av_burstcount (nios2_processor_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .av_debugaccess (nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_lock (nios2_processor_data_master_translator_avalon_universal_master_0_lock), // .lock + .cp_valid (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid + .cp_data (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .cp_startofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .cp_endofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .cp_ready (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready + .rp_valid (rsp_xbar_mux_001_src_valid), // rp.valid + .rp_data (rsp_xbar_mux_001_src_data), // .data + .rp_channel (rsp_xbar_mux_001_src_channel), // .channel + .rp_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket + .rp_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket + .rp_ready (rsp_xbar_mux_001_src_ready), // .ready + .av_response (), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_mux_src_ready), // cp.ready + .cp_valid (cmd_xbar_mux_src_valid), // .valid + .cp_data (cmd_xbar_mux_src_data), // .data + .cp_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_mux_src_channel), // .channel + .rf_sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) onchip_memory_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_mux_001_src_ready), // cp.ready + .cp_valid (cmd_xbar_mux_001_src_valid), // .valid + .cp_data (cmd_xbar_mux_001_src_data), // .data + .cp_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_mux_001_src_channel), // .channel + .rf_sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) leds_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src2_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src2_valid), // .valid + .cp_data (cmd_xbar_demux_001_src2_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src2_channel), // .channel + .rf_sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src3_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src3_valid), // .valid + .cp_data (cmd_xbar_demux_001_src3_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src3_channel), // .channel + .rf_sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) ledrs_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src4_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src4_valid), // .valid + .cp_data (cmd_xbar_demux_001_src4_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src4_channel), // .channel + .rf_sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) switches_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src5_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src5_valid), // .valid + .cp_data (cmd_xbar_demux_001_src5_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src5_channel), // .channel + .rf_sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) push_switches_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src6_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src6_valid), // .valid + .cp_data (cmd_xbar_demux_001_src6_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src6_channel), // .channel + .rf_sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex0_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src7_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src7_valid), // .valid + .cp_data (cmd_xbar_demux_001_src7_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src7_channel), // .channel + .rf_sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex1_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex1_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex1_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex1_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex1_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src8_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src8_valid), // .valid + .cp_data (cmd_xbar_demux_001_src8_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src8_channel), // .channel + .rf_sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex2_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex2_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex2_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex2_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex2_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src9_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src9_valid), // .valid + .cp_data (cmd_xbar_demux_001_src9_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src9_channel), // .channel + .rf_sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex3_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex3_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex3_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex3_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex3_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src10_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src10_valid), // .valid + .cp_data (cmd_xbar_demux_001_src10_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src10_channel), // .channel + .rf_sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex4_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex4_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex4_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex4_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex4_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src11_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src11_valid), // .valid + .cp_data (cmd_xbar_demux_001_src11_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src11_channel), // .channel + .rf_sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex5_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex5_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex5_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex5_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex5_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src12_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src12_valid), // .valid + .cp_data (cmd_xbar_demux_001_src12_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src12_channel), // .channel + .rf_sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex6_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex6_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex6_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex6_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex6_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src13_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src13_valid), // .valid + .cp_data (cmd_xbar_demux_001_src13_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src13_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src13_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src13_channel), // .channel + .rf_sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex7_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex7_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex7_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex7_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex7_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src14_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src14_valid), // .valid + .cp_data (cmd_xbar_demux_001_src14_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src14_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src14_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src14_channel), // .channel + .rf_sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src15_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src15_valid), // .valid + .cp_data (cmd_xbar_demux_001_src15_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src15_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src15_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src15_channel), // .channel + .rf_sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) lcd_on_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src16_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src16_valid), // .valid + .cp_data (cmd_xbar_demux_001_src16_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src16_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src16_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src16_channel), // .channel + .rf_sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) lcd_blon_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src17_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src17_valid), // .valid + .cp_data (cmd_xbar_demux_001_src17_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src17_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src17_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src17_channel), // .channel + .rf_sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + nios_system_addr_router addr_router ( + .sink_ready (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready + .sink_valid (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid + .sink_data (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .sink_startofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .sink_endofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (addr_router_src_ready), // src.ready + .src_valid (addr_router_src_valid), // .valid + .src_data (addr_router_src_data), // .data + .src_channel (addr_router_src_channel), // .channel + .src_startofpacket (addr_router_src_startofpacket), // .startofpacket + .src_endofpacket (addr_router_src_endofpacket) // .endofpacket + ); + + nios_system_addr_router_001 addr_router_001 ( + .sink_ready (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready + .sink_valid (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid + .sink_data (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .sink_startofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .sink_endofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (addr_router_001_src_ready), // src.ready + .src_valid (addr_router_001_src_valid), // .valid + .src_data (addr_router_001_src_data), // .data + .src_channel (addr_router_001_src_channel), // .channel + .src_startofpacket (addr_router_001_src_startofpacket), // .startofpacket + .src_endofpacket (addr_router_001_src_endofpacket) // .endofpacket + ); + + nios_system_id_router id_router ( + .sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_src_ready), // src.ready + .src_valid (id_router_src_valid), // .valid + .src_data (id_router_src_data), // .data + .src_channel (id_router_src_channel), // .channel + .src_startofpacket (id_router_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_src_endofpacket) // .endofpacket + ); + + nios_system_id_router id_router_001 ( + .sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_001_src_ready), // src.ready + .src_valid (id_router_001_src_valid), // .valid + .src_data (id_router_001_src_data), // .data + .src_channel (id_router_001_src_channel), // .channel + .src_startofpacket (id_router_001_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_001_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_002 ( + .sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_002_src_ready), // src.ready + .src_valid (id_router_002_src_valid), // .valid + .src_data (id_router_002_src_data), // .data + .src_channel (id_router_002_src_channel), // .channel + .src_startofpacket (id_router_002_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_002_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_003 ( + .sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_003_src_ready), // src.ready + .src_valid (id_router_003_src_valid), // .valid + .src_data (id_router_003_src_data), // .data + .src_channel (id_router_003_src_channel), // .channel + .src_startofpacket (id_router_003_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_003_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_004 ( + .sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_004_src_ready), // src.ready + .src_valid (id_router_004_src_valid), // .valid + .src_data (id_router_004_src_data), // .data + .src_channel (id_router_004_src_channel), // .channel + .src_startofpacket (id_router_004_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_004_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_005 ( + .sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_005_src_ready), // src.ready + .src_valid (id_router_005_src_valid), // .valid + .src_data (id_router_005_src_data), // .data + .src_channel (id_router_005_src_channel), // .channel + .src_startofpacket (id_router_005_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_005_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_006 ( + .sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_006_src_ready), // src.ready + .src_valid (id_router_006_src_valid), // .valid + .src_data (id_router_006_src_data), // .data + .src_channel (id_router_006_src_channel), // .channel + .src_startofpacket (id_router_006_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_006_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_007 ( + .sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_007_src_ready), // src.ready + .src_valid (id_router_007_src_valid), // .valid + .src_data (id_router_007_src_data), // .data + .src_channel (id_router_007_src_channel), // .channel + .src_startofpacket (id_router_007_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_007_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_008 ( + .sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_008_src_ready), // src.ready + .src_valid (id_router_008_src_valid), // .valid + .src_data (id_router_008_src_data), // .data + .src_channel (id_router_008_src_channel), // .channel + .src_startofpacket (id_router_008_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_008_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_009 ( + .sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_009_src_ready), // src.ready + .src_valid (id_router_009_src_valid), // .valid + .src_data (id_router_009_src_data), // .data + .src_channel (id_router_009_src_channel), // .channel + .src_startofpacket (id_router_009_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_009_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_010 ( + .sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_010_src_ready), // src.ready + .src_valid (id_router_010_src_valid), // .valid + .src_data (id_router_010_src_data), // .data + .src_channel (id_router_010_src_channel), // .channel + .src_startofpacket (id_router_010_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_010_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_011 ( + .sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_011_src_ready), // src.ready + .src_valid (id_router_011_src_valid), // .valid + .src_data (id_router_011_src_data), // .data + .src_channel (id_router_011_src_channel), // .channel + .src_startofpacket (id_router_011_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_011_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_012 ( + .sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_012_src_ready), // src.ready + .src_valid (id_router_012_src_valid), // .valid + .src_data (id_router_012_src_data), // .data + .src_channel (id_router_012_src_channel), // .channel + .src_startofpacket (id_router_012_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_012_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_013 ( + .sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_013_src_ready), // src.ready + .src_valid (id_router_013_src_valid), // .valid + .src_data (id_router_013_src_data), // .data + .src_channel (id_router_013_src_channel), // .channel + .src_startofpacket (id_router_013_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_013_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_014 ( + .sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_014_src_ready), // src.ready + .src_valid (id_router_014_src_valid), // .valid + .src_data (id_router_014_src_data), // .data + .src_channel (id_router_014_src_channel), // .channel + .src_startofpacket (id_router_014_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_014_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_015 ( + .sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_015_src_ready), // src.ready + .src_valid (id_router_015_src_valid), // .valid + .src_data (id_router_015_src_data), // .data + .src_channel (id_router_015_src_channel), // .channel + .src_startofpacket (id_router_015_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_015_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_016 ( + .sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_016_src_ready), // src.ready + .src_valid (id_router_016_src_valid), // .valid + .src_data (id_router_016_src_data), // .data + .src_channel (id_router_016_src_channel), // .channel + .src_startofpacket (id_router_016_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_016_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_017 ( + .sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_017_src_ready), // src.ready + .src_valid (id_router_017_src_valid), // .valid + .src_data (id_router_017_src_data), // .data + .src_channel (id_router_017_src_channel), // .channel + .src_startofpacket (id_router_017_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_017_src_endofpacket) // .endofpacket + ); + + altera_reset_controller #( + .NUM_RESET_INPUTS (2), + .OUTPUT_RESET_SYNC_EDGES ("deassert"), + .SYNC_DEPTH (2), + .RESET_REQUEST_PRESENT (1) + ) rst_controller ( + .reset_in0 (~reset_reset_n), // reset_in0.reset + .reset_in1 (nios2_processor_jtag_debug_module_reset_reset), // reset_in1.reset + .clk (clk_clk), // clk.clk + .reset_out (rst_controller_reset_out_reset), // reset_out.reset + .reset_req (rst_controller_reset_out_reset_req), // .reset_req + .reset_in2 (1'b0), // (terminated) + .reset_in3 (1'b0), // (terminated) + .reset_in4 (1'b0), // (terminated) + .reset_in5 (1'b0), // (terminated) + .reset_in6 (1'b0), // (terminated) + .reset_in7 (1'b0), // (terminated) + .reset_in8 (1'b0), // (terminated) + .reset_in9 (1'b0), // (terminated) + .reset_in10 (1'b0), // (terminated) + .reset_in11 (1'b0), // (terminated) + .reset_in12 (1'b0), // (terminated) + .reset_in13 (1'b0), // (terminated) + .reset_in14 (1'b0), // (terminated) + .reset_in15 (1'b0) // (terminated) + ); + + nios_system_cmd_xbar_demux cmd_xbar_demux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (addr_router_src_ready), // sink.ready + .sink_channel (addr_router_src_channel), // .channel + .sink_data (addr_router_src_data), // .data + .sink_startofpacket (addr_router_src_startofpacket), // .startofpacket + .sink_endofpacket (addr_router_src_endofpacket), // .endofpacket + .sink_valid (addr_router_src_valid), // .valid + .src0_ready (cmd_xbar_demux_src0_ready), // src0.ready + .src0_valid (cmd_xbar_demux_src0_valid), // .valid + .src0_data (cmd_xbar_demux_src0_data), // .data + .src0_channel (cmd_xbar_demux_src0_channel), // .channel + .src0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket + .src0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket + .src1_ready (cmd_xbar_demux_src1_ready), // src1.ready + .src1_valid (cmd_xbar_demux_src1_valid), // .valid + .src1_data (cmd_xbar_demux_src1_data), // .data + .src1_channel (cmd_xbar_demux_src1_channel), // .channel + .src1_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket + .src1_endofpacket (cmd_xbar_demux_src1_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_demux_001 cmd_xbar_demux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (addr_router_001_src_ready), // sink.ready + .sink_channel (addr_router_001_src_channel), // .channel + .sink_data (addr_router_001_src_data), // .data + .sink_startofpacket (addr_router_001_src_startofpacket), // .startofpacket + .sink_endofpacket (addr_router_001_src_endofpacket), // .endofpacket + .sink_valid (addr_router_001_src_valid), // .valid + .src0_ready (cmd_xbar_demux_001_src0_ready), // src0.ready + .src0_valid (cmd_xbar_demux_001_src0_valid), // .valid + .src0_data (cmd_xbar_demux_001_src0_data), // .data + .src0_channel (cmd_xbar_demux_001_src0_channel), // .channel + .src0_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket + .src0_endofpacket (cmd_xbar_demux_001_src0_endofpacket), // .endofpacket + .src1_ready (cmd_xbar_demux_001_src1_ready), // src1.ready + .src1_valid (cmd_xbar_demux_001_src1_valid), // .valid + .src1_data (cmd_xbar_demux_001_src1_data), // .data + .src1_channel (cmd_xbar_demux_001_src1_channel), // .channel + .src1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket + .src1_endofpacket (cmd_xbar_demux_001_src1_endofpacket), // .endofpacket + .src2_ready (cmd_xbar_demux_001_src2_ready), // src2.ready + .src2_valid (cmd_xbar_demux_001_src2_valid), // .valid + .src2_data (cmd_xbar_demux_001_src2_data), // .data + .src2_channel (cmd_xbar_demux_001_src2_channel), // .channel + .src2_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket + .src2_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket + .src3_ready (cmd_xbar_demux_001_src3_ready), // src3.ready + .src3_valid (cmd_xbar_demux_001_src3_valid), // .valid + .src3_data (cmd_xbar_demux_001_src3_data), // .data + .src3_channel (cmd_xbar_demux_001_src3_channel), // .channel + .src3_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket + .src3_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket + .src4_ready (cmd_xbar_demux_001_src4_ready), // src4.ready + .src4_valid (cmd_xbar_demux_001_src4_valid), // .valid + .src4_data (cmd_xbar_demux_001_src4_data), // .data + .src4_channel (cmd_xbar_demux_001_src4_channel), // .channel + .src4_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket + .src4_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket + .src5_ready (cmd_xbar_demux_001_src5_ready), // src5.ready + .src5_valid (cmd_xbar_demux_001_src5_valid), // .valid + .src5_data (cmd_xbar_demux_001_src5_data), // .data + .src5_channel (cmd_xbar_demux_001_src5_channel), // .channel + .src5_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket + .src5_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket + .src6_ready (cmd_xbar_demux_001_src6_ready), // src6.ready + .src6_valid (cmd_xbar_demux_001_src6_valid), // .valid + .src6_data (cmd_xbar_demux_001_src6_data), // .data + .src6_channel (cmd_xbar_demux_001_src6_channel), // .channel + .src6_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket + .src6_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket + .src7_ready (cmd_xbar_demux_001_src7_ready), // src7.ready + .src7_valid (cmd_xbar_demux_001_src7_valid), // .valid + .src7_data (cmd_xbar_demux_001_src7_data), // .data + .src7_channel (cmd_xbar_demux_001_src7_channel), // .channel + .src7_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket + .src7_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket + .src8_ready (cmd_xbar_demux_001_src8_ready), // src8.ready + .src8_valid (cmd_xbar_demux_001_src8_valid), // .valid + .src8_data (cmd_xbar_demux_001_src8_data), // .data + .src8_channel (cmd_xbar_demux_001_src8_channel), // .channel + .src8_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket + .src8_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket + .src9_ready (cmd_xbar_demux_001_src9_ready), // src9.ready + .src9_valid (cmd_xbar_demux_001_src9_valid), // .valid + .src9_data (cmd_xbar_demux_001_src9_data), // .data + .src9_channel (cmd_xbar_demux_001_src9_channel), // .channel + .src9_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket + .src9_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket + .src10_ready (cmd_xbar_demux_001_src10_ready), // src10.ready + .src10_valid (cmd_xbar_demux_001_src10_valid), // .valid + .src10_data (cmd_xbar_demux_001_src10_data), // .data + .src10_channel (cmd_xbar_demux_001_src10_channel), // .channel + .src10_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket + .src10_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket + .src11_ready (cmd_xbar_demux_001_src11_ready), // src11.ready + .src11_valid (cmd_xbar_demux_001_src11_valid), // .valid + .src11_data (cmd_xbar_demux_001_src11_data), // .data + .src11_channel (cmd_xbar_demux_001_src11_channel), // .channel + .src11_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket + .src11_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket + .src12_ready (cmd_xbar_demux_001_src12_ready), // src12.ready + .src12_valid (cmd_xbar_demux_001_src12_valid), // .valid + .src12_data (cmd_xbar_demux_001_src12_data), // .data + .src12_channel (cmd_xbar_demux_001_src12_channel), // .channel + .src12_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket + .src12_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket + .src13_ready (cmd_xbar_demux_001_src13_ready), // src13.ready + .src13_valid (cmd_xbar_demux_001_src13_valid), // .valid + .src13_data (cmd_xbar_demux_001_src13_data), // .data + .src13_channel (cmd_xbar_demux_001_src13_channel), // .channel + .src13_startofpacket (cmd_xbar_demux_001_src13_startofpacket), // .startofpacket + .src13_endofpacket (cmd_xbar_demux_001_src13_endofpacket), // .endofpacket + .src14_ready (cmd_xbar_demux_001_src14_ready), // src14.ready + .src14_valid (cmd_xbar_demux_001_src14_valid), // .valid + .src14_data (cmd_xbar_demux_001_src14_data), // .data + .src14_channel (cmd_xbar_demux_001_src14_channel), // .channel + .src14_startofpacket (cmd_xbar_demux_001_src14_startofpacket), // .startofpacket + .src14_endofpacket (cmd_xbar_demux_001_src14_endofpacket), // .endofpacket + .src15_ready (cmd_xbar_demux_001_src15_ready), // src15.ready + .src15_valid (cmd_xbar_demux_001_src15_valid), // .valid + .src15_data (cmd_xbar_demux_001_src15_data), // .data + .src15_channel (cmd_xbar_demux_001_src15_channel), // .channel + .src15_startofpacket (cmd_xbar_demux_001_src15_startofpacket), // .startofpacket + .src15_endofpacket (cmd_xbar_demux_001_src15_endofpacket), // .endofpacket + .src16_ready (cmd_xbar_demux_001_src16_ready), // src16.ready + .src16_valid (cmd_xbar_demux_001_src16_valid), // .valid + .src16_data (cmd_xbar_demux_001_src16_data), // .data + .src16_channel (cmd_xbar_demux_001_src16_channel), // .channel + .src16_startofpacket (cmd_xbar_demux_001_src16_startofpacket), // .startofpacket + .src16_endofpacket (cmd_xbar_demux_001_src16_endofpacket), // .endofpacket + .src17_ready (cmd_xbar_demux_001_src17_ready), // src17.ready + .src17_valid (cmd_xbar_demux_001_src17_valid), // .valid + .src17_data (cmd_xbar_demux_001_src17_data), // .data + .src17_channel (cmd_xbar_demux_001_src17_channel), // .channel + .src17_startofpacket (cmd_xbar_demux_001_src17_startofpacket), // .startofpacket + .src17_endofpacket (cmd_xbar_demux_001_src17_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_mux cmd_xbar_mux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (cmd_xbar_mux_src_ready), // src.ready + .src_valid (cmd_xbar_mux_src_valid), // .valid + .src_data (cmd_xbar_mux_src_data), // .data + .src_channel (cmd_xbar_mux_src_channel), // .channel + .src_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket + .src_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket + .sink0_ready (cmd_xbar_demux_src0_ready), // sink0.ready + .sink0_valid (cmd_xbar_demux_src0_valid), // .valid + .sink0_channel (cmd_xbar_demux_src0_channel), // .channel + .sink0_data (cmd_xbar_demux_src0_data), // .data + .sink0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket + .sink0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket + .sink1_ready (cmd_xbar_demux_001_src0_ready), // sink1.ready + .sink1_valid (cmd_xbar_demux_001_src0_valid), // .valid + .sink1_channel (cmd_xbar_demux_001_src0_channel), // .channel + .sink1_data (cmd_xbar_demux_001_src0_data), // .data + .sink1_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket + .sink1_endofpacket (cmd_xbar_demux_001_src0_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_mux cmd_xbar_mux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (cmd_xbar_mux_001_src_ready), // src.ready + .src_valid (cmd_xbar_mux_001_src_valid), // .valid + .src_data (cmd_xbar_mux_001_src_data), // .data + .src_channel (cmd_xbar_mux_001_src_channel), // .channel + .src_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket + .src_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket + .sink0_ready (cmd_xbar_demux_src1_ready), // sink0.ready + .sink0_valid (cmd_xbar_demux_src1_valid), // .valid + .sink0_channel (cmd_xbar_demux_src1_channel), // .channel + .sink0_data (cmd_xbar_demux_src1_data), // .data + .sink0_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket + .sink0_endofpacket (cmd_xbar_demux_src1_endofpacket), // .endofpacket + .sink1_ready (cmd_xbar_demux_001_src1_ready), // sink1.ready + .sink1_valid (cmd_xbar_demux_001_src1_valid), // .valid + .sink1_channel (cmd_xbar_demux_001_src1_channel), // .channel + .sink1_data (cmd_xbar_demux_001_src1_data), // .data + .sink1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket + .sink1_endofpacket (cmd_xbar_demux_001_src1_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_demux rsp_xbar_demux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_src_ready), // sink.ready + .sink_channel (id_router_src_channel), // .channel + .sink_data (id_router_src_data), // .data + .sink_startofpacket (id_router_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_src_endofpacket), // .endofpacket + .sink_valid (id_router_src_valid), // .valid + .src0_ready (rsp_xbar_demux_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_src0_valid), // .valid + .src0_data (rsp_xbar_demux_src0_data), // .data + .src0_channel (rsp_xbar_demux_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket + .src1_ready (rsp_xbar_demux_src1_ready), // src1.ready + .src1_valid (rsp_xbar_demux_src1_valid), // .valid + .src1_data (rsp_xbar_demux_src1_data), // .data + .src1_channel (rsp_xbar_demux_src1_channel), // .channel + .src1_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket + .src1_endofpacket (rsp_xbar_demux_src1_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_demux rsp_xbar_demux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_001_src_ready), // sink.ready + .sink_channel (id_router_001_src_channel), // .channel + .sink_data (id_router_001_src_data), // .data + .sink_startofpacket (id_router_001_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_001_src_endofpacket), // .endofpacket + .sink_valid (id_router_001_src_valid), // .valid + .src0_ready (rsp_xbar_demux_001_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_001_src0_valid), // .valid + .src0_data (rsp_xbar_demux_001_src0_data), // .data + .src0_channel (rsp_xbar_demux_001_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_001_src0_endofpacket), // .endofpacket + .src1_ready (rsp_xbar_demux_001_src1_ready), // src1.ready + .src1_valid (rsp_xbar_demux_001_src1_valid), // .valid + .src1_data (rsp_xbar_demux_001_src1_data), // .data + .src1_channel (rsp_xbar_demux_001_src1_channel), // .channel + .src1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket + .src1_endofpacket (rsp_xbar_demux_001_src1_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_002 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_002_src_ready), // sink.ready + .sink_channel (id_router_002_src_channel), // .channel + .sink_data (id_router_002_src_data), // .data + .sink_startofpacket (id_router_002_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_002_src_endofpacket), // .endofpacket + .sink_valid (id_router_002_src_valid), // .valid + .src0_ready (rsp_xbar_demux_002_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_002_src0_valid), // .valid + .src0_data (rsp_xbar_demux_002_src0_data), // .data + .src0_channel (rsp_xbar_demux_002_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_002_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_003 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_003_src_ready), // sink.ready + .sink_channel (id_router_003_src_channel), // .channel + .sink_data (id_router_003_src_data), // .data + .sink_startofpacket (id_router_003_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_003_src_endofpacket), // .endofpacket + .sink_valid (id_router_003_src_valid), // .valid + .src0_ready (rsp_xbar_demux_003_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_003_src0_valid), // .valid + .src0_data (rsp_xbar_demux_003_src0_data), // .data + .src0_channel (rsp_xbar_demux_003_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_003_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_004 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_004_src_ready), // sink.ready + .sink_channel (id_router_004_src_channel), // .channel + .sink_data (id_router_004_src_data), // .data + .sink_startofpacket (id_router_004_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_004_src_endofpacket), // .endofpacket + .sink_valid (id_router_004_src_valid), // .valid + .src0_ready (rsp_xbar_demux_004_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_004_src0_valid), // .valid + .src0_data (rsp_xbar_demux_004_src0_data), // .data + .src0_channel (rsp_xbar_demux_004_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_004_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_005 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_005_src_ready), // sink.ready + .sink_channel (id_router_005_src_channel), // .channel + .sink_data (id_router_005_src_data), // .data + .sink_startofpacket (id_router_005_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_005_src_endofpacket), // .endofpacket + .sink_valid (id_router_005_src_valid), // .valid + .src0_ready (rsp_xbar_demux_005_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_005_src0_valid), // .valid + .src0_data (rsp_xbar_demux_005_src0_data), // .data + .src0_channel (rsp_xbar_demux_005_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_005_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_006 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_006_src_ready), // sink.ready + .sink_channel (id_router_006_src_channel), // .channel + .sink_data (id_router_006_src_data), // .data + .sink_startofpacket (id_router_006_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_006_src_endofpacket), // .endofpacket + .sink_valid (id_router_006_src_valid), // .valid + .src0_ready (rsp_xbar_demux_006_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_006_src0_valid), // .valid + .src0_data (rsp_xbar_demux_006_src0_data), // .data + .src0_channel (rsp_xbar_demux_006_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_006_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_007 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_007_src_ready), // sink.ready + .sink_channel (id_router_007_src_channel), // .channel + .sink_data (id_router_007_src_data), // .data + .sink_startofpacket (id_router_007_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_007_src_endofpacket), // .endofpacket + .sink_valid (id_router_007_src_valid), // .valid + .src0_ready (rsp_xbar_demux_007_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_007_src0_valid), // .valid + .src0_data (rsp_xbar_demux_007_src0_data), // .data + .src0_channel (rsp_xbar_demux_007_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_007_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_008 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_008_src_ready), // sink.ready + .sink_channel (id_router_008_src_channel), // .channel + .sink_data (id_router_008_src_data), // .data + .sink_startofpacket (id_router_008_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_008_src_endofpacket), // .endofpacket + .sink_valid (id_router_008_src_valid), // .valid + .src0_ready (rsp_xbar_demux_008_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_008_src0_valid), // .valid + .src0_data (rsp_xbar_demux_008_src0_data), // .data + .src0_channel (rsp_xbar_demux_008_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_008_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_009 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_009_src_ready), // sink.ready + .sink_channel (id_router_009_src_channel), // .channel + .sink_data (id_router_009_src_data), // .data + .sink_startofpacket (id_router_009_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_009_src_endofpacket), // .endofpacket + .sink_valid (id_router_009_src_valid), // .valid + .src0_ready (rsp_xbar_demux_009_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_009_src0_valid), // .valid + .src0_data (rsp_xbar_demux_009_src0_data), // .data + .src0_channel (rsp_xbar_demux_009_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_009_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_010 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_010_src_ready), // sink.ready + .sink_channel (id_router_010_src_channel), // .channel + .sink_data (id_router_010_src_data), // .data + .sink_startofpacket (id_router_010_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_010_src_endofpacket), // .endofpacket + .sink_valid (id_router_010_src_valid), // .valid + .src0_ready (rsp_xbar_demux_010_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_010_src0_valid), // .valid + .src0_data (rsp_xbar_demux_010_src0_data), // .data + .src0_channel (rsp_xbar_demux_010_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_010_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_011 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_011_src_ready), // sink.ready + .sink_channel (id_router_011_src_channel), // .channel + .sink_data (id_router_011_src_data), // .data + .sink_startofpacket (id_router_011_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_011_src_endofpacket), // .endofpacket + .sink_valid (id_router_011_src_valid), // .valid + .src0_ready (rsp_xbar_demux_011_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_011_src0_valid), // .valid + .src0_data (rsp_xbar_demux_011_src0_data), // .data + .src0_channel (rsp_xbar_demux_011_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_011_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_012 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_012_src_ready), // sink.ready + .sink_channel (id_router_012_src_channel), // .channel + .sink_data (id_router_012_src_data), // .data + .sink_startofpacket (id_router_012_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_012_src_endofpacket), // .endofpacket + .sink_valid (id_router_012_src_valid), // .valid + .src0_ready (rsp_xbar_demux_012_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_012_src0_valid), // .valid + .src0_data (rsp_xbar_demux_012_src0_data), // .data + .src0_channel (rsp_xbar_demux_012_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_012_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_013 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_013_src_ready), // sink.ready + .sink_channel (id_router_013_src_channel), // .channel + .sink_data (id_router_013_src_data), // .data + .sink_startofpacket (id_router_013_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_013_src_endofpacket), // .endofpacket + .sink_valid (id_router_013_src_valid), // .valid + .src0_ready (rsp_xbar_demux_013_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_013_src0_valid), // .valid + .src0_data (rsp_xbar_demux_013_src0_data), // .data + .src0_channel (rsp_xbar_demux_013_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_013_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_013_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_014 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_014_src_ready), // sink.ready + .sink_channel (id_router_014_src_channel), // .channel + .sink_data (id_router_014_src_data), // .data + .sink_startofpacket (id_router_014_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_014_src_endofpacket), // .endofpacket + .sink_valid (id_router_014_src_valid), // .valid + .src0_ready (rsp_xbar_demux_014_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_014_src0_valid), // .valid + .src0_data (rsp_xbar_demux_014_src0_data), // .data + .src0_channel (rsp_xbar_demux_014_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_014_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_014_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_015 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_015_src_ready), // sink.ready + .sink_channel (id_router_015_src_channel), // .channel + .sink_data (id_router_015_src_data), // .data + .sink_startofpacket (id_router_015_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_015_src_endofpacket), // .endofpacket + .sink_valid (id_router_015_src_valid), // .valid + .src0_ready (rsp_xbar_demux_015_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_015_src0_valid), // .valid + .src0_data (rsp_xbar_demux_015_src0_data), // .data + .src0_channel (rsp_xbar_demux_015_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_015_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_015_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_016 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_016_src_ready), // sink.ready + .sink_channel (id_router_016_src_channel), // .channel + .sink_data (id_router_016_src_data), // .data + .sink_startofpacket (id_router_016_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_016_src_endofpacket), // .endofpacket + .sink_valid (id_router_016_src_valid), // .valid + .src0_ready (rsp_xbar_demux_016_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_016_src0_valid), // .valid + .src0_data (rsp_xbar_demux_016_src0_data), // .data + .src0_channel (rsp_xbar_demux_016_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_016_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_016_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_017 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_017_src_ready), // sink.ready + .sink_channel (id_router_017_src_channel), // .channel + .sink_data (id_router_017_src_data), // .data + .sink_startofpacket (id_router_017_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_017_src_endofpacket), // .endofpacket + .sink_valid (id_router_017_src_valid), // .valid + .src0_ready (rsp_xbar_demux_017_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_017_src0_valid), // .valid + .src0_data (rsp_xbar_demux_017_src0_data), // .data + .src0_channel (rsp_xbar_demux_017_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_017_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_017_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_mux rsp_xbar_mux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (rsp_xbar_mux_src_ready), // src.ready + .src_valid (rsp_xbar_mux_src_valid), // .valid + .src_data (rsp_xbar_mux_src_data), // .data + .src_channel (rsp_xbar_mux_src_channel), // .channel + .src_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket + .src_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket + .sink0_ready (rsp_xbar_demux_src0_ready), // sink0.ready + .sink0_valid (rsp_xbar_demux_src0_valid), // .valid + .sink0_channel (rsp_xbar_demux_src0_channel), // .channel + .sink0_data (rsp_xbar_demux_src0_data), // .data + .sink0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket + .sink0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket + .sink1_ready (rsp_xbar_demux_001_src0_ready), // sink1.ready + .sink1_valid (rsp_xbar_demux_001_src0_valid), // .valid + .sink1_channel (rsp_xbar_demux_001_src0_channel), // .channel + .sink1_data (rsp_xbar_demux_001_src0_data), // .data + .sink1_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket + .sink1_endofpacket (rsp_xbar_demux_001_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_mux_001 rsp_xbar_mux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (rsp_xbar_mux_001_src_ready), // src.ready + .src_valid (rsp_xbar_mux_001_src_valid), // .valid + .src_data (rsp_xbar_mux_001_src_data), // .data + .src_channel (rsp_xbar_mux_001_src_channel), // .channel + .src_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket + .src_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket + .sink0_ready (rsp_xbar_demux_src1_ready), // sink0.ready + .sink0_valid (rsp_xbar_demux_src1_valid), // .valid + .sink0_channel (rsp_xbar_demux_src1_channel), // .channel + .sink0_data (rsp_xbar_demux_src1_data), // .data + .sink0_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket + .sink0_endofpacket (rsp_xbar_demux_src1_endofpacket), // .endofpacket + .sink1_ready (rsp_xbar_demux_001_src1_ready), // sink1.ready + .sink1_valid (rsp_xbar_demux_001_src1_valid), // .valid + .sink1_channel (rsp_xbar_demux_001_src1_channel), // .channel + .sink1_data (rsp_xbar_demux_001_src1_data), // .data + .sink1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket + .sink1_endofpacket (rsp_xbar_demux_001_src1_endofpacket), // .endofpacket + .sink2_ready (rsp_xbar_demux_002_src0_ready), // sink2.ready + .sink2_valid (rsp_xbar_demux_002_src0_valid), // .valid + .sink2_channel (rsp_xbar_demux_002_src0_channel), // .channel + .sink2_data (rsp_xbar_demux_002_src0_data), // .data + .sink2_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket + .sink2_endofpacket (rsp_xbar_demux_002_src0_endofpacket), // .endofpacket + .sink3_ready (rsp_xbar_demux_003_src0_ready), // sink3.ready + .sink3_valid (rsp_xbar_demux_003_src0_valid), // .valid + .sink3_channel (rsp_xbar_demux_003_src0_channel), // .channel + .sink3_data (rsp_xbar_demux_003_src0_data), // .data + .sink3_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket + .sink3_endofpacket (rsp_xbar_demux_003_src0_endofpacket), // .endofpacket + .sink4_ready (rsp_xbar_demux_004_src0_ready), // sink4.ready + .sink4_valid (rsp_xbar_demux_004_src0_valid), // .valid + .sink4_channel (rsp_xbar_demux_004_src0_channel), // .channel + .sink4_data (rsp_xbar_demux_004_src0_data), // .data + .sink4_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket + .sink4_endofpacket (rsp_xbar_demux_004_src0_endofpacket), // .endofpacket + .sink5_ready (rsp_xbar_demux_005_src0_ready), // sink5.ready + .sink5_valid (rsp_xbar_demux_005_src0_valid), // .valid + .sink5_channel (rsp_xbar_demux_005_src0_channel), // .channel + .sink5_data (rsp_xbar_demux_005_src0_data), // .data + .sink5_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket + .sink5_endofpacket (rsp_xbar_demux_005_src0_endofpacket), // .endofpacket + .sink6_ready (rsp_xbar_demux_006_src0_ready), // sink6.ready + .sink6_valid (rsp_xbar_demux_006_src0_valid), // .valid + .sink6_channel (rsp_xbar_demux_006_src0_channel), // .channel + .sink6_data (rsp_xbar_demux_006_src0_data), // .data + .sink6_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket + .sink6_endofpacket (rsp_xbar_demux_006_src0_endofpacket), // .endofpacket + .sink7_ready (rsp_xbar_demux_007_src0_ready), // sink7.ready + .sink7_valid (rsp_xbar_demux_007_src0_valid), // .valid + .sink7_channel (rsp_xbar_demux_007_src0_channel), // .channel + .sink7_data (rsp_xbar_demux_007_src0_data), // .data + .sink7_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket + .sink7_endofpacket (rsp_xbar_demux_007_src0_endofpacket), // .endofpacket + .sink8_ready (rsp_xbar_demux_008_src0_ready), // sink8.ready + .sink8_valid (rsp_xbar_demux_008_src0_valid), // .valid + .sink8_channel (rsp_xbar_demux_008_src0_channel), // .channel + .sink8_data (rsp_xbar_demux_008_src0_data), // .data + .sink8_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket + .sink8_endofpacket (rsp_xbar_demux_008_src0_endofpacket), // .endofpacket + .sink9_ready (rsp_xbar_demux_009_src0_ready), // sink9.ready + .sink9_valid (rsp_xbar_demux_009_src0_valid), // .valid + .sink9_channel (rsp_xbar_demux_009_src0_channel), // .channel + .sink9_data (rsp_xbar_demux_009_src0_data), // .data + .sink9_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket + .sink9_endofpacket (rsp_xbar_demux_009_src0_endofpacket), // .endofpacket + .sink10_ready (rsp_xbar_demux_010_src0_ready), // sink10.ready + .sink10_valid (rsp_xbar_demux_010_src0_valid), // .valid + .sink10_channel (rsp_xbar_demux_010_src0_channel), // .channel + .sink10_data (rsp_xbar_demux_010_src0_data), // .data + .sink10_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket + .sink10_endofpacket (rsp_xbar_demux_010_src0_endofpacket), // .endofpacket + .sink11_ready (rsp_xbar_demux_011_src0_ready), // sink11.ready + .sink11_valid (rsp_xbar_demux_011_src0_valid), // .valid + .sink11_channel (rsp_xbar_demux_011_src0_channel), // .channel + .sink11_data (rsp_xbar_demux_011_src0_data), // .data + .sink11_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket + .sink11_endofpacket (rsp_xbar_demux_011_src0_endofpacket), // .endofpacket + .sink12_ready (rsp_xbar_demux_012_src0_ready), // sink12.ready + .sink12_valid (rsp_xbar_demux_012_src0_valid), // .valid + .sink12_channel (rsp_xbar_demux_012_src0_channel), // .channel + .sink12_data (rsp_xbar_demux_012_src0_data), // .data + .sink12_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket + .sink12_endofpacket (rsp_xbar_demux_012_src0_endofpacket), // .endofpacket + .sink13_ready (rsp_xbar_demux_013_src0_ready), // sink13.ready + .sink13_valid (rsp_xbar_demux_013_src0_valid), // .valid + .sink13_channel (rsp_xbar_demux_013_src0_channel), // .channel + .sink13_data (rsp_xbar_demux_013_src0_data), // .data + .sink13_startofpacket (rsp_xbar_demux_013_src0_startofpacket), // .startofpacket + .sink13_endofpacket (rsp_xbar_demux_013_src0_endofpacket), // .endofpacket + .sink14_ready (rsp_xbar_demux_014_src0_ready), // sink14.ready + .sink14_valid (rsp_xbar_demux_014_src0_valid), // .valid + .sink14_channel (rsp_xbar_demux_014_src0_channel), // .channel + .sink14_data (rsp_xbar_demux_014_src0_data), // .data + .sink14_startofpacket (rsp_xbar_demux_014_src0_startofpacket), // .startofpacket + .sink14_endofpacket (rsp_xbar_demux_014_src0_endofpacket), // .endofpacket + .sink15_ready (rsp_xbar_demux_015_src0_ready), // sink15.ready + .sink15_valid (rsp_xbar_demux_015_src0_valid), // .valid + .sink15_channel (rsp_xbar_demux_015_src0_channel), // .channel + .sink15_data (rsp_xbar_demux_015_src0_data), // .data + .sink15_startofpacket (rsp_xbar_demux_015_src0_startofpacket), // .startofpacket + .sink15_endofpacket (rsp_xbar_demux_015_src0_endofpacket), // .endofpacket + .sink16_ready (rsp_xbar_demux_016_src0_ready), // sink16.ready + .sink16_valid (rsp_xbar_demux_016_src0_valid), // .valid + .sink16_channel (rsp_xbar_demux_016_src0_channel), // .channel + .sink16_data (rsp_xbar_demux_016_src0_data), // .data + .sink16_startofpacket (rsp_xbar_demux_016_src0_startofpacket), // .startofpacket + .sink16_endofpacket (rsp_xbar_demux_016_src0_endofpacket), // .endofpacket + .sink17_ready (rsp_xbar_demux_017_src0_ready), // sink17.ready + .sink17_valid (rsp_xbar_demux_017_src0_valid), // .valid + .sink17_channel (rsp_xbar_demux_017_src0_channel), // .channel + .sink17_data (rsp_xbar_demux_017_src0_data), // .data + .sink17_startofpacket (rsp_xbar_demux_017_src0_startofpacket), // .startofpacket + .sink17_endofpacket (rsp_xbar_demux_017_src0_endofpacket) // .endofpacket + ); + + nios_system_irq_mapper irq_mapper ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .receiver0_irq (irq_mapper_receiver0_irq), // receiver0.irq + .sender_irq (nios2_processor_d_irq_irq) // sender.irq + ); + +endmodule diff --git a/db/ip/nios_system/nios_system__report.html b/db/ip/nios_system/nios_system__report.html new file mode 100644 index 0000000..a214052 --- /dev/null +++ b/db/ip/nios_system/nios_system__report.html @@ -0,0 +1,4862 @@ + + + + + datasheet for nios_system + + + + + + + + +
nios_system +
+
+
+ + + + + +
2017.01.26.11:05:34Datasheet
+
+
Overview
+
+
+ + + + + + + + +
  clk_0 nios_system
+
+
Processor +
   + nios2_processor + Nios II 13.0 +
All Components +
   + nios2_processor + altera_nios2_qsys 13.0 +
   + onchip_memory + altera_avalon_onchip_memory2 13.0.1.99.2 +
   + jtag_uart + altera_avalon_jtag_uart 13.0.1.99.2 +
   + LEDs + altera_avalon_pio 13.0.1.99.2 +
   + LEDRs + altera_avalon_pio 13.0.1.99.2 +
   + switches + altera_avalon_pio 13.0.1.99.2 +
   + push_switches + altera_avalon_pio 13.0.1.99.2 +
   + hex0 + altera_avalon_pio 13.0.1.99.2 +
   + hex1 + altera_avalon_pio 13.0.1.99.2 +
   + hex2 + altera_avalon_pio 13.0.1.99.2 +
   + hex3 + altera_avalon_pio 13.0.1.99.2 +
   + hex4 + altera_avalon_pio 13.0.1.99.2 +
   + hex5 + altera_avalon_pio 13.0.1.99.2 +
   + hex6 + altera_avalon_pio 13.0.1.99.2 +
   + hex7 + altera_avalon_pio 13.0.1.99.2 +
   + lcd_16207_0 + altera_avalon_lcd_16207 13.0.1.99.2 +
   + lcd_on + altera_avalon_pio 13.0.1.99.2 +
   + lcd_blon + altera_avalon_pio 13.0.1.99.2
+
+
+
+
Memory Map
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ nios2_processor + +
 data_master instruction_master
  + nios2_processor + +
jtag_debug_module 0x000408000x00040800
  + onchip_memory + +
s1 0x000000000x00000000
  + jtag_uart + +
avalon_jtag_slave 0x00041100
  + LEDs + +
s1 0x000410f0
  + LEDRs + +
s1 0x000410e0
  + switches + +
s1 0x000410d0
  + push_switches + +
s1 0x000410c0
  + hex0 + +
s1 0x000410b0
  + hex1 + +
s1 0x000410a0
  + hex2 + +
s1 0x00041090
  + hex3 + +
s1 0x00041080
  + hex4 + +
s1 0x00041070
  + hex5 + +
s1 0x00041060
  + hex6 + +
s1 0x00041050
  + hex7 + +
s1 0x00041040
  + lcd_16207_0 + +
control_slave 0x00041030
  + lcd_on + +
s1 0x00041010
  + lcd_blon + +
s1 0x00041020
+ +
+
+

clk_0

clock_source v13.0 +
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + +
clockFrequency50000000
clockFrequencyKnowntrue
inputClockFrequency0
resetSynchronousEdgesNONE
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

(none)
+
+ +
+
+

nios2_processor

altera_nios2_qsys v13.0 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  nios2_processor
  clk
clk_reset  
  reset_n
jtag_debug_module_reset   + onchip_memory +
  reset1
instruction_master  
  s1
data_master  
  s1
jtag_debug_module_reset   + jtag_uart +
  reset
d_irq  
  irq
data_master  
  avalon_jtag_slave
jtag_debug_module_reset   + LEDs +
  reset
data_master  
  s1
data_master   + LEDRs +
  s1
jtag_debug_module_reset  
  reset
jtag_debug_module_reset   + switches +
  reset
data_master  
  s1
data_master   + push_switches +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex0 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex1 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex2 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex3 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex4 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex5 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex6 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex7 +
  s1
jtag_debug_module_reset  
  reset
jtag_debug_module_reset   + lcd_16207_0 +
  reset
data_master  
  control_slave
data_master   + lcd_on +
  s1
jtag_debug_module_reset  
  reset
jtag_debug_module_reset   + lcd_blon +
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
setting_showUnpublishedSettingsfalse
setting_showInternalSettingsfalse
setting_preciseSlaveAccessErrorExceptionfalse
setting_preciseIllegalMemAccessExceptionfalse
setting_preciseDivisionErrorExceptionfalse
setting_performanceCounterfalse
setting_illegalMemAccessDetectionfalse
setting_illegalInstructionsTrapfalse
setting_fullWaveformSignalsfalse
setting_extraExceptionInfofalse
setting_exportPCBfalse
setting_debugSimGenfalse
setting_clearXBitsLDNonBypasstrue
setting_bit31BypassDCachetrue
setting_bigEndianfalse
setting_export_large_RAMsfalse
setting_asic_enabledfalse
setting_asic_synopsys_translate_on_offfalse
setting_oci_export_jtag_signalsfalse
setting_bhtIndexPcOnlyfalse
setting_avalonDebugPortPresentfalse
setting_alwaysEncrypttrue
setting_allowFullAddressRangefalse
setting_activateTracetrue
setting_activateTestEndCheckerfalse
setting_activateMonitorstrue
setting_activateModelCheckerfalse
setting_HDLSimCachesClearedtrue
setting_HBreakTestfalse
muldiv_dividerfalse
mpu_useLimitfalse
mpu_enabledfalse
mmu_enabledfalse
mmu_autoAssignTlbPtrSztrue
manuallyAssignCpuIDtrue
debug_triggerArmingtrue
debug_embeddedPLLtrue
debug_debugReqSignalsfalse
debug_assignJtagInstanceIDfalse
dcache_omitDataMasterfalse
cpuResetfalse
is_hardcopy_compatiblefalse
setting_shadowRegisterSets0
mpu_numOfInstRegion8
mpu_numOfDataRegion8
mmu_TLBMissExcOffset0
debug_jtagInstanceID0
resetOffset0
exceptionOffset32
cpuID0
cpuID_stored0
breakOffset32
userDefinedSettings
resetSlaveonchip_memory.s1
mmu_TLBMissExcSlaveNone
exceptionSlaveonchip_memory.s1
breakSlavenios2_processor.jtag_debug_module
setting_perfCounterWidth32
setting_interruptControllerTypeInternal
setting_branchPredictionTypeAutomatic
setting_bhtPtrSz8
muldiv_multiplierTypeEmbeddedMulFast
mpu_minInstRegionSize12
mpu_minDataRegionSize12
mmu_uitlbNumEntries4
mmu_udtlbNumEntries6
mmu_tlbPtrSz7
mmu_tlbNumWays16
mmu_processIDNumBits8
implTiny
icache_size4096
icache_tagramBlockTypeAutomatic
icache_ramBlockTypeAutomatic
icache_numTCIM0
icache_burstTypeNone
dcache_burstsfalse
dcache_victim_buf_implram
debug_levelLevel1
debug_OCIOnchipTrace_128
dcache_size2048
dcache_tagramBlockTypeAutomatic
dcache_ramBlockTypeAutomatic
dcache_numTCDM0
dcache_lineSize32
setting_exportvectorsfalse
setting_ecc_presentfalse
regfile_ramBlockTypeAutomatic
ocimem_ramBlockTypeAutomatic
mmu_ramBlockTypeAutomatic
bht_ramBlockTypeAutomatic
resetAbsoluteAddr0
exceptionAbsoluteAddr32
breakAbsoluteAddr264224
mmu_TLBMissExcAbsAddr0
dcache_bursts_derivedfalse
dcache_size_derived2048
dcache_lineSize_derived32
translate_on "synthesis translate_on"
translate_off "synthesis translate_off"
instAddrWidth19
dataAddrWidth19
tightlyCoupledDataMaster0AddrWidth1
tightlyCoupledDataMaster1AddrWidth1
tightlyCoupledDataMaster2AddrWidth1
tightlyCoupledDataMaster3AddrWidth1
tightlyCoupledInstructionMaster0AddrWidth1
tightlyCoupledInstructionMaster1AddrWidth1
tightlyCoupledInstructionMaster2AddrWidth1
tightlyCoupledInstructionMaster3AddrWidth1
instSlaveMapParam<address-map><slave name='onchip_memory.s1' start='0x0' end='0x32000' /><slave name='nios2_processor.jtag_debug_module' start='0x40800' end='0x41000' /></address-map>
dataSlaveMapParam<address-map><slave name='onchip_memory.s1' start='0x0' end='0x32000' /><slave name='nios2_processor.jtag_debug_module' start='0x40800' end='0x41000' /><slave name='lcd_on.s1' start='0x41010' end='0x41020' /><slave name='lcd_blon.s1' start='0x41020' end='0x41030' /><slave name='lcd_16207_0.control_slave' start='0x41030' end='0x41040' /><slave name='hex7.s1' start='0x41040' end='0x41050' /><slave name='hex6.s1' start='0x41050' end='0x41060' /><slave name='hex5.s1' start='0x41060' end='0x41070' /><slave name='hex4.s1' start='0x41070' end='0x41080' /><slave name='hex3.s1' start='0x41080' end='0x41090' /><slave name='hex2.s1' start='0x41090' end='0x410A0' /><slave name='hex1.s1' start='0x410A0' end='0x410B0' /><slave name='hex0.s1' start='0x410B0' end='0x410C0' /><slave name='push_switches.s1' start='0x410C0' end='0x410D0' /><slave name='switches.s1' start='0x410D0' end='0x410E0' /><slave name='LEDRs.s1' start='0x410E0' end='0x410F0' /><slave name='LEDs.s1' start='0x410F0' end='0x41100' /><slave name='jtag_uart.avalon_jtag_slave' start='0x41100' end='0x41108' /></address-map>
clockFrequency50000000
deviceFamilyNameCYCLONEIVE
internalIrqMaskSystemInfo32
customInstSlavesSystemInfo<info/>
deviceFeaturesSystemInfoADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
tightlyCoupledDataMaster0MapParam
tightlyCoupledDataMaster1MapParam
tightlyCoupledDataMaster2MapParam
tightlyCoupledDataMaster3MapParam
tightlyCoupledInstructionMaster0MapParam
tightlyCoupledInstructionMaster1MapParam
tightlyCoupledInstructionMaster2MapParam
tightlyCoupledInstructionMaster3MapParam
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIG_ENDIAN0
BREAK_ADDR0x00040820
CPU_FREQ50000000u
CPU_ID_SIZE1
CPU_ID_VALUE0x00000000
CPU_IMPLEMENTATION"tiny"
DATA_ADDR_WIDTH19
DCACHE_LINE_SIZE0
DCACHE_LINE_SIZE_LOG20
DCACHE_SIZE0
EXCEPTION_ADDR0x00000020
FLUSHDA_SUPPORTED
HARDWARE_DIVIDE_PRESENT0
HARDWARE_MULTIPLY_PRESENT0
HARDWARE_MULX_PRESENT0
HAS_DEBUG_CORE1
HAS_DEBUG_STUB
HAS_JMPI_INSTRUCTION
ICACHE_LINE_SIZE0
ICACHE_LINE_SIZE_LOG20
ICACHE_SIZE0
INST_ADDR_WIDTH19
RESET_ADDR0x00000000
+
+
+ +
+
+

onchip_memory

altera_avalon_onchip_memory2 v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  onchip_memory
  clk1
clk_reset  
  reset1
+ nios2_processor + jtag_debug_module_reset  
  reset1
instruction_master  
  s1
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
allowInSystemMemoryContentEditorfalse
blockTypeAUTO
dataWidth32
dualPortfalse
initMemContenttrue
initializationFileNameonchip_mem.hex
instanceIDNONE
memorySize204800
readDuringWriteModeDONT_CARE
simAllowMRAMContentsFilefalse
simMemInitOnlyFilename0
singleClockOperationfalse
slave1Latency1
slave2Latency1
useNonDefaultInitFilefalse
useShallowMemBlocksfalse
writabletrue
autoInitializationFileNamenios_system_onchip_memory
deviceFamilyCYCLONEIVE
deviceFeaturesADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
derived_set_addr_width16
derived_gui_ram_block_typeAutomatic
derived_is_hardcopyfalse
derived_init_file_namenios_system_onchip_memory.hex
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR0
ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE0
CONTENTS_INFO""
DUAL_PORT0
GUI_RAM_BLOCK_TYPEAUTO
INIT_CONTENTS_FILEnios_system_onchip_memory
INIT_MEM_CONTENT1
INSTANCE_IDNONE
NON_DEFAULT_INIT_FILE_ENABLED0
RAM_BLOCK_TYPEAUTO
READ_DURING_WRITE_MODEDONT_CARE
SINGLE_CLOCK_OP0
SIZE_MULTIPLE1
SIZE_VALUE204800
WRITABLE1
+
+
+ +
+
+

jtag_uart

altera_avalon_jtag_uart v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ nios2_processor + jtag_debug_module_reset  jtag_uart
  reset
d_irq  
  irq
data_master  
  avalon_jtag_slave
+ clk_0 + clk_reset  
  reset
clk  
  clk
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
allowMultipleConnectionsfalse
hubInstanceID0
readBufferDepth64
readIRQThreshold8
simInputCharacterStream
simInteractiveOptionsNO_INTERACTIVE_WINDOWS
useRegistersForReadBufferfalse
useRegistersForWriteBufferfalse
useRelativePathForSimFilefalse
writeBufferDepth64
writeIRQThreshold8
avalonSpec2.0
legacySignalAllowfalse
enableInteractiveInputfalse
enableInteractiveOutputfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + +
READ_DEPTH64
READ_THRESHOLD8
WRITE_DEPTH64
WRITE_THRESHOLD8
+
+
+ +
+
+

LEDs

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  LEDs
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width8
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH8
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

LEDRs

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  LEDRs
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width18
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH18
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

switches

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  switches
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionInput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width18
clockRate50000000
derived_has_trifalse
derived_has_outfalse
derived_has_intrue
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH18
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN1
HAS_OUT0
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

push_switches

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  push_switches
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionInput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width3
clockRate50000000
derived_has_trifalse
derived_has_outfalse
derived_has_intrue
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH3
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN1
HAS_OUT0
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex0

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex0
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex1

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex1
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex2

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex2
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex3

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex3
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex4

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex4
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex5

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex5
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex6

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex6
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex7

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex7
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

lcd_16207_0

altera_avalon_lcd_16207 v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  lcd_16207_0
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  control_slave
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + +
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

(none)
+
+ +
+
+

lcd_on

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  lcd_on
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width1
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH1
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

lcd_blon

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  lcd_blon
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width1
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH1
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ + + + + +
generation took 0.00 secondsrendering took 0.16 seconds
+ + diff --git a/db/ip/nios_system/nios_system__report.xml b/db/ip/nios_system/nios_system__report.xml new file mode 100644 index 0000000..e4e96f0 --- /dev/null +++ b/db/ip/nios_system/nios_system__report.xml @@ -0,0 +1,2521 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 0 starting:nios_system "nios_system" + Transform: PipelineBridgeSwap + 19 modules, 75 connections]]> + Transform: ClockCrossingBridgeSwap + Transform: QsysBetaIPSwap + Transform: CustomInstructionTransform + No custom instruction connections, skipping transform + Transform: MMTransform + Transform: TranslatorTransform + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 39 modules, 155 connections]]> + Transform: IDPadTransform + Transform: DomainTransform + Transform merlin_domain_transform not run on matched interfaces nios2_processor.instruction_master and nios2_processor_instruction_master_translator.avalon_anti_master_0 + Transform merlin_domain_transform not run on matched interfaces nios2_processor.data_master and nios2_processor_data_master_translator.avalon_anti_master_0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Transform merlin_domain_transform not run on matched interfaces nios2_processor_jtag_debug_module_translator.avalon_anti_slave_0 and nios2_processor.jtag_debug_module + Transform merlin_domain_transform not run on matched interfaces onchip_memory_s1_translator.avalon_anti_slave_0 and onchip_memory.s1 + Transform merlin_domain_transform not run on matched interfaces LEDs_s1_translator.avalon_anti_slave_0 and LEDs.s1 + Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave + Transform merlin_domain_transform not run on matched interfaces LEDRs_s1_translator.avalon_anti_slave_0 and LEDRs.s1 + Transform merlin_domain_transform not run on matched interfaces switches_s1_translator.avalon_anti_slave_0 and switches.s1 + Transform merlin_domain_transform not run on matched interfaces push_switches_s1_translator.avalon_anti_slave_0 and push_switches.s1 + Transform merlin_domain_transform not run on matched interfaces hex0_s1_translator.avalon_anti_slave_0 and hex0.s1 + Transform merlin_domain_transform not run on matched interfaces hex1_s1_translator.avalon_anti_slave_0 and hex1.s1 + Transform merlin_domain_transform not run on matched interfaces hex2_s1_translator.avalon_anti_slave_0 and hex2.s1 + Transform merlin_domain_transform not run on matched interfaces hex3_s1_translator.avalon_anti_slave_0 and hex3.s1 + Transform merlin_domain_transform not run on matched interfaces hex4_s1_translator.avalon_anti_slave_0 and hex4.s1 + Transform merlin_domain_transform not run on matched interfaces hex5_s1_translator.avalon_anti_slave_0 and hex5.s1 + Transform merlin_domain_transform not run on matched interfaces hex6_s1_translator.avalon_anti_slave_0 and hex6.s1 + Transform merlin_domain_transform not run on matched interfaces hex7_s1_translator.avalon_anti_slave_0 and hex7.s1 + Transform merlin_domain_transform not run on matched interfaces lcd_16207_0_control_slave_translator.avalon_anti_slave_0 and lcd_16207_0.control_slave + Transform merlin_domain_transform not run on matched interfaces lcd_on_s1_translator.avalon_anti_slave_0 and lcd_on.s1 + Transform merlin_domain_transform not run on matched interfaces lcd_blon_s1_translator.avalon_anti_slave_0 and lcd_blon.s1 + 78 modules, 423 connections]]> + Transform: RouterTransform + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 98 modules, 503 connections]]> + Transform: TrafficLimiterTransform + Transform: BurstTransform + Transform: CombinedWidthTransform + Transform: ResetAdaptation + + + + 99 modules, 390 connections]]> + Transform: NetworkToSwitchTransform + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 138 modules, 470 connections]]> + Transform: WidthTransform + Transform: RouterTableTransform + Transform: ClockCrossingTransform + Transform: PipelineTransform + Transform: TrafficLimiterUpdateTransform + 138 modules, 470 connections]]> + Transform: InterruptMapperTransform + + + + 139 modules, 473 connections]]> + Transform: InterruptSyncTransform + Transform: InterruptFanoutTransform + Transform: AvalonStreamingTransform + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + "No matching role found for rst_controller:reset_out:reset_req (reset_req)" + nios_system" reuses altera_nios2_qsys "submodules/nios_system_nios2_processor"]]> + nios_system" reuses altera_avalon_onchip_memory2 "submodules/nios_system_onchip_memory"]]> + nios_system" reuses altera_avalon_jtag_uart "submodules/nios_system_jtag_uart"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_LEDs"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_LEDRs"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_switches"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_push_switches"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_hex0"]]> + nios_system" reuses altera_avalon_lcd_16207 "submodules/nios_system_lcd_16207_0"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_lcd_on"]]> + nios_system" reuses altera_avalon_pio "submodules/nios_system_lcd_on"]]> + nios_system" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> + nios_system" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + nios_system" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> + nios_system" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + nios_system" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_addr_router"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_addr_router_001"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_merlin_router "submodules/nios_system_id_router_002"]]> + nios_system" reuses altera_reset_controller "submodules/altera_reset_controller"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux_001"]]> + nios_system" reuses altera_merlin_multiplexer "submodules/nios_system_cmd_xbar_mux"]]> + nios_system" reuses altera_merlin_multiplexer "submodules/nios_system_cmd_xbar_mux"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002"]]> + nios_system" reuses altera_merlin_multiplexer "submodules/nios_system_rsp_xbar_mux"]]> + nios_system" reuses altera_merlin_multiplexer "submodules/nios_system_rsp_xbar_mux_001"]]> + nios_system" reuses altera_irq_mapper "submodules/nios_system_irq_mapper"]]> + queue size: 121 starting:altera_nios2_qsys "submodules/nios_system_nios2_processor" + Starting RTL generation for module 'nios_system_nios2_processor' + Generation command is [exec C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/eperl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=nios_system_nios2_processor --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0001_nios2_processor_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0001_nios2_processor_gen//nios_system_nios2_processor_processor_configuration.pl --do_build_sim=0 --bogus ] + # 2017.01.26 11:05:40 (*) Starting Nios II generation + # 2017.01.26 11:05:40 (*) Checking for plaintext license. + # 2017.01.26 11:05:41 (*) Couldn't query license setup in Quartus directory C:/altera/13.0sp1/quartus + # 2017.01.26 11:05:41 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2017.01.26 11:05:41 (*) LM_LICENSE_FILE environment variable is empty + # 2017.01.26 11:05:41 (*) Plaintext license not found. + # 2017.01.26 11:05:41 (*) No license required to generate encrypted Nios II/e. + # 2017.01.26 11:05:41 (*) Elaborating CPU configuration settings + # 2017.01.26 11:05:41 (*) Creating all objects for CPU + # 2017.01.26 11:05:42 (*) Generating RTL from CPU objects + # 2017.01.26 11:05:42 (*) Creating plain-text RTL + # 2017.01.26 11:05:44 (*) Done Nios II generation + Done RTL generation for module 'nios_system_nios2_processor' + nios_system" instantiated altera_nios2_qsys "nios2_processor"]]> + queue size: 120 starting:altera_avalon_onchip_memory2 "submodules/nios_system_onchip_memory" + Starting RTL generation for module 'nios_system_onchip_memory' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios_system_onchip_memory --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0002_onchip_memory_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0002_onchip_memory_gen//nios_system_onchip_memory_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_onchip_memory' + nios_system" instantiated altera_avalon_onchip_memory2 "onchip_memory"]]> + queue size: 119 starting:altera_avalon_jtag_uart "submodules/nios_system_jtag_uart" + Starting RTL generation for module 'nios_system_jtag_uart' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios_system_jtag_uart --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0003_jtag_uart_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0003_jtag_uart_gen//nios_system_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_jtag_uart' + nios_system" instantiated altera_avalon_jtag_uart "jtag_uart"]]> + queue size: 118 starting:altera_avalon_pio "submodules/nios_system_LEDs" + Starting RTL generation for module 'nios_system_LEDs' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_LEDs --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0004_LEDs_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0004_LEDs_gen//nios_system_LEDs_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_LEDs' + nios_system" instantiated altera_avalon_pio "LEDs"]]> + queue size: 117 starting:altera_avalon_pio "submodules/nios_system_LEDRs" + Starting RTL generation for module 'nios_system_LEDRs' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_LEDRs --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0005_LEDRs_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0005_LEDRs_gen//nios_system_LEDRs_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_LEDRs' + nios_system" instantiated altera_avalon_pio "LEDRs"]]> + queue size: 116 starting:altera_avalon_pio "submodules/nios_system_switches" + Starting RTL generation for module 'nios_system_switches' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_switches --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0006_switches_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0006_switches_gen//nios_system_switches_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_switches' + nios_system" instantiated altera_avalon_pio "switches"]]> + queue size: 115 starting:altera_avalon_pio "submodules/nios_system_push_switches" + Starting RTL generation for module 'nios_system_push_switches' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_push_switches --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0007_push_switches_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0007_push_switches_gen//nios_system_push_switches_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_push_switches' + nios_system" instantiated altera_avalon_pio "push_switches"]]> + queue size: 114 starting:altera_avalon_pio "submodules/nios_system_hex0" + Starting RTL generation for module 'nios_system_hex0' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_hex0 --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0008_hex0_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0008_hex0_gen//nios_system_hex0_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_hex0' + nios_system" instantiated altera_avalon_pio "hex0"]]> + queue size: 106 starting:altera_avalon_lcd_16207 "submodules/nios_system_lcd_16207_0" + Starting RTL generation for module 'nios_system_lcd_16207_0' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/generate_rtl.pl --name=nios_system_lcd_16207_0 --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0009_lcd_16207_0_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0009_lcd_16207_0_gen//nios_system_lcd_16207_0_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_lcd_16207_0' + nios_system" instantiated altera_avalon_lcd_16207 "lcd_16207_0"]]> + queue size: 105 starting:altera_avalon_pio "submodules/nios_system_lcd_on" + Starting RTL generation for module 'nios_system_lcd_on' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_lcd_on --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0010_lcd_on_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0010_lcd_on_gen//nios_system_lcd_on_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_lcd_on' + nios_system" instantiated altera_avalon_pio "lcd_on"]]> + queue size: 103 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + nios_system" instantiated altera_merlin_master_translator "nios2_processor_instruction_master_translator"]]> + queue size: 101 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + nios_system" instantiated altera_merlin_slave_translator "nios2_processor_jtag_debug_module_translator"]]> + queue size: 83 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + nios_system" instantiated altera_merlin_master_agent "nios2_processor_instruction_master_translator_avalon_universal_master_0_agent"]]> + queue size: 81 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + nios_system" instantiated altera_merlin_slave_agent "nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent"]]> + queue size: 80 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + nios_system" instantiated altera_avalon_sc_fifo "nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo"]]> + queue size: 45 starting:altera_merlin_router "submodules/nios_system_addr_router" + nios_system" instantiated altera_merlin_router "addr_router"]]> + queue size: 44 starting:altera_merlin_router "submodules/nios_system_addr_router_001" + nios_system" instantiated altera_merlin_router "addr_router_001"]]> + queue size: 43 starting:altera_merlin_router "submodules/nios_system_id_router" + nios_system" instantiated altera_merlin_router "id_router"]]> + queue size: 41 starting:altera_merlin_router "submodules/nios_system_id_router_002" + nios_system" instantiated altera_merlin_router "id_router_002"]]> + queue size: 25 starting:altera_reset_controller "submodules/altera_reset_controller" + nios_system" instantiated altera_reset_controller "rst_controller"]]> + queue size: 24 starting:altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux" + nios_system" instantiated altera_merlin_demultiplexer "cmd_xbar_demux"]]> + queue size: 23 starting:altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux_001" + nios_system" instantiated altera_merlin_demultiplexer "cmd_xbar_demux_001"]]> + queue size: 22 starting:altera_merlin_multiplexer "submodules/nios_system_cmd_xbar_mux" + nios_system" instantiated altera_merlin_multiplexer "cmd_xbar_mux"]]> + queue size: 18 starting:altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002" + nios_system" instantiated altera_merlin_demultiplexer "rsp_xbar_demux_002"]]> + queue size: 2 starting:altera_merlin_multiplexer "submodules/nios_system_rsp_xbar_mux" + nios_system" instantiated altera_merlin_multiplexer "rsp_xbar_mux"]]> + C:/Users/takayun/Documents/DE2-115/qsys_tutorial/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv]]> + queue size: 1 starting:altera_merlin_multiplexer "submodules/nios_system_rsp_xbar_mux_001" + nios_system" instantiated altera_merlin_multiplexer "rsp_xbar_mux_001"]]> + C:/Users/takayun/Documents/DE2-115/qsys_tutorial/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv]]> + queue size: 0 starting:altera_irq_mapper "submodules/nios_system_irq_mapper" + nios_system" instantiated altera_irq_mapper "irq_mapper"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 121 starting:altera_nios2_qsys "submodules/nios_system_nios2_processor" + Starting RTL generation for module 'nios_system_nios2_processor' + Generation command is [exec C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/eperl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=nios_system_nios2_processor --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0001_nios2_processor_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0001_nios2_processor_gen//nios_system_nios2_processor_processor_configuration.pl --do_build_sim=0 --bogus ] + # 2017.01.26 11:05:40 (*) Starting Nios II generation + # 2017.01.26 11:05:40 (*) Checking for plaintext license. + # 2017.01.26 11:05:41 (*) Couldn't query license setup in Quartus directory C:/altera/13.0sp1/quartus + # 2017.01.26 11:05:41 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2017.01.26 11:05:41 (*) LM_LICENSE_FILE environment variable is empty + # 2017.01.26 11:05:41 (*) Plaintext license not found. + # 2017.01.26 11:05:41 (*) No license required to generate encrypted Nios II/e. + # 2017.01.26 11:05:41 (*) Elaborating CPU configuration settings + # 2017.01.26 11:05:41 (*) Creating all objects for CPU + # 2017.01.26 11:05:42 (*) Generating RTL from CPU objects + # 2017.01.26 11:05:42 (*) Creating plain-text RTL + # 2017.01.26 11:05:44 (*) Done Nios II generation + Done RTL generation for module 'nios_system_nios2_processor' + nios_system" instantiated altera_nios2_qsys "nios2_processor"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 120 starting:altera_avalon_onchip_memory2 "submodules/nios_system_onchip_memory" + Starting RTL generation for module 'nios_system_onchip_memory' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios_system_onchip_memory --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0002_onchip_memory_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0002_onchip_memory_gen//nios_system_onchip_memory_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_onchip_memory' + nios_system" instantiated altera_avalon_onchip_memory2 "onchip_memory"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 119 starting:altera_avalon_jtag_uart "submodules/nios_system_jtag_uart" + Starting RTL generation for module 'nios_system_jtag_uart' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios_system_jtag_uart --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0003_jtag_uart_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0003_jtag_uart_gen//nios_system_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_jtag_uart' + nios_system" instantiated altera_avalon_jtag_uart "jtag_uart"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 118 starting:altera_avalon_pio "submodules/nios_system_LEDs" + Starting RTL generation for module 'nios_system_LEDs' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_LEDs --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0004_LEDs_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0004_LEDs_gen//nios_system_LEDs_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_LEDs' + nios_system" instantiated altera_avalon_pio "LEDs"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 117 starting:altera_avalon_pio "submodules/nios_system_LEDRs" + Starting RTL generation for module 'nios_system_LEDRs' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_LEDRs --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0005_LEDRs_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0005_LEDRs_gen//nios_system_LEDRs_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_LEDRs' + nios_system" instantiated altera_avalon_pio "LEDRs"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 116 starting:altera_avalon_pio "submodules/nios_system_switches" + Starting RTL generation for module 'nios_system_switches' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_switches --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0006_switches_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0006_switches_gen//nios_system_switches_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_switches' + nios_system" instantiated altera_avalon_pio "switches"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 115 starting:altera_avalon_pio "submodules/nios_system_push_switches" + Starting RTL generation for module 'nios_system_push_switches' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_push_switches --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0007_push_switches_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0007_push_switches_gen//nios_system_push_switches_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_push_switches' + nios_system" instantiated altera_avalon_pio "push_switches"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 114 starting:altera_avalon_pio "submodules/nios_system_hex0" + Starting RTL generation for module 'nios_system_hex0' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_hex0 --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0008_hex0_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0008_hex0_gen//nios_system_hex0_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_hex0' + nios_system" instantiated altera_avalon_pio "hex0"]]> + + + + + + + + + + + + + + queue size: 106 starting:altera_avalon_lcd_16207 "submodules/nios_system_lcd_16207_0" + Starting RTL generation for module 'nios_system_lcd_16207_0' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/generate_rtl.pl --name=nios_system_lcd_16207_0 --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0009_lcd_16207_0_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0009_lcd_16207_0_gen//nios_system_lcd_16207_0_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_lcd_16207_0' + nios_system" instantiated altera_avalon_lcd_16207 "lcd_16207_0"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 105 starting:altera_avalon_pio "submodules/nios_system_lcd_on" + Starting RTL generation for module 'nios_system_lcd_on' + Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_lcd_on --dir=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0010_lcd_on_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7192_4423007586961821325.dir/0010_lcd_on_gen//nios_system_lcd_on_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'nios_system_lcd_on' + nios_system" instantiated altera_avalon_pio "lcd_on"]]> + + + + + + + + + + + + + + + queue size: 103 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + nios_system" instantiated altera_merlin_master_translator "nios2_processor_instruction_master_translator"]]> + + + + + + + + + + + + + + queue size: 101 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + nios_system" instantiated altera_merlin_slave_translator "nios2_processor_jtag_debug_module_translator"]]> + + + + + + + + + + + + + + + + + + + queue size: 83 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + nios_system" instantiated altera_merlin_master_agent "nios2_processor_instruction_master_translator_avalon_universal_master_0_agent"]]> + + + + + + + + + + + + + + + + + + + + + queue size: 81 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + nios_system" instantiated altera_merlin_slave_agent "nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent"]]> + + + + + + + + + + + + + + + + queue size: 80 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + nios_system" instantiated altera_avalon_sc_fifo "nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo"]]> + + + + + + + + + + + + + + + queue size: 45 starting:altera_merlin_router "submodules/nios_system_addr_router" + nios_system" instantiated altera_merlin_router "addr_router"]]> + + + + + + + + + + + + + + + queue size: 44 starting:altera_merlin_router "submodules/nios_system_addr_router_001" + nios_system" instantiated altera_merlin_router "addr_router_001"]]> + + + + + + + + + + + + + + + queue size: 43 starting:altera_merlin_router "submodules/nios_system_id_router" + nios_system" instantiated altera_merlin_router "id_router"]]> + + + + + + + + + + + + + + + queue size: 41 starting:altera_merlin_router "submodules/nios_system_id_router_002" + nios_system" instantiated altera_merlin_router "id_router_002"]]> + + + + + + + + + + + + + + + + + queue size: 25 starting:altera_reset_controller "submodules/altera_reset_controller" + nios_system" instantiated altera_reset_controller "rst_controller"]]> + + + + + + + + + + + + + + + + + + + + + queue size: 24 starting:altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux" + nios_system" instantiated altera_merlin_demultiplexer "cmd_xbar_demux"]]> + + + + + + + + + + + + + + + + + + + + + queue size: 23 starting:altera_merlin_demultiplexer "submodules/nios_system_cmd_xbar_demux_001" + nios_system" instantiated altera_merlin_demultiplexer "cmd_xbar_demux_001"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 22 starting:altera_merlin_multiplexer "submodules/nios_system_cmd_xbar_mux" + nios_system" instantiated altera_merlin_multiplexer "cmd_xbar_mux"]]> + + + + + + + + + + + + + + + + + + + + + queue size: 18 starting:altera_merlin_demultiplexer "submodules/nios_system_rsp_xbar_demux_002" + nios_system" instantiated altera_merlin_demultiplexer "rsp_xbar_demux_002"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 2 starting:altera_merlin_multiplexer "submodules/nios_system_rsp_xbar_mux" + nios_system" instantiated altera_merlin_multiplexer "rsp_xbar_mux"]]> + C:/Users/takayun/Documents/DE2-115/qsys_tutorial/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 1 starting:altera_merlin_multiplexer "submodules/nios_system_rsp_xbar_mux_001" + nios_system" instantiated altera_merlin_multiplexer "rsp_xbar_mux_001"]]> + C:/Users/takayun/Documents/DE2-115/qsys_tutorial/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv]]> + + + + + + + + + + + + + + + + + + queue size: 0 starting:altera_irq_mapper "submodules/nios_system_irq_mapper" + nios_system" instantiated altera_irq_mapper "irq_mapper"]]> + + + diff --git a/db/ip/nios_system/submodules/altera_avalon_sc_fifo.v b/db/ip/nios_system/submodules/altera_avalon_sc_fifo.v new file mode 100644 index 0000000..94e2787 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_avalon_sc_fifo.v @@ -0,0 +1,877 @@ +// ----------------------------------------------------------- +// Legal Notice: (C)2007 Altera Corporation. All rights reserved. Your +// use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any +// output files any of the foregoing (including device programming or +// simulation files), and any associated documentation or information are +// expressly subject to the terms and conditions of the Altera Program +// License Subscription Agreement or other applicable license agreement, +// including, without limitation, that your use is for the sole purpose +// of programming logic devices manufactured by Altera and sold by Altera +// or its authorized distributors. Please refer to the applicable +// agreement for further details. +// +// Description: Single clock Avalon-ST FIFO. +// ----------------------------------------------------------- + +`timescale 1 ns / 1 ns + + +//altera message_off 10036 +module altera_avalon_sc_fifo +#( + // -------------------------------------------------- + // Parameters + // -------------------------------------------------- + parameter SYMBOLS_PER_BEAT = 1, + parameter BITS_PER_SYMBOL = 8, + parameter FIFO_DEPTH = 16, + parameter CHANNEL_WIDTH = 0, + parameter ERROR_WIDTH = 0, + parameter USE_PACKETS = 0, + parameter USE_FILL_LEVEL = 0, + parameter USE_STORE_FORWARD = 0, + parameter USE_ALMOST_FULL_IF = 0, + parameter USE_ALMOST_EMPTY_IF = 0, + + // -------------------------------------------------- + // Empty latency is defined as the number of cycles + // required for a write to deassert the empty flag. + // For example, a latency of 1 means that the empty + // flag is deasserted on the cycle after a write. + // + // Another way to think of it is the latency for a + // write to propagate to the output. + // + // An empty latency of 0 implies lookahead, which is + // only implemented for the register-based FIFO. + // -------------------------------------------------- + parameter EMPTY_LATENCY = 3, + parameter USE_MEMORY_BLOCKS = 1, + + // -------------------------------------------------- + // Internal Parameters + // -------------------------------------------------- + parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, + parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) +) +( + // -------------------------------------------------- + // Ports + // -------------------------------------------------- + input clk, + input reset, + + input [DATA_WIDTH-1: 0] in_data, + input in_valid, + input in_startofpacket, + input in_endofpacket, + input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, + input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, + input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, + output in_ready, + + output [DATA_WIDTH-1 : 0] out_data, + output reg out_valid, + output out_startofpacket, + output out_endofpacket, + output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, + output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, + output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, + input out_ready, + + input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, + input csr_write, + input csr_read, + input [31 : 0] csr_writedata, + output reg [31 : 0] csr_readdata, + + output wire almost_full_data, + output wire almost_empty_data +); + + // -------------------------------------------------- + // Local Parameters + // -------------------------------------------------- + localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); + localparam DEPTH = FIFO_DEPTH; + localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; + localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? + 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; + + // -------------------------------------------------- + // Internal Signals + // -------------------------------------------------- + genvar i; + + reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; + reg [ADDR_WIDTH-1 : 0] wr_ptr; + reg [ADDR_WIDTH-1 : 0] rd_ptr; + reg [DEPTH-1 : 0] mem_used; + + wire [ADDR_WIDTH-1 : 0] next_wr_ptr; + wire [ADDR_WIDTH-1 : 0] next_rd_ptr; + wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; + wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; + + wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; + + wire read; + wire write; + + reg empty; + reg next_empty; + reg full; + reg next_full; + + wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; + wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; + wire [PAYLOAD_WIDTH-1 : 0] in_payload; + reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; + reg [PAYLOAD_WIDTH-1 : 0] out_payload; + + reg internal_out_valid; + wire internal_out_ready; + + reg [ADDR_WIDTH : 0] fifo_fill_level; + reg [ADDR_WIDTH : 0] fill_level; + + reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; + reg [23:0] almost_full_threshold; + reg [23:0] almost_empty_threshold; + reg [23:0] cut_through_threshold; + reg [15:0] pkt_cnt; + reg [15:0] pkt_cnt_r; + reg [15:0] pkt_cnt_plusone; + reg [15:0] pkt_cnt_minusone; + reg drop_on_error_en; + reg error_in_pkt; + reg pkt_has_started; + reg sop_has_left_fifo; + reg fifo_too_small_r; + reg pkt_cnt_eq_zero; + reg pkt_cnt_eq_one; + reg pkt_cnt_changed; + + wire wait_for_threshold; + reg pkt_mode; + wire wait_for_pkt; + wire ok_to_forward; + wire in_pkt_eop_arrive; + wire out_pkt_leave; + wire in_pkt_start; + wire in_pkt_error; + wire drop_on_error; + wire fifo_too_small; + wire out_pkt_sop_leave; + wire [31:0] max_fifo_size; + reg fifo_fill_level_lt_cut_through_threshold; + + // -------------------------------------------------- + // Define Payload + // + // Icky part where we decide which signals form the + // payload to the FIFO with generate blocks. + // -------------------------------------------------- + generate + if (EMPTY_WIDTH > 0) begin + assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; + assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; + end + else begin + assign out_empty = in_error; + assign in_packet_signals = {in_startofpacket, in_endofpacket}; + assign {out_startofpacket, out_endofpacket} = out_packet_signals; + end + endgenerate + + generate + if (USE_PACKETS) begin + if (ERROR_WIDTH > 0) begin + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; + assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = {in_packet_signals, in_data, in_error}; + assign {out_packet_signals, out_data, out_error} = out_payload; + end + end + else begin + assign out_error = in_error; + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_packet_signals, in_data, in_channel}; + assign {out_packet_signals, out_data, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = {in_packet_signals, in_data}; + assign {out_packet_signals, out_data} = out_payload; + end + end + end + else begin + assign out_packet_signals = 0; + if (ERROR_WIDTH > 0) begin + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_data, in_error, in_channel}; + assign {out_data, out_error, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = {in_data, in_error}; + assign {out_data, out_error} = out_payload; + end + end + else begin + assign out_error = in_error; + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_data, in_channel}; + assign {out_data, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = in_data; + assign out_data = out_payload; + end + end + end + endgenerate + + // -------------------------------------------------- + // Memory-based FIFO storage + // + // To allow a ready latency of 0, the read index is + // obtained from the next read pointer and memory + // outputs are unregistered. + // + // If the empty latency is 1, we infer bypass logic + // around the memory so writes propagate to the + // outputs on the next cycle. + // + // Do not change the way this is coded: Quartus needs + // a perfect match to the template, and any attempt to + // refactor the two always blocks into one will break + // memory inference. + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin + + if (EMPTY_LATENCY == 1) begin + + always @(posedge clk) begin + if (in_valid && in_ready) + mem[wr_ptr] = in_payload; + + internal_out_payload = mem[mem_rd_ptr]; + end + + end else begin + + always @(posedge clk) begin + if (in_valid && in_ready) + mem[wr_ptr] <= in_payload; + + internal_out_payload <= mem[mem_rd_ptr]; + end + + end + + assign mem_rd_ptr = next_rd_ptr; + + end else begin + + // -------------------------------------------------- + // Register-based FIFO storage + // + // Uses a shift register as the storage element. Each + // shift register slot has a bit which indicates if + // the slot is occupied (credit to Sam H for the idea). + // The occupancy bits are contiguous and start from the + // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep + // FIFO. + // + // Each slot is enabled during a read or when it + // is unoccupied. New data is always written to every + // going-to-be-empty slot (we keep track of which ones + // are actually useful with the occupancy bits). On a + // read we shift occupied slots. + // + // The exception is the last slot, which always gets + // new data when it is unoccupied. + // -------------------------------------------------- + for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg + always @(posedge clk or posedge reset) begin + if (reset) begin + mem[i] <= 0; + end + else if (read || !mem_used[i]) begin + if (!mem_used[i+1]) + mem[i] <= in_payload; + else + mem[i] <= mem[i+1]; + end + end + end + + always @(posedge clk, posedge reset) begin + if (reset) begin + mem[DEPTH-1] <= 0; + end + else begin + if (!mem_used[DEPTH-1]) + mem[DEPTH-1] <= in_payload; + + if (DEPTH == 1) begin + if (write) + mem[DEPTH-1] <= in_payload; + end + end + end + + end + endgenerate + + assign read = internal_out_ready && internal_out_valid && ok_to_forward; + assign write = in_ready && in_valid; + + // -------------------------------------------------- + // Pointer Management + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin + + assign incremented_wr_ptr = wr_ptr + 1'b1; + assign incremented_rd_ptr = rd_ptr + 1'b1; + assign next_wr_ptr = drop_on_error ? sop_ptr : write ? incremented_wr_ptr : wr_ptr; + assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; + + always @(posedge clk or posedge reset) begin + if (reset) begin + wr_ptr <= 0; + rd_ptr <= 0; + end + else begin + wr_ptr <= next_wr_ptr; + rd_ptr <= next_rd_ptr; + end + end + + end else begin + + // -------------------------------------------------- + // Shift Register Occupancy Bits + // + // Consider a 4-deep FIFO with 2 entries: 0011 + // On a read and write, do not modify the bits. + // On a write, left-shift the bits to get 0111. + // On a read, right-shift the bits to get 0001. + // + // Also, on a write we set bit0 (the head), while + // clearing the tail on a read. + // -------------------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + mem_used[0] <= 0; + end + else begin + if (write ^ read) begin + if (read) begin + if (DEPTH > 1) + mem_used[0] <= mem_used[1]; + else + mem_used[0] <= 0; + end + if (write) + mem_used[0] <= 1; + end + end + end + + if (DEPTH > 1) begin + always @(posedge clk or posedge reset) begin + if (reset) begin + mem_used[DEPTH-1] <= 0; + end + else begin + if (write ^ read) begin + mem_used[DEPTH-1] <= 0; + if (write) + mem_used[DEPTH-1] <= mem_used[DEPTH-2]; + end + end + end + end + + for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic + always @(posedge clk, posedge reset) begin + if (reset) begin + mem_used[i] <= 0; + end + else begin + if (write ^ read) begin + if (read) + mem_used[i] <= mem_used[i+1]; + if (write) + mem_used[i] <= mem_used[i-1]; + end + end + end + end + + end + endgenerate + + + // -------------------------------------------------- + // Memory FIFO Status Management + // + // Generates the full and empty signals from the + // pointers. The FIFO is full when the next write + // pointer will be equal to the read pointer after + // a write. Reading from a FIFO clears full. + // + // The FIFO is empty when the next read pointer will + // be equal to the write pointer after a read. Writing + // to a FIFO clears empty. + // + // A simultaneous read and write must not change any of + // the empty or full flags unless there is a drop on error event. + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin + + always @* begin + next_full = full; + next_empty = empty; + + if (read && !write) begin + next_full = 1'b0; + + if (incremented_rd_ptr == wr_ptr) + next_empty = 1'b1; + end + + if (write && !read) begin + if (!drop_on_error) + next_empty = 1'b0; + else if (sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo + next_empty = 1'b1; + + if (incremented_wr_ptr == rd_ptr && !drop_on_error) + next_full = 1'b1; + end + + if (write && read && drop_on_error) begin + if (sop_ptr == next_rd_ptr) + next_empty = 1'b1; + end + end + + always @(posedge clk or posedge reset) begin + if (reset) begin + empty <= 1; + full <= 0; + end + else begin + empty <= next_empty; + full <= next_full; + end + end + + end else begin + // -------------------------------------------------- + // Register FIFO Status Management + // + // Full when the tail occupancy bit is 1. Empty when + // the head occupancy bit is 0. + // -------------------------------------------------- + always @* begin + full = mem_used[DEPTH-1]; + empty = !mem_used[0]; + + // ------------------------------------------ + // For a single slot FIFO, reading clears the + // full status immediately. + // ------------------------------------------ + if (DEPTH == 1) + full = mem_used[0] && !read; + + internal_out_payload = mem[0]; + + // ------------------------------------------ + // Writes clear empty immediately for lookahead modes. + // Note that we use in_valid instead of write to avoid + // combinational loops (in lookahead mode, qualifying + // with in_ready is meaningless). + // + // In a 1-deep FIFO, a possible combinational loop runs + // from write -> out_valid -> out_ready -> write + // ------------------------------------------ + if (EMPTY_LATENCY == 0) begin + empty = !mem_used[0] && !in_valid; + + if (!mem_used[0] && in_valid) + internal_out_payload = in_payload; + end + end + + end + endgenerate + + // -------------------------------------------------- + // Avalon-ST Signals + // + // The in_ready signal is straightforward. + // + // To match memory latency when empty latency > 1, + // out_valid assertions must be delayed by one clock + // cycle. + // + // Note: out_valid deassertions must not be delayed or + // the FIFO will underflow. + // -------------------------------------------------- + assign in_ready = !full; + assign internal_out_ready = out_ready || !out_valid; + + generate if (EMPTY_LATENCY > 1) begin + always @(posedge clk or posedge reset) begin + if (reset) + internal_out_valid <= 0; + else begin + internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; + + if (read) begin + if (incremented_rd_ptr == wr_ptr) + internal_out_valid <= 1'b0; + end + end + end + end else begin + always @* begin + internal_out_valid = !empty & ok_to_forward; + end + end + endgenerate + + // -------------------------------------------------- + // Single Output Pipeline Stage + // + // This output pipeline stage is enabled if the FIFO's + // empty latency is set to 3 (default). It is disabled + // for all other allowed latencies. + // + // Reason: The memory outputs are unregistered, so we have to + // register the output or fmax will drop if combinatorial + // logic is present on the output datapath. + // + // Q: The Avalon-ST spec says that I have to register my outputs + // But isn't the memory counted as a register? + // A: The path from the address lookup to the memory output is + // slow. Registering the memory outputs is a good idea. + // + // The registers get packed into the memory by the fitter + // which means minimal resources are consumed (the result + // is a altsyncram with registered outputs, available on + // all modern Altera devices). + // + // This output stage acts as an extra slot in the FIFO, + // and complicates the fill level. + // -------------------------------------------------- + generate if (EMPTY_LATENCY == 3) begin + always @(posedge clk or posedge reset) begin + if (reset) begin + out_valid <= 0; + out_payload <= 0; + end + else begin + if (internal_out_ready) begin + out_valid <= internal_out_valid & ok_to_forward; + out_payload <= internal_out_payload; + end + end + end + end + else begin + always @* begin + out_valid = internal_out_valid; + out_payload = internal_out_payload; + end + end + endgenerate + + // -------------------------------------------------- + // Fill Level + // + // The fill level is calculated from the next write + // and read pointers to avoid unnecessary latency. + // + // If the output pipeline is enabled, the fill level + // must account for it, or we'll always be off by one. + // This may, or may not be important depending on the + // application. + // + // For now, we'll always calculate the exact fill level + // at the cost of an extra adder when the output stage + // is enabled. + // -------------------------------------------------- + generate if (USE_FILL_LEVEL) begin + wire [31:0] depth32; + assign depth32 = DEPTH; + always @(posedge clk or posedge reset) begin + if (reset) + fifo_fill_level <= 0; + else if (next_full & !drop_on_error) + fifo_fill_level <= depth32[ADDR_WIDTH:0]; + else begin + fifo_fill_level[ADDR_WIDTH] <= 1'b0; + fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; + end + end + + always @* begin + fill_level = fifo_fill_level; + + if (EMPTY_LATENCY == 3) + fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; + end + end + else begin + initial fill_level = 0; + end + endgenerate + + generate if (USE_ALMOST_FULL_IF) begin + assign almost_full_data = (fill_level >= almost_full_threshold); + end + else + assign almost_full_data = 0; + endgenerate + + generate if (USE_ALMOST_EMPTY_IF) begin + assign almost_empty_data = (fill_level <= almost_empty_threshold); + end + else + assign almost_empty_data = 0; + endgenerate + + // -------------------------------------------------- + // Avalon-MM Status & Control Connection Point + // + // Register map: + // + // | Addr | RW | 31 - 0 | + // | 0 | R | Fill level | + // + // The registering of this connection point means + // that there is a cycle of latency between + // reads/writes and the updating of the fill level. + // -------------------------------------------------- + generate if (USE_STORE_FORWARD) begin + assign max_fifo_size = FIFO_DEPTH - 1; + always @(posedge clk or posedge reset) begin + if (reset) begin + almost_full_threshold <= max_fifo_size[23 : 0]; + almost_empty_threshold <= 0; + cut_through_threshold <= 0; + drop_on_error_en <= 0; + csr_readdata <= 0; + pkt_mode <= 1'b1; + end + else begin + if (csr_write) begin + if(csr_address == 3'b010) + almost_full_threshold <= csr_writedata[23:0]; + if(csr_address == 3'b011) + almost_empty_threshold <= csr_writedata[23:0]; + if(csr_address == 3'b100) begin + cut_through_threshold <= csr_writedata[23:0]; + pkt_mode <= (csr_writedata[23:0] == 0); + end + if(csr_address == 3'b101) + drop_on_error_en <= csr_writedata[0]; + end + + if (csr_read) begin + csr_readdata <= 32'b0; + if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + if (csr_address == 2) + csr_readdata <= {8'b0, almost_full_threshold}; + if (csr_address == 3) + csr_readdata <= {8'b0, almost_empty_threshold}; + if (csr_address == 4) + csr_readdata <= {8'b0, cut_through_threshold}; + if (csr_address == 5) + csr_readdata <= {31'b0, drop_on_error_en}; + end + end + end + end + else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin + assign max_fifo_size = FIFO_DEPTH - 1; + always @(posedge clk or posedge reset) begin + if (reset) begin + almost_full_threshold <= max_fifo_size[23 : 0]; + almost_empty_threshold <= 0; + csr_readdata <= 0; + end + else begin + if (csr_write) begin + if(csr_address == 3'b010) + almost_full_threshold <= csr_writedata[23:0]; + if(csr_address == 3'b011) + almost_empty_threshold <= csr_writedata[23:0]; + end + + if (csr_read) begin + csr_readdata <= 32'b0; + if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + if (csr_address == 2) + csr_readdata <= {8'b0, almost_full_threshold}; + if (csr_address == 3) + csr_readdata <= {8'b0, almost_empty_threshold}; + end + end + end + end + else begin + always @(posedge clk or posedge reset) begin + if (reset) begin + csr_readdata <= 0; + end + else if (csr_read) begin + csr_readdata <= 0; + + if (csr_address == 0) + csr_readdata <= fill_level; + end + end + end + endgenerate + + // -------------------------------------------------- + // Store and forward logic + // -------------------------------------------------- + // if the fifo gets full before the entire packet or the + // cut-threshold condition is met then start sending out + // data in order to avoid dead-lock situation + + generate if (USE_STORE_FORWARD) begin + assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; + assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); + assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : + ~wait_for_threshold) | fifo_too_small_r; + assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; + assign in_pkt_start = in_valid & in_ready & in_startofpacket; + assign in_pkt_error = in_valid & in_ready & |in_error; + assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; + assign out_pkt_leave = out_valid & out_ready & out_endofpacket; + assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; + + // count packets coming and going into the fifo + always @(posedge clk or posedge reset) begin + if (reset) begin + pkt_cnt <= 0; + pkt_cnt_r <= 0; + pkt_cnt_plusone <= 1; + pkt_cnt_minusone <= 0; + pkt_cnt_changed <= 0; + pkt_has_started <= 0; + sop_has_left_fifo <= 0; + fifo_too_small_r <= 0; + pkt_cnt_eq_zero <= 1'b1; + pkt_cnt_eq_one <= 1'b0; + fifo_fill_level_lt_cut_through_threshold <= 1'b1; + end + else begin + fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; + fifo_too_small_r <= fifo_too_small; + pkt_cnt_plusone <= pkt_cnt + 1'b1; + pkt_cnt_minusone <= pkt_cnt - 1'b1; + pkt_cnt_r <= pkt_cnt; + pkt_cnt_changed <= 1'b0; + + if( in_pkt_eop_arrive ) + sop_has_left_fifo <= 1'b0; + else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) + sop_has_left_fifo <= 1'b1; + + if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin + pkt_cnt_changed <= 1'b1; + pkt_cnt <= pkt_cnt_changed ? pkt_cnt_r : pkt_cnt_plusone; + pkt_cnt_eq_zero <= 0; + if (pkt_cnt == 0) + pkt_cnt_eq_one <= 1'b1; + else + pkt_cnt_eq_one <= 1'b0; + end + else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin + pkt_cnt_changed <= 1'b1; + pkt_cnt <= pkt_cnt_changed ? pkt_cnt_r : pkt_cnt_minusone; + if (pkt_cnt == 1) + pkt_cnt_eq_zero <= 1'b1; + else + pkt_cnt_eq_zero <= 1'b0; + if (pkt_cnt == 2) + pkt_cnt_eq_one <= 1'b1; + else + pkt_cnt_eq_one <= 1'b0; + end + + if (in_pkt_start) + pkt_has_started <= 1'b1; + else if (in_pkt_eop_arrive) + pkt_has_started <= 1'b0; + end + end + + // drop on error logic + always @(posedge clk or posedge reset) begin + if (reset) begin + sop_ptr <= 0; + error_in_pkt <= 0; + end + else begin + // save the location of the SOP + if ( in_pkt_start ) + sop_ptr <= wr_ptr; + + // remember if error in pkt + // log error only if packet has already started + if (in_pkt_eop_arrive) + error_in_pkt <= 1'b0; + else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) + error_in_pkt <= 1'b1; + end + end + assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & + ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); + + end + else begin + assign ok_to_forward = 1'b1; + assign drop_on_error = 1'b0; + end + endgenerate + + + // -------------------------------------------------- + // Calculates the log2ceil of the input value + // -------------------------------------------------- + function integer log2ceil; + input integer val; + integer i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule diff --git a/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv b/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv new file mode 100644 index 0000000..00dc788 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_merlin_arbitrator.sv @@ -0,0 +1,270 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/main/ip/merlin/altera_merlin_std_arbitrator/altera_merlin_std_arbitrator_core.sv#3 $ +// $Revision: #3 $ +// $Date: 2010/07/07 $ +// $Author: jyeap $ + +/* ----------------------------------------------------------------------- +Round-robin/fixed arbitration implementation. + +Q: how do you find the least-significant set-bit in an n-bit binary number, X? + +A: M = X & (~X + 1) + +Example: X = 101000100 + 101000100 & + 010111011 + 1 = + + 101000100 & + 010111100 = + ----------- + 000000100 + +The method can be generalized to find the first set-bit +at a bit index no lower than bit-index N, simply by adding +2**N rather than 1. + + +Q: how does this relate to round-robin arbitration? +A: +Let X be the concatenation of all request signals. +Let the number to be added to X (hereafter called the +top_priority) initialize to 1, and be assigned from the +concatenation of the previous saved-grant, left-rotated +by one position, each time arbitration occurs. The +concatenation of grants is then M. + +Problem: consider this case: + +top_priority = 010000 +request = 001001 +~request + top_priority = 000110 +next_grant = 000000 <- no one is granted! + +There was no "set bit at a bit index no lower than bit-index 4", so +the result was 0. + +We need to propagate the carry out from (~request + top_priority) to the LSB, so +that the sum becomes 000111, and next_grant is 000001. This operation could be +called a "circular add". + +A bit of experimentation on the circular add reveals a significant amount of +delay in exiting and re-entering the carry chain - this will vary with device +family. Quartus also reports a combinational loop warning. Finally, +Modelsim 6.3g has trouble with the expression, evaluating it to 'X'. But +Modelsim _doesn't_ report a combinational loop!) + +An alternate solution: concatenate the request vector with itself, and OR +corresponding bits from the top and bottom halves to determine next_grant. + +Example: + +top_priority = 010000 +{request, request} = 001001 001001 +{~request, ~request} + top_priority = 110111 000110 +result of & operation = 000001 000000 +next_grant = 000001 + +Notice that if request = 0, the sum operation will overflow, but we can ignore +this; the next_grant result is 0 (no one granted), as you might expect. +In the implementation, the last-granted value must be maintained as +a non-zero value - best probably simply not to update it when no requests +occur. + +----------------------------------------------------------------------- */ + +`timescale 1 ns / 1 ns + +module altera_merlin_arbitrator +#( + parameter NUM_REQUESTERS = 8, + // -------------------------------------- + // Implemented schemes + // "round-robin" + // "fixed-priority" + // "no-arb" + // -------------------------------------- + parameter SCHEME = "round-robin", + parameter PIPELINE = 0 +) +( + input clk, + input reset, + + // -------------------------------------- + // Requests + // -------------------------------------- + input [NUM_REQUESTERS-1:0] request, + + // -------------------------------------- + // Grants + // -------------------------------------- + output [NUM_REQUESTERS-1:0] grant, + + // -------------------------------------- + // Control Signals + // -------------------------------------- + input increment_top_priority, + input save_top_priority +); + + // -------------------------------------- + // Signals + // -------------------------------------- + wire [NUM_REQUESTERS-1:0] top_priority; + reg [NUM_REQUESTERS-1:0] top_priority_reg; + reg [NUM_REQUESTERS-1:0] last_grant; + wire [2*NUM_REQUESTERS-1:0] result; + + // -------------------------------------- + // Scheme Selection + // -------------------------------------- + generate + if (SCHEME == "round-robin" && NUM_REQUESTERS > 1) begin + assign top_priority = top_priority_reg; + end + else begin + // Fixed arbitration (or single-requester corner case) + assign top_priority = 1'b1; + end + endgenerate + + // -------------------------------------- + // Decision Logic + // -------------------------------------- + altera_merlin_arb_adder + #( + .WIDTH (2 * NUM_REQUESTERS) + ) + adder + ( + .a ({ ~request, ~request }), + .b ({{NUM_REQUESTERS{1'b0}}, top_priority}), + .sum (result) + ); + + + generate if (SCHEME == "no-arb") begin + + // -------------------------------------- + // No arbitration: just wire request directly to grant + // -------------------------------------- + assign grant = request; + + end else begin + // Do the math in double-vector domain + wire [2*NUM_REQUESTERS-1:0] grant_double_vector; + assign grant_double_vector = {request, request} & result; + + // -------------------------------------- + // Extract grant from the top and bottom halves + // of the double vector. + // -------------------------------------- + assign grant = + grant_double_vector[NUM_REQUESTERS - 1 : 0] | + grant_double_vector[2 * NUM_REQUESTERS - 1 : NUM_REQUESTERS]; + + end + endgenerate + + // -------------------------------------- + // Left-rotate the last grant vector to create top_priority. + // -------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + top_priority_reg <= 1'b1; + end + else begin + if (PIPELINE) begin + if (increment_top_priority) begin + top_priority_reg <= (|request) ? {grant[NUM_REQUESTERS-2:0], + grant[NUM_REQUESTERS-1]} : top_priority_reg; + end + end else begin + if (save_top_priority) begin + top_priority_reg <= grant; + end + if (increment_top_priority) begin + if (|request) + top_priority_reg <= { grant[NUM_REQUESTERS-2:0], + grant[NUM_REQUESTERS-1] }; + else + top_priority_reg <= { top_priority_reg[NUM_REQUESTERS-2:0], top_priority_reg[NUM_REQUESTERS-1] }; + end + end + end + end + +endmodule + +// ---------------------------------------------- +// Adder for the standard arbitrator +// ---------------------------------------------- +module altera_merlin_arb_adder +#( + parameter WIDTH = 8 +) +( + input [WIDTH-1:0] a, + input [WIDTH-1:0] b, + + output [WIDTH-1:0] sum +); + + // ---------------------------------------------- + // Benchmarks indicate that for small widths, the full + // adder has higher fmax because synthesis can merge + // it with the mux, allowing partial decisions to be + // made early. + // + // The magic number is 4 requesters, which means an + // 8 bit adder. + // ---------------------------------------------- + genvar i; + generate if (WIDTH <= 8) begin : full_adder + + wire cout[WIDTH-1:0]; + + assign sum[0] = (a[0] ^ b[0]); + assign cout[0] = (a[0] & b[0]); + + for (i = 1; i < WIDTH; i = i+1) begin : arb + + assign sum[i] = (a[i] ^ b[i]) ^ cout[i-1]; + assign cout[i] = (a[i] & b[i]) | (cout[i-1] & (a[i] ^ b[i])); + + end + + end else begin : carry_chain + + assign sum = a + b; + + end + endgenerate + +endmodule diff --git a/db/ip/nios_system/submodules/altera_merlin_burst_uncompressor.sv b/db/ip/nios_system/submodules/altera_merlin_burst_uncompressor.sv new file mode 100644 index 0000000..9b49c44 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_merlin_burst_uncompressor.sv @@ -0,0 +1,286 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_slave_agent/altera_merlin_burst_uncompressor.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Burst Uncompressor +// +// Compressed read bursts -> uncompressed +// ------------------------------------------ + +`timescale 1 ns / 1 ns + +module altera_merlin_burst_uncompressor +#( + parameter ADDR_W = 16, + parameter BURSTWRAP_W = 3, + parameter BYTE_CNT_W = 4, + parameter PKT_SYMBOLS = 4, + parameter BURST_SIZE_W = 3 +) +( + input clk, + input reset, + + // sink ST signals + input sink_startofpacket, + input sink_endofpacket, + input sink_valid, + output sink_ready, + + // sink ST "data" + input [ADDR_W - 1: 0] sink_addr, + input [BURSTWRAP_W - 1 : 0] sink_burstwrap, + input [BYTE_CNT_W - 1 : 0] sink_byte_cnt, + input sink_is_compressed, + input [BURST_SIZE_W-1 : 0] sink_burstsize, + + // source ST signals + output source_startofpacket, + output source_endofpacket, + output source_valid, + input source_ready, + + // source ST "data" + output [ADDR_W - 1: 0] source_addr, + output [BURSTWRAP_W - 1 : 0] source_burstwrap, + output [BYTE_CNT_W - 1 : 0] source_byte_cnt, + + // Note: in the slave agent, the output should always be uncompressed. In + // other applications, it may be required to leave-compressed or not. How to + // control? Seems like a simple mux - pass-through if no uncompression is + // required. + output source_is_compressed, + output [BURST_SIZE_W-1 : 0] source_burstsize +); + +//---------------------------------------------------- +// AXSIZE decoding +// +// Turns the axsize value into the actual number of bytes +// being transferred. +// --------------------------------------------------- +function reg[63:0] bytes_in_transfer; + input [2:0] axsize; + case (axsize) + 3'b000: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; + 3'b001: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000010; + 3'b010: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000100; + 3'b011: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000001000; + 3'b100: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000010000; + 3'b101: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000100000; + 3'b110: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000001000000; + 3'b111: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000010000000; + default:bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; + endcase + +endfunction + + // num_symbols is PKT_SYMBOLS, appropriately sized. + wire [31:0] int_num_symbols = PKT_SYMBOLS; + wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; + + // def: Burst Compression. In a merlin network, a compressed burst is one + // which is transmitted in a single beat. Example: read burst. In + // constrast, an uncompressed burst (example: write burst) is transmitted in + // one beat per writedata item. + // + // For compressed bursts which require response packets, burst + // uncompression is required. Concrete example: a read burst of size 8 + // occupies one response-fifo position. When that fifo position reaches the + // front of the FIFO, the slave starts providing the required 8 readdatavalid + // pulses. The 8 return response beats must be provided in a single packet, + // with incrementing address and decrementing byte_cnt fields. Upon receipt + // of the final readdata item of the burst, the response FIFO item is + // retired. + // Burst uncompression logic provides: + // a) 2-state FSM (idle, busy) + // reset to idle state + // transition to busy state for 2nd and subsequent rdv pulses + // - a single-cycle burst (aka non-burst read) causes no transition to + // busy state. + // b) response startofpacket/endofpacket logic. The response FIFO item + // will have sop asserted, and may have eop asserted. (In the case of + // multiple read bursts transmit in the command fabric in a single packet, + // the eop assertion will come in a later FIFO item.) To support packet + // conservation, and emit a well-formed packet on the response fabric, + // i) response fabric startofpacket is asserted only for the first resp. + // beat; + // ii) response fabric endofpacket is asserted only for the last resp. + // beat. + // c) response address field. The response address field contains an + // incrementing sequence, such that each readdata item is associated with + // its slave-map location. N.b. a) computing the address correctly requires + // knowledge of burstwrap behavior b) there may be no clients of the address + // field, which makes this field a good target for optimization. See + // burst_uncompress_address_counter below. + // d) response byte_cnt field. The response byte_cnt field contains a + // decrementing sequence, such that each beat of the response contains the + // count of bytes to follow. In the case of sub-bursts in a single packet, + // the byte_cnt field may decrement down to num_symbols, then back up to + // some value, multiple times in the packet. + + reg burst_uncompress_busy; + reg [BYTE_CNT_W-1:0] burst_uncompress_byte_counter; + wire first_packet_beat; + wire last_packet_beat; + + assign first_packet_beat = sink_valid & ~burst_uncompress_busy; + + // First cycle: burst_uncompress_byte_counter isn't ready yet, mux the input to + // the output. + assign source_byte_cnt = + first_packet_beat ? sink_byte_cnt : burst_uncompress_byte_counter; + assign source_valid = sink_valid; + + // Last packet beat is set throughout receipt of an uncompressed read burst + // from the response FIFO - this forces all the burst uncompression machinery + // idle. + assign last_packet_beat = ~sink_is_compressed | + ( + burst_uncompress_busy ? + (sink_valid & (burst_uncompress_byte_counter == num_symbols)) : + sink_valid & (sink_byte_cnt == num_symbols) + ); + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_busy <= '0; + burst_uncompress_byte_counter <= '0; + end + else begin + if (source_valid & source_ready & sink_valid) begin + // No matter what the current state, last_packet_beat leads to + // idle. + if (last_packet_beat) begin + burst_uncompress_busy <= '0; + burst_uncompress_byte_counter <= '0; + end + else begin + if (burst_uncompress_busy) begin + burst_uncompress_byte_counter <= burst_uncompress_byte_counter ? + (burst_uncompress_byte_counter - num_symbols) : + (sink_byte_cnt - num_symbols); + end + else begin // not busy, at least one more beat to go + burst_uncompress_byte_counter <= sink_byte_cnt - num_symbols; + // To do: should busy go true for numsymbols-size compressed + // bursts? + burst_uncompress_busy <= '1; + end + end + end + end + end + + wire [ADDR_W - 1 : 0 ] addr_width_burstwrap; + reg [ADDR_W - 1 : 0 ] burst_uncompress_address_base; + reg [ADDR_W - 1 : 0] burst_uncompress_address_offset; + + wire [63:0] decoded_burstsize_wire; + wire [ADDR_W-1:0] decoded_burstsize; + + // The input burstwrap value can be used as a mask against address values, + // but with one caveat: the address width may be (probably is) wider than + // the burstwrap width. The spec says: extend the msb of the burstwrap + // value out over the entire address width (but only if the address width + // actually is wider than the burstwrap width; otherwise it's a 0-width or + // negative range and concatenation multiplier). + assign addr_width_burstwrap[BURSTWRAP_W - 1 : 0] = sink_burstwrap; + generate + if (ADDR_W > BURSTWRAP_W) begin : addr_sign_extend + // Sign-extend, just wires: + assign addr_width_burstwrap[ADDR_W - 1 : BURSTWRAP_W] = + {(ADDR_W - BURSTWRAP_W) {sink_burstwrap[BURSTWRAP_W - 1]}}; + end + endgenerate + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_address_base <= '0; + end + else if (first_packet_beat & source_ready) begin + burst_uncompress_address_base <= sink_addr & ~addr_width_burstwrap; + end + end + + assign decoded_burstsize_wire = bytes_in_transfer(sink_burstsize); //expand it to 64 bits + assign decoded_burstsize = decoded_burstsize_wire[ADDR_W-1:0]; //then take the width that is needed + + wire [ADDR_W - 1 : 0] p1_burst_uncompress_address_offset = + ( + (first_packet_beat ? + sink_addr : + burst_uncompress_address_offset) + decoded_burstsize + ) & + addr_width_burstwrap; + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_address_offset <= '0; + end + else begin + if (source_ready & source_valid) begin + burst_uncompress_address_offset <= p1_burst_uncompress_address_offset; + // if (first_packet_beat) begin + // burst_uncompress_address_offset <= + // (sink_addr + num_symbols) & addr_width_burstwrap; + // end + // else begin + // burst_uncompress_address_offset <= + // (burst_uncompress_address_offset + num_symbols) & addr_width_burstwrap; + // end + end + end + end + + // On the first packet beat, send the input address out unchanged, + // while values are computed/registered for 2nd and subsequent beats. + assign source_addr = first_packet_beat ? sink_addr : + burst_uncompress_address_base | burst_uncompress_address_offset; + assign source_burstwrap = sink_burstwrap; + assign source_burstsize = sink_burstsize; + + //------------------------------------------------------------------- + // A single (compressed) read burst will have sop/eop in the same beat. + // A sequence of read sub-bursts emitted by a burst adapter in response to a + // single read burst will have sop on the first sub-burst, eop on the last. + // Assert eop only upon (sink_endofpacket & last_packet_beat) to preserve + // packet conservation. + assign source_startofpacket = sink_startofpacket & ~burst_uncompress_busy; + assign source_endofpacket = sink_endofpacket & last_packet_beat; + assign sink_ready = source_valid & source_ready & last_packet_beat; + + // This is correct for the slave agent usage, but won't always be true in the + // width adapter. To do: add an "please uncompress" input, and use it to + // pass-through or modify, and set source_is_compressed accordingly. + assign source_is_compressed = 1'b0; +endmodule + diff --git a/db/ip/nios_system/submodules/altera_merlin_master_agent.sv b/db/ip/nios_system/submodules/altera_merlin_master_agent.sv new file mode 100644 index 0000000..070f26f --- /dev/null +++ b/db/ip/nios_system/submodules/altera_merlin_master_agent.sv @@ -0,0 +1,309 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_master_agent/altera_merlin_master_agent.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// -------------------------------------- +// Merlin Master Agent +// +// Converts Avalon-MM transactions into +// Merlin network packets. +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_merlin_master_agent +#( + // ------------------- + // Packet Format Parameters + // ------------------- + parameter PKT_QOS_H = 109, + PKT_QOS_L = 106, + PKT_DATA_SIDEBAND_H = 105, + PKT_DATA_SIDEBAND_L = 98, + PKT_ADDR_SIDEBAND_H = 97, + PKT_ADDR_SIDEBAND_L = 93, + PKT_CACHE_H = 92, + PKT_CACHE_L = 89, + PKT_THREAD_ID_H = 88, + PKT_THREAD_ID_L = 87, + PKT_BEGIN_BURST = 81, + PKT_PROTECTION_H = 80, + PKT_PROTECTION_L = 80, + PKT_BURSTWRAP_H = 79, + PKT_BURSTWRAP_L = 77, + PKT_BYTE_CNT_H = 76, + PKT_BYTE_CNT_L = 74, + PKT_ADDR_H = 73, + PKT_ADDR_L = 42, + PKT_BURST_SIZE_H = 86, + PKT_BURST_SIZE_L = 84, + PKT_BURST_TYPE_H = 94, + PKT_BURST_TYPE_L = 93, + PKT_TRANS_EXCLUSIVE = 83, + PKT_TRANS_LOCK = 82, + PKT_TRANS_COMPRESSED_READ = 41, + PKT_TRANS_POSTED = 40, + PKT_TRANS_WRITE = 39, + PKT_TRANS_READ = 38, + PKT_DATA_H = 37, + PKT_DATA_L = 6, + PKT_BYTEEN_H = 5, + PKT_BYTEEN_L = 2, + PKT_SRC_ID_H = 1, + PKT_SRC_ID_L = 1, + PKT_DEST_ID_H = 0, + PKT_DEST_ID_L = 0, + PKT_RESPONSE_STATUS_L = 110, + PKT_RESPONSE_STATUS_H = 111, + ST_DATA_W = 112, + ST_CHANNEL_W = 1, + + // ------------------- + // Agent Parameters + // ------------------- + AV_BURSTCOUNT_W = 3, + ID = 1, + SUPPRESS_0_BYTEEN_RSP = 1, + BURSTWRAP_VALUE = 4, + CACHE_VALUE = 0, + SECURE_ACCESS_BIT = 1, + USE_READRESPONSE = 0, + USE_WRITERESPONSE = 0, + + // ------------------- + // Derived Parameters + // ------------------- + PKT_BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1, + PKT_BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1, + PKT_PROTECTION_W= PKT_PROTECTION_H - PKT_PROTECTION_L + 1, + PKT_ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, + PKT_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, + PKT_BYTEEN_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, + PKT_SRC_ID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1, + PKT_DEST_ID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1 +) +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Avalon-MM Anti-Master + // ------------------- + input [PKT_ADDR_W-1 : 0] av_address, + input av_write, + input av_read, + input [PKT_DATA_W-1 : 0] av_writedata, + output reg [PKT_DATA_W-1 : 0] av_readdata, + output reg av_waitrequest, + output reg av_readdatavalid, + input [PKT_BYTEEN_W-1 : 0] av_byteenable, + input [AV_BURSTCOUNT_W-1 : 0] av_burstcount, + input av_debugaccess, + input av_lock, + output reg [1:0] av_response, + input av_writeresponserequest, + output reg av_writeresponsevalid, + + // ------------------- + // Command Source + // ------------------- + output reg cp_valid, + output reg [ST_DATA_W-1 : 0] cp_data, + output wire cp_startofpacket, + output wire cp_endofpacket, + input cp_ready, + + // ------------------- + // Response Sink + // ------------------- + input rp_valid, + input [ST_DATA_W-1 : 0] rp_data, + input [ST_CHANNEL_W-1 : 0] rp_channel, + input rp_startofpacket, + input rp_endofpacket, + output reg rp_ready +); + // ------------------------------------------------------------ + // Utility Functions + // ------------------------------------------------------------ + function integer clogb2; + input [31:0] value; + begin + for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1) + value = value >> 1; + clogb2 = clogb2 - 1; + end + endfunction // clogb2 + + localparam MAX_BURST = 1 << (AV_BURSTCOUNT_W - 1); + localparam NUMSYMBOLS = PKT_BYTEEN_W; + localparam BURSTING = (MAX_BURST > NUMSYMBOLS); + localparam BITS_TO_ZERO = clogb2(NUMSYMBOLS); + localparam BURST_SIZE = clogb2(NUMSYMBOLS); + + typedef enum bit [1:0] + { + FIXED = 2'b00, + INCR = 2'b01, + WRAP = 2'b10, + OTHER_WRAP = 2'b11 + } MerlinBurstType; + + // -------------------------------------- + // Potential optimization: compare in words to save bits? + // -------------------------------------- + wire is_burst; + assign is_burst = (BURSTING) & (av_burstcount > NUMSYMBOLS); + + wire [31:0] burstwrap_value_int = BURSTWRAP_VALUE; + wire [31:0] id_int = ID; + wire [2:0] burstsize_sig = BURST_SIZE[2:0]; + wire [1:0] bursttype_value = burstwrap_value_int[PKT_BURSTWRAP_W-1] ? INCR : WRAP; + + // -------------------------------------- + // Address alignment + // + // The packet format requires that addresses be aligned to + // the transaction size. + // -------------------------------------- + wire [PKT_ADDR_W-1 : 0] av_address_aligned; + generate + if (NUMSYMBOLS > 1) begin + assign av_address_aligned = + {av_address[PKT_ADDR_W-1 : BITS_TO_ZERO], {BITS_TO_ZERO {1'b0}}}; + end + else begin + assign av_address_aligned = av_address; + end + endgenerate + + // -------------------------------------- + // Command & Response Construction + // -------------------------------------- + always @* begin + cp_data = '0; // default assignment; override below as needed. + + cp_data[PKT_PROTECTION_L] = av_debugaccess; + cp_data[PKT_PROTECTION_L+1] = SECURE_ACCESS_BIT[0]; // Default Non-secured (AXI) + cp_data[PKT_PROTECTION_L+2] = 1'b0; // Default Data access (AXI) + cp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L ] = burstwrap_value_int[PKT_BURSTWRAP_W-1:0]; + cp_data[PKT_BYTE_CNT_H :PKT_BYTE_CNT_L ] = av_burstcount; + cp_data[PKT_ADDR_H :PKT_ADDR_L ] = av_address_aligned; + cp_data[PKT_TRANS_EXCLUSIVE ] = 1'b0; + cp_data[PKT_TRANS_LOCK ] = av_lock; + cp_data[PKT_TRANS_COMPRESSED_READ ] = av_read & is_burst; + cp_data[PKT_TRANS_READ ] = av_read; + cp_data[PKT_TRANS_WRITE ] = av_write; + // posted and non-posted write avaiable now + cp_data[PKT_TRANS_POSTED ] = av_write & !av_writeresponserequest; + cp_data[PKT_DATA_H :PKT_DATA_L ] = av_writedata; + cp_data[PKT_BYTEEN_H :PKT_BYTEEN_L ] = av_byteenable; + cp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = burstsize_sig; + cp_data[PKT_BURST_TYPE_H:PKT_BURST_TYPE_L] = bursttype_value; + cp_data[PKT_SRC_ID_H :PKT_SRC_ID_L ] = id_int[PKT_SRC_ID_W-1:0]; + cp_data[PKT_THREAD_ID_H:PKT_THREAD_ID_L ] = '0; + cp_data[PKT_CACHE_H :PKT_CACHE_L ] = CACHE_VALUE[3:0]; + cp_data[PKT_QOS_H : PKT_QOS_L] = '0; + cp_data[PKT_ADDR_SIDEBAND_H:PKT_ADDR_SIDEBAND_L] = '0; + cp_data[PKT_DATA_SIDEBAND_H :PKT_DATA_SIDEBAND_L] = '0; + + av_readdata = rp_data[PKT_DATA_H : PKT_DATA_L]; + if (USE_WRITERESPONSE || USE_READRESPONSE) + av_response = rp_data[PKT_RESPONSE_STATUS_H : PKT_RESPONSE_STATUS_L]; + else + av_response = '0; + + end + + // -------------------------------------- + // Command Control + // -------------------------------------- + always @* begin + cp_valid = 0; + + if (av_write || av_read) + cp_valid = 1; + end + + generate if (BURSTING) begin + reg sop_enable; + + always @(posedge clk, posedge reset) begin + if (reset) begin + sop_enable <= 1'b1; + end + else begin + if (cp_valid && cp_ready) begin + sop_enable <= 1'b0; + if (cp_endofpacket) + sop_enable <= 1'b1; + end + end + end + + assign cp_startofpacket = sop_enable; + assign cp_endofpacket = (av_read) | (av_burstcount == NUMSYMBOLS); + + end + else begin + + assign cp_startofpacket = 1'b1; + assign cp_endofpacket = 1'b1; + + end + endgenerate + + // -------------------------------------- + // Backpressure & Readdatavalid + // -------------------------------------- + reg hold_waitrequest; + + always @ (posedge clk, posedge reset) begin + if (reset) + hold_waitrequest <= 1'b1; + else + hold_waitrequest <= 1'b0; + end + + always @* begin + rp_ready = 1; + av_readdatavalid = 0; + av_writeresponsevalid = 0; + av_waitrequest = hold_waitrequest | !cp_ready; + + // -------------------------------------- + // Currently, responses are _always_ read responses because + // this Avalon agent only issues posted writes, which do + // not have responses. -> not true for now + // Now Avalon supports response, so based on type of transaction + // return, assert correct thing + // -------------------------------------- + if (rp_data[PKT_TRANS_WRITE] == 1) + av_writeresponsevalid = rp_valid; + else + av_readdatavalid = rp_valid; + + if (SUPPRESS_0_BYTEEN_RSP) begin + if (rp_data[PKT_BYTEEN_H:PKT_BYTEEN_L] == 0) + av_readdatavalid = 0; + end + end + +endmodule diff --git a/db/ip/nios_system/submodules/altera_merlin_master_translator.sv b/db/ip/nios_system/submodules/altera_merlin_master_translator.sv new file mode 100644 index 0000000..a43760f --- /dev/null +++ b/db/ip/nios_system/submodules/altera_merlin_master_translator.sv @@ -0,0 +1,554 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_master_translator/altera_merlin_master_translator.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// -------------------------------------- +// Merlin Master Translator +// +// Converts Avalon-MM Master Interfaces into +// Avalon-MM Universal Master Interfaces +// -------------------------------------- + +`timescale 1 ns / 1 ns + + + +module altera_merlin_master_translator #( + parameter + AV_ADDRESS_W = 32, + AV_DATA_W = 32, + AV_BURSTCOUNT_W = 4, + AV_BYTEENABLE_W = 4, + + //Optional Port Declarations + + USE_BURSTCOUNT = 1, + USE_BEGINBURSTTRANSFER = 0, + USE_BEGINTRANSFER = 0, + USE_CHIPSELECT = 0, + USE_READ = 1, + USE_READDATAVALID = 1, + USE_WRITE = 1, + USE_WAITREQUEST = 1, + USE_WRITERESPONSE = 0, + USE_READRESPONSE = 0, + + AV_REGISTERINCOMINGSIGNALS = 0, + AV_SYMBOLS_PER_WORD = 4, + AV_ADDRESS_SYMBOLS = 0, + AV_CONSTANT_BURST_BEHAVIOR = 1, + AV_BURSTCOUNT_SYMBOLS = 0, + AV_LINEWRAPBURSTS = 0, + UAV_ADDRESS_W = 38, + UAV_BURSTCOUNT_W = 10, + UAV_CONSTANT_BURST_BEHAVIOR = 0 + )( + //Universal Avalon Master + input wire clk, + input wire reset, + output reg uav_write, + output reg uav_read, + output reg [UAV_ADDRESS_W -1 : 0] uav_address, + output reg [UAV_BURSTCOUNT_W -1 : 0] uav_burstcount, + output wire [AV_BYTEENABLE_W -1 : 0] uav_byteenable, + output wire [AV_DATA_W -1 : 0] uav_writedata, + output wire uav_lock, + output wire uav_debugaccess, + output wire uav_clken, + + input wire [ AV_DATA_W -1 : 0] uav_readdata, + input wire uav_readdatavalid, + input wire uav_waitrequest, + input wire [1:0] uav_response, + output reg uav_writeresponserequest, + input wire uav_writeresponsevalid, + + //Avalon-MM !Master + input reg av_write, + input reg av_read, + input wire [AV_ADDRESS_W -1 : 0] av_address, + input wire [AV_BYTEENABLE_W -1 : 0] av_byteenable, + input wire [AV_BURSTCOUNT_W -1 : 0] av_burstcount, + input wire [AV_DATA_W -1 : 0] av_writedata, + input wire av_begintransfer, + input wire av_beginbursttransfer, + input wire av_lock, + input wire av_chipselect, + input wire av_debugaccess, + input wire av_clken, + + output wire [AV_DATA_W -1 : 0] av_readdata, + output wire av_readdatavalid, + output reg av_waitrequest, + output reg [1:0] av_response, + input wire av_writeresponserequest, + output reg av_writeresponsevalid + + ); + + + localparam BITS_PER_WORD = clog2(AV_SYMBOLS_PER_WORD - 1); + localparam AV_MAX_SYMBOL_BURST = flog2( pow2(AV_BURSTCOUNT_W - 1) * (AV_BURSTCOUNT_SYMBOLS ? 1 : (AV_SYMBOLS_PER_WORD)) ); + localparam AV_MAX_SYMBOL_BURST_MINUS_ONE = AV_MAX_SYMBOL_BURST ? AV_MAX_SYMBOL_BURST - 1 : 0 ; + + localparam UAV_BURSTCOUNT_W_OR_32 = UAV_BURSTCOUNT_W > 32 ? 31 : UAV_BURSTCOUNT_W -1; + localparam UAV_ADDRESS_W_OR_32 = UAV_ADDRESS_W > 32 ? 31 : UAV_ADDRESS_W -1; + + + // -1 for burstcount restriction 2^(n-1) + + localparam BITS_PER_WORD_BURSTCOUNT = UAV_BURSTCOUNT_W == 1 ? 0 : BITS_PER_WORD; + localparam BITS_PER_WORD_ADDRESS = UAV_ADDRESS_W == 1 ? 0 : BITS_PER_WORD; + + localparam ADDRESS_LOW = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD_ADDRESS; + localparam BURSTCOUNT_LOW = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD_BURSTCOUNT; + + localparam ADDRESS_HIGH = UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_LOW ? AV_ADDRESS_W : UAV_ADDRESS_W - ADDRESS_LOW; + localparam BURSTCOUNT_HIGH = UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_LOW ? AV_BURSTCOUNT_W : UAV_BURSTCOUNT_W - BURSTCOUNT_LOW; + + function integer flog2; + input [31:0] Depth; + integer i; + begin + i = Depth; + if ( i <= 0 ) flog2 = 0; + else begin + for(flog2 = -1; i > 0; flog2 = flog2 + 1) + i = i >> 1; + end + end + + endfunction // flog2 + + function integer clog2; + input [31:0] Depth; + integer i; + begin + i = Depth; + for(clog2 = 0; i > 0; clog2 = clog2 + 1) + i = i >> 1; + end + + endfunction + + function integer pow2; + input [31:0] toShift; + begin + pow2=1; + pow2= pow2 << toShift; + end + endfunction // pow2 + + // ------------------------------------------------- + // Assign some constants to appropriately-sized signals to + // avoid synthesis warnings. This also helps some simulators + // with their inferred sensitivity lists. + // ------------------------------------------------- + // Calculate the symbols per word as the power of 2 extended symbols per word + wire [31:0] symbols_per_word_int = 2**(clog2(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_W_OR_32 : 0] - 1)); + wire [UAV_BURSTCOUNT_W_OR_32 : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_W_OR_32 : 0]; + + + reg internal_beginbursttransfer; + reg internal_begintransfer; + reg [UAV_ADDRESS_W - 1: 0 ] uav_address_pre; + reg [UAV_BURSTCOUNT_W - 1 : 0 ] uav_burstcount_pre; + + + + reg uav_read_pre; + reg uav_write_pre; + reg read_accepted; + + //Passthru assignmenst + + assign uav_writedata = av_writedata; + assign av_readdata = uav_readdata; + assign uav_byteenable = av_byteenable; + assign uav_lock = av_lock; + assign av_readdatavalid = uav_readdatavalid; + assign uav_debugaccess = av_debugaccess; + assign uav_clken = av_clken; + + //Response signals + always_comb + begin + if (!USE_READRESPONSE && !USE_WRITERESPONSE) + av_response = '0; + else + av_response = uav_response; + if (USE_WRITERESPONSE) begin + uav_writeresponserequest = av_writeresponserequest; + av_writeresponsevalid = uav_writeresponsevalid; + end else begin + uav_writeresponserequest = '0; + av_writeresponsevalid = '0; + end + end + + //address + burstcount assignment + + reg [UAV_ADDRESS_W - 1 : 0] address_register; + reg [UAV_BURSTCOUNT_W - 1 : 0] burstcount_register; + + always @* begin + uav_address=uav_address_pre; + uav_burstcount=uav_burstcount_pre; + + if(AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~internal_beginbursttransfer) begin + uav_address=address_register; + uav_burstcount=burstcount_register; + end + end + + reg first_burst_stalled; + reg burst_stalled; + + + wire[UAV_ADDRESS_W-1:0] combi_burst_addr_reg; + wire [UAV_ADDRESS_W-1:0] combi_addr_reg; + generate + if(AV_LINEWRAPBURSTS && AV_MAX_SYMBOL_BURST!=0) begin + if(AV_MAX_SYMBOL_BURST > UAV_ADDRESS_W - 1) begin + assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; + assign combi_addr_reg = { address_register[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; + end + else begin + assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], uav_address_pre[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; + assign combi_addr_reg = { address_register[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], address_register[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; + end + end + else begin + assign combi_burst_addr_reg = + uav_address_pre + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W_OR_32:0]; + assign combi_addr_reg = + address_register + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W_OR_32:0]; + end + endgenerate + + always@(posedge clk, posedge reset) begin + + if(reset) begin + address_register <= '0; + burstcount_register <= '0; + first_burst_stalled <= 1'b0; + burst_stalled <= 1'b0; + end + else begin + address_register <= address_register; + burstcount_register <= burstcount_register; + + if(internal_beginbursttransfer||first_burst_stalled) begin + + if(av_waitrequest) begin + first_burst_stalled <= 1'b1; + address_register <= uav_address_pre; + burstcount_register <= uav_burstcount_pre; + end else begin + first_burst_stalled <= 1'b0; + address_register <= combi_burst_addr_reg; + burstcount_register <= uav_burstcount_pre - symbols_per_word; + end + end + + else if(internal_begintransfer || burst_stalled) begin + if(~av_waitrequest) begin + burst_stalled <= 1'b0; + address_register <= combi_addr_reg; + burstcount_register <= burstcount_register - symbols_per_word; + end else + burst_stalled<=1'b1; + end + end + + end + + //Address + always @* begin + uav_address_pre = '0; + + if(AV_ADDRESS_SYMBOLS) + uav_address_pre=av_address[ ( ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0 ) : 0 ]; + else begin + uav_address_pre[ UAV_ADDRESS_W - 1 : ADDRESS_LOW ] = av_address[( ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0 ]; + end + end + + //Burstcount + always@* begin + uav_burstcount_pre = symbols_per_word; // default to a single transfer + + if(USE_BURSTCOUNT) begin + uav_burstcount_pre = '0; + + if(AV_BURSTCOUNT_SYMBOLS) + uav_burstcount_pre = av_burstcount[( BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0 ) :0 ]; + else begin + uav_burstcount_pre[ UAV_BURSTCOUNT_W - 1 : BURSTCOUNT_LOW] = av_burstcount[( BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0 ) : 0 ]; + end + + end + + end + + + //waitrequest translation + + always@(posedge clk, posedge reset) begin + if(reset) + read_accepted <= 1'b0; + else begin + read_accepted <= read_accepted; + + if(read_accepted == 1 && uav_readdatavalid == 1) // reset acceptance only when rdv arrives + read_accepted <= 1'b0; + + if(read_accepted == 0) + read_accepted<=av_waitrequest ? uav_read_pre & ~uav_waitrequest : 1'b0; + end + + end + + reg write_accepted = 0; + generate if (AV_REGISTERINCOMINGSIGNALS) begin + always@(posedge clk, posedge reset) begin + if(reset) + write_accepted <= 1'b0; + else begin + write_accepted <= + ~av_waitrequest ? 1'b0 : + uav_write & ~uav_waitrequest? 1'b1 : + write_accepted; + end + end + end endgenerate + + always@* begin + av_waitrequest = uav_waitrequest; + + if(USE_READDATAVALID == 0 ) begin + av_waitrequest = uav_read_pre ? ~uav_readdatavalid : uav_waitrequest; + end + + if (AV_REGISTERINCOMINGSIGNALS) begin + av_waitrequest = + uav_read_pre ? ~uav_readdatavalid : + uav_write_pre ? (internal_begintransfer | uav_waitrequest) & ~write_accepted : + 1'b1; + end + + if(USE_WAITREQUEST == 0) begin + av_waitrequest = 0; + end + end + + //read/write generation + always@* begin + + uav_write = 1'b0; + uav_write_pre = 1'b0; + uav_read = 1'b0; + uav_read_pre = 1'b0; + + if(!USE_CHIPSELECT) begin + if (USE_READ) begin + uav_read_pre=av_read; + end + + if (USE_WRITE) begin + uav_write_pre=av_write; + end + end + else begin + if(!USE_WRITE && USE_READ) begin + uav_read_pre=av_read; + uav_write_pre=av_chipselect & ~av_read; + end + else if(!USE_READ && USE_WRITE) begin + uav_write_pre=av_write; + uav_read_pre = av_chipselect & ~av_write; + end + else if (USE_READ && USE_WRITE) begin + uav_write_pre=av_write; + uav_read_pre=av_read; + end + end + + if(USE_READDATAVALID == 0) + uav_read = uav_read_pre & ~read_accepted; + else + uav_read = uav_read_pre; + + if(AV_REGISTERINCOMINGSIGNALS == 0) + uav_write=uav_write_pre; + else + uav_write=uav_write_pre & ~write_accepted; + + + end + + // ------------------- + // Begintransfer Assigment + // ------------------- + + reg end_begintransfer; + + always@* begin + if(USE_BEGINTRANSFER) begin + internal_begintransfer = av_begintransfer; + end else begin + internal_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; + end + end + + always@ ( posedge clk or posedge reset ) begin + + if(reset) begin + end_begintransfer <= 1'b0; + end + else begin + + if(internal_begintransfer == 1 && uav_waitrequest) + end_begintransfer <= 1'b1; + else if(uav_waitrequest) + end_begintransfer <= end_begintransfer; + else + end_begintransfer <= 1'b0; + + end + + end + + // ------------------- + // Beginbursttransfer Assigment + // ------------------- + + reg end_beginbursttransfer; + wire last_burst_transfer_pre; + wire last_burst_transfer_reg; + wire last_burst_transfer; + + // compare values before the mux to shorten critical path; benchmark before changing + assign last_burst_transfer_pre = (uav_burstcount_pre == symbols_per_word); + assign last_burst_transfer_reg = (burstcount_register == symbols_per_word); + assign last_burst_transfer = (internal_beginbursttransfer) ? last_burst_transfer_pre : last_burst_transfer_reg; + + always@* begin + if(USE_BEGINBURSTTRANSFER) begin + internal_beginbursttransfer = av_beginbursttransfer; + end else begin + internal_beginbursttransfer = uav_read ? internal_begintransfer : internal_begintransfer && ~end_beginbursttransfer; + end + end + + always@ ( posedge clk or posedge reset ) begin + + if(reset) begin + end_beginbursttransfer <= 1'b0; + end + else begin + end_beginbursttransfer <= end_beginbursttransfer; + if( last_burst_transfer && internal_begintransfer || uav_read ) begin + end_beginbursttransfer <= 1'b0; + end + else if(uav_write && internal_begintransfer) begin + end_beginbursttransfer <= 1'b1; + end + end + + end + + // synthesis translate_off + + // ------------------------------------------------ + // check_1 : for waitrequest signal violation + // Ensure that when waitreqeust is asserted, the master is not allowed to change its controls + // Exception : begintransfer / beginbursttransfer + // : previously not in any transaction (idle) + // Note : Not checking clken which is not exactly part of Avalon controls/inputs + // : Not using system verilog assertions (seq/prop) since it is not supported if using Modelsim_SE + // ------------------------------------------------ + + reg av_waitrequest_r; + reg av_write_r,av_writeresponserequest_r,av_read_r,av_lock_r,av_chipselect_r,av_debugaccess_r; + reg [AV_ADDRESS_W-1:0] av_address_r; + reg [AV_BYTEENABLE_W-1:0] av_byteenable_r; + reg [AV_BURSTCOUNT_W-1:0] av_burstcount_r; + reg [AV_DATA_W-1:0] av_writedata_r; + + always @(posedge clk or posedge reset) begin + if (reset) begin + av_waitrequest_r <= '0; + av_write_r <= '0; + av_writeresponserequest_r <= '0; + av_read_r <= '0; + av_lock_r <= '0; + av_chipselect_r <= '0; + av_debugaccess_r <= '0; + av_address_r <= '0; + av_byteenable_r <= '0; + av_burstcount_r <= '0; + av_writedata_r <= '0; + + end + else begin + av_waitrequest_r <= av_waitrequest; + av_write_r <= av_write; + av_writeresponserequest_r <= av_writeresponserequest; + av_read_r <= av_read; + av_lock_r <= av_lock; + av_chipselect_r <= av_chipselect; + av_debugaccess_r <= av_debugaccess; + av_address_r <= av_address; + av_byteenable_r <= av_byteenable; + av_burstcount_r <= av_burstcount; + av_writedata_r <= av_writedata; + + if ( av_waitrequest_r && // When waitrequest is asserted + ( (av_write != av_write_r) || // Checks that : Input controls/data does not change + (av_writeresponserequest != av_writeresponserequest_r) || + (av_read != av_read_r) || + (av_lock != av_lock_r) || + (av_debugaccess != av_debugaccess_r) || + (av_address != av_address_r) || + (av_byteenable != av_byteenable_r) || + (av_burstcount != av_burstcount_r) + ) && + (av_write_r | av_read_r) && // Check only when : previously initiated a write/read + (!USE_CHIPSELECT | av_chipselect_r) // and chipselect was asserted (or unused) + ) + $display("%t: %m: Error: Input controls/data changed while av_waitrequest is asserted.\nav_address %x --> %x\nav_byteenable %x --> %x\nav_burstcount %x --> %x\nav_writedata %x --> %x\nav_writeresponserequest %x --> %x\nav_write %x --> %x\nav_read %x --> %x\nav_lock %x --> %x\nav_chipselect %x --> %x\nav_debugaccess %x --> %x ", $time(), + av_address_r , av_address, + av_byteenable_r , av_byteenable, + av_burstcount_r , av_burstcount, + av_writedata_r , av_writedata, + av_writeresponserequest_r, av_writeresponserequest, + av_write_r , av_write, + av_read_r , av_read, + av_lock_r , av_lock, + av_chipselect_r, av_chipselect, + av_debugaccess_r, av_debugaccess); + end + + // end check_1 + + end + + // synthesis translate_on + + + endmodule diff --git a/db/ip/nios_system/submodules/altera_merlin_slave_agent.sv b/db/ip/nios_system/submodules/altera_merlin_slave_agent.sv new file mode 100644 index 0000000..ea599eb --- /dev/null +++ b/db/ip/nios_system/submodules/altera_merlin_slave_agent.sv @@ -0,0 +1,588 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +`timescale 1 ns / 1 ns + +module altera_merlin_slave_agent +#( + // Packet parameters + parameter PKT_BEGIN_BURST = 81, + parameter PKT_DATA_H = 31, + parameter PKT_DATA_L = 0, + parameter PKT_SYMBOL_W = 8, + parameter PKT_BYTEEN_H = 71, + parameter PKT_BYTEEN_L = 68, + parameter PKT_ADDR_H = 63, + parameter PKT_ADDR_L = 32, + parameter PKT_TRANS_LOCK = 87, + parameter PKT_TRANS_COMPRESSED_READ = 67, + parameter PKT_TRANS_POSTED = 66, + parameter PKT_TRANS_WRITE = 65, + parameter PKT_TRANS_READ = 64, + parameter PKT_SRC_ID_H = 74, + parameter PKT_SRC_ID_L = 72, + parameter PKT_DEST_ID_H = 77, + parameter PKT_DEST_ID_L = 75, + parameter PKT_BURSTWRAP_H = 85, + parameter PKT_BURSTWRAP_L = 82, + parameter PKT_BYTE_CNT_H = 81, + parameter PKT_BYTE_CNT_L = 78, + parameter PKT_PROTECTION_H = 86, + parameter PKT_PROTECTION_L = 86, + parameter PKT_RESPONSE_STATUS_H = 89, + parameter PKT_RESPONSE_STATUS_L = 88, + parameter PKT_BURST_SIZE_H = 92, + parameter PKT_BURST_SIZE_L = 90, + parameter ST_DATA_W = 93, + parameter ST_CHANNEL_W = 32, + + // Slave parameters + parameter ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, + parameter AVS_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, + parameter AVS_BURSTCOUNT_W = 4, + parameter PKT_SYMBOLS = AVS_DATA_W / PKT_SYMBOL_W, + + // Slave agent parameters + parameter PREVENT_FIFO_OVERFLOW = 0, + parameter SUPPRESS_0_BYTEEN_CMD = 1, + parameter USE_READRESPONSE = 0, + parameter USE_WRITERESPONSE = 0, + + // Derived slave parameters + parameter AVS_BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, + parameter BURST_SIZE_W = 3, + + // Derived FIFO width + parameter FIFO_DATA_W = ST_DATA_W + 1 +) +( + + input clk, + input reset, + + // Universal-Avalon anti-slave + output [ADDR_W-1:0] m0_address, + output [AVS_BURSTCOUNT_W-1:0] m0_burstcount, + output [AVS_BE_W-1:0] m0_byteenable, + output m0_read, + input [AVS_DATA_W-1:0] m0_readdata, + input m0_waitrequest, + output m0_write, + output [AVS_DATA_W-1:0] m0_writedata, + input m0_readdatavalid, + output m0_debugaccess, + output m0_lock, + input [1:0] m0_response, + output m0_writeresponserequest, + input m0_writeresponsevalid, + + // Avalon-ST FIFO interfaces. + // Note: there's no need to include the "data" field here, at least for + // reads, since readdata is filled in from slave info. To keep life + // simple, have a data field, but fill it with 0s. + // Av-st response fifo source interface + output reg [FIFO_DATA_W-1:0] rf_source_data, + output rf_source_valid, + output rf_source_startofpacket, + output rf_source_endofpacket, + input rf_source_ready, + + // Av-st response fifo sink interface + input [FIFO_DATA_W-1:0] rf_sink_data, + input rf_sink_valid, + input rf_sink_startofpacket, + input rf_sink_endofpacket, + output rf_sink_ready, + + // Av-st readdata fifo src interface, data and response + // extra 2 bits for storing RESPONSE STATUS + output [AVS_DATA_W+1:0] rdata_fifo_src_data, + output rdata_fifo_src_valid, + input rdata_fifo_src_ready, + + // Av-st readdata fifo sink interface + input [AVS_DATA_W+1:0] rdata_fifo_sink_data, + input rdata_fifo_sink_valid, + output rdata_fifo_sink_ready, + + // Av-st sink command packet interface + output cp_ready, + input cp_valid, + input [ST_DATA_W-1:0] cp_data, + input [ST_CHANNEL_W-1:0] cp_channel, + input cp_startofpacket, + input cp_endofpacket, + + // Av-st source response packet interface + input rp_ready, + output reg rp_valid, + output reg [ST_DATA_W-1:0] rp_data, + output rp_startofpacket, + output rp_endofpacket +); + + // -------------------------------------------------- + // Ceil(log2()) function log2ceil of 4 = 2 + // -------------------------------------------------- + function integer log2ceil; + input reg[63:0] val; + reg [63:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + + // ------------------------------------------------ + // Local Parameters + // ------------------------------------------------ + localparam DATA_W = PKT_DATA_H - PKT_DATA_L + 1; + localparam BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1; + localparam MID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1; + localparam SID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1; + localparam BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1; + localparam BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1; + localparam BURSTSIZE_W = PKT_BURST_SIZE_H - PKT_BURST_SIZE_L + 1; + localparam BITS_TO_MASK = log2ceil(PKT_SYMBOLS); + + // ------------------------------------------------ + // Signals + // ------------------------------------------------ + wire [DATA_W-1:0] cmd_data; + wire [BE_W-1:0] cmd_byteen; + wire [ADDR_W-1:0] cmd_addr; + wire [MID_W-1:0] cmd_mid; + wire [SID_W-1:0] cmd_sid; + wire cmd_read; + wire cmd_write; + wire cmd_compressed; + wire cmd_posted; + wire [BYTE_CNT_W-1:0] cmd_byte_cnt; + wire [BURSTWRAP_W-1:0] cmd_burstwrap; + wire [BURSTSIZE_W-1:0] cmd_burstsize; + wire cmd_debugaccess; + + wire byteen_asserted; + wire needs_response_synthesis; + wire generate_response; + + // Assign command fields + assign cmd_data = cp_data[PKT_DATA_H :PKT_DATA_L ]; + assign cmd_byteen = cp_data[PKT_BYTEEN_H:PKT_BYTEEN_L]; + assign cmd_addr = cp_data[PKT_ADDR_H :PKT_ADDR_L ]; + assign cmd_compressed = cp_data[PKT_TRANS_COMPRESSED_READ]; + assign cmd_posted = cp_data[PKT_TRANS_POSTED]; + assign cmd_write = cp_data[PKT_TRANS_WRITE]; + assign cmd_read = cp_data[PKT_TRANS_READ]; + assign cmd_mid = cp_data[PKT_SRC_ID_H :PKT_SRC_ID_L]; + assign cmd_sid = cp_data[PKT_DEST_ID_H:PKT_DEST_ID_L]; + assign cmd_byte_cnt = cp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; + assign cmd_burstwrap = cp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; + assign cmd_burstsize = cp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; + assign cmd_debugaccess = cp_data[PKT_PROTECTION_L]; + + // Local "ready_for_command" signal: deasserted when the agent is unable to accept + // another command, e.g. rdv FIFO is full, (local readdata storage is full && + // ~rp_ready), ... + // Say, this could depend on the type of command, for example, even if the + // rdv FIFO is full, a write request can be accepted. For later. + wire ready_for_command; + + wire local_lock = cp_valid & cp_data[PKT_TRANS_LOCK]; + wire local_write = cp_valid & cp_data[PKT_TRANS_WRITE]; + wire local_read = cp_valid & cp_data[PKT_TRANS_READ]; + wire local_compressed_read = cp_valid & cp_data[PKT_TRANS_COMPRESSED_READ]; + wire nonposted_write_endofpacket = ~cp_data[PKT_TRANS_POSTED] & local_write & cp_endofpacket; + + // num_symbols is PKT_SYMBOLS, appropriately sized. + wire [31:0] int_num_symbols = PKT_SYMBOLS; + wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; + + generate + if (PREVENT_FIFO_OVERFLOW) begin : prevent_fifo_overflow + // --------------------------------------------------- + // Backpressure if the slave says to, or if FIFO overflow may occur. + // + // All commands are backpressured once the FIFO is full + // even if they don't need storage. This breaks a long + // combinatorial path from the master read/write through + // this logic and back to the master via the backpressure + // path. + // + // To avoid a loss of throughput the FIFO will be parameterized + // one slot deeper. The extra slot should never be used in normal + // operation, but should a slave misbehave and accept one more + // read than it should then backpressure will kick in. + // + // An example: assume a slave with MPRT = 2. It can accept a + // command sequence RRWW without backpressuring. If the FIFO is + // only 2 deep, we'd backpressure the writes leading to loss of + // throughput. If the FIFO is 3 deep, we'll only backpressure when + // RRR... which is an illegal condition anyway. + // --------------------------------------------------- + + assign ready_for_command = rf_source_ready; + assign cp_ready = (~m0_waitrequest | ~byteen_asserted) && ready_for_command; + + end else begin : no_prevent_fifo_overflow + + // Do not suppress the command or the slave will + // not be able to waitrequest + assign ready_for_command = 1'b1; + // Backpressure only if the slave says to. + assign cp_ready = ~m0_waitrequest | ~byteen_asserted; + + end + endgenerate + + generate if (SUPPRESS_0_BYTEEN_CMD) begin : suppress_0_byteen_cmd + assign byteen_asserted = |cmd_byteen; + end else begin : no_suppress_0_byteen_cmd + assign byteen_asserted = 1'b1; + end + endgenerate + + // ------------------------------------------------------------------- + // Extract avalon signals from command packet. + // ------------------------------------------------------------------- + // Mask off the lower bits of address. + // The burst adapter before this component will break narrow sized packets + // into sub-bursts of length 1. However, the packet addresses are preserved, + // which means this component may see size-aligned addresses. + // + // Masking ensures that the addresses seen by an Avalon slave are aligned to + // the full data width instead of the size. + // + // Example: + // output from burst adapter (datawidth=4, size=2 bytes): + // subburst1 addr=0, subburst2 addr=2, subburst3 addr=4, subburst4 addr=6 + // expected output from slave agent: + // subburst1 addr=0, subburst2 addr=0, subburst3 addr=4, subburst4 addr=4 + generate + if (BITS_TO_MASK > 0) begin : mask_address + + assign m0_address = { cmd_addr[ADDR_W-1:BITS_TO_MASK], {BITS_TO_MASK{1'b0}} }; + + end else begin : no_mask_address + + assign m0_address = cmd_addr; + + end + endgenerate + + assign m0_byteenable = cmd_byteen; + assign m0_writedata = cmd_data; + + // Note: no Avalon-MM slave in existence accepts uncompressed read bursts - + // this sort of burst exists only in merlin fabric ST packets. What to do + // if we see such a burst? All beats in that burst need to be transmitted + // to the slave so we have enough space-time for byteenable expression. + // + // There can be multiple bursts in a packet, but only one beat per burst + // in cases. The exception is when we've decided not to insert a + // burst adapter for efficiency reasons, in which case this agent is also + // responsible for driving burstcount to 1 on each beat of an uncompressed + // read burst. + + assign m0_read = ready_for_command & byteen_asserted & + (local_compressed_read | local_read); + + generate + begin : m0_burstcount_zero_pad + // AVS_BURSTCOUNT_W and BYTE_CNT_W may not be equal. Assign m0_burstcount + // from a sub-range, or 0-pad, as appropriate. + if (AVS_BURSTCOUNT_W > BYTE_CNT_W) begin + wire [AVS_BURSTCOUNT_W - BYTE_CNT_W - 1 : 0] zero_pad = + {(AVS_BURSTCOUNT_W - BYTE_CNT_W) {1'b0}}; + assign m0_burstcount = (local_read & ~local_compressed_read) ? + {zero_pad, num_symbols} : + {zero_pad, cmd_byte_cnt}; + end + else begin : m0_burstcount_no_pad + assign m0_burstcount = (local_read & ~local_compressed_read) ? + num_symbols[AVS_BURSTCOUNT_W-1:0] : + cmd_byte_cnt[AVS_BURSTCOUNT_W-1:0]; + end + end + endgenerate + + assign m0_write = ready_for_command & local_write & byteen_asserted; + assign m0_lock = ready_for_command & local_lock & (m0_read | m0_write); + assign m0_debugaccess = cmd_debugaccess; + // For now, to support write response + assign m0_writeresponserequest = ready_for_command & local_write & byteen_asserted & !cmd_posted; + //assign m0_writeresponserequest = '0; + + // ------------------------------------------------------------------- + // Indirection layer for response packet values. Some may always wire + // directly from the slave translator; others will no doubt emerge from + // various FIFOs. + // What to put in resp_data when a write occured? Answer: it does not + // matter, because only response status is needed for non-posted writes, + // and the packet already has a field for that. + + // tgngo:Use the rdata_fifo to store write response as well + // So that we wont lost response if master can back-pressured + // as well as it needs for write response merging + assign rdata_fifo_src_valid = m0_readdatavalid | m0_writeresponsevalid; + //assign rdata_fifo_src_valid = m0_readdatavalid; + assign rdata_fifo_src_data = {m0_response,m0_readdata}; + + // ------------------------------------------------------------------ + // Generate a token when read commands are suppressed. The token + // is stored in the response FIFO, and will be used to synthesize + // a read response. The same token is used for non-posted write + // response synthesis. + // + // Note: this token is not generated for suppressed uncompressed read cycles; + // the burst uncompression logic at the read side of the response FIFO + // generates the correct number of responses. + // ------------------------------------------------------------------ + // When the slave can return the response, let it does its works. Dont generate sysnthesis response + assign needs_response_synthesis = ((local_read | local_compressed_read) & !byteen_asserted) | (nonposted_write_endofpacket && !USE_WRITERESPONSE); + + // Avalon-ST interfaces to external response fifo: + // tgngo:Currently, with "generate response synthesis", only one write command is allowed to write in at eop of non-posted write + // To support response from slave, we need to store each sub-burst of write command into fifo. + // Each sub-burst will return a response and these two command and response are popped out together + // Resposne merging will happen and at end_of_packet of the command - the last sub-burst write + // the slave agent will send out the final merged response + + wire internal_cp_endofburst; + wire [31:0] minimum_bytecount_wire = PKT_SYMBOLS; // to solve qis warning + wire [AVS_BURSTCOUNT_W-1:0] minimum_bytecount; + assign minimum_bytecount = minimum_bytecount_wire[AVS_BURSTCOUNT_W-1:0]; + assign internal_cp_endofburst = (cmd_byte_cnt == minimum_bytecount); + wire local_nonposted_write = ~cp_data[PKT_TRANS_POSTED] & local_write; + wire nonposted_end_of_subburst = local_nonposted_write & internal_cp_endofburst; + + assign rf_source_valid = (local_read | local_compressed_read | (nonposted_write_endofpacket && !USE_WRITERESPONSE) | (USE_WRITERESPONSE && nonposted_end_of_subburst)) + & ready_for_command & cp_ready; + assign rf_source_startofpacket = cp_startofpacket; + assign rf_source_endofpacket = cp_endofpacket; + always @* begin + // default: assign every command packet field to the response FIFO... + rf_source_data = {1'b0, cp_data}; + + // ... and override select fields as needed. + rf_source_data[FIFO_DATA_W-1] = needs_response_synthesis; + rf_source_data[PKT_DATA_H :PKT_DATA_L] = {DATA_W {1'b0}}; + rf_source_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = cmd_byteen; + rf_source_data[PKT_ADDR_H :PKT_ADDR_L] = cmd_addr; + //rf_source_data[PKT_ADDR_H :PKT_ADDR_L] = m0_address; + rf_source_data[PKT_TRANS_COMPRESSED_READ] = cmd_compressed; + rf_source_data[PKT_TRANS_POSTED] = cmd_posted; + rf_source_data[PKT_TRANS_WRITE] = cmd_write; + rf_source_data[PKT_TRANS_READ] = cmd_read; + rf_source_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = cmd_mid; + rf_source_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = cmd_sid; + rf_source_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = cmd_byte_cnt; + rf_source_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = cmd_burstwrap; + rf_source_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = cmd_burstsize; + rf_source_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = '0; + rf_source_data[PKT_PROTECTION_L] = cmd_debugaccess; + end + + wire uncompressor_source_valid; + wire [BURSTSIZE_W-1:0] uncompressor_burstsize; + + //assign rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + // tgngo: last_write_response indicates the last response of the burst (incase need sub-burst) + // at this time, the final response merged will send out, and rp_valid is only asserted + // for one response for whole burst + generate + if (USE_READRESPONSE & USE_WRITERESPONSE) begin + wire last_write_response = rf_sink_data[PKT_TRANS_WRITE] & !rf_sink_data[PKT_TRANS_POSTED] & rf_sink_endofpacket; + always @* begin + if (rf_sink_data[PKT_TRANS_WRITE] == 1) + rp_valid = rdata_fifo_sink_valid & last_write_response; + else + rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + end + end else begin + always @* begin + rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + end + end + endgenerate + // ------------------------------------------------------------------ + // Response merging + // ------------------------------------------------------------------ + wire [1:0] current_response = rdata_fifo_sink_data[AVS_DATA_W+1:AVS_DATA_W]; + reg [1:0] response_merged; + generate + begin: response_merging + if (USE_READRESPONSE & USE_WRITERESPONSE) begin + reg first_write_response; + reg reset_merged_output; + reg [1:0] previous_response_in; + reg [1:0] previous_response; + + always_ff @(posedge clk, posedge reset) begin + if (reset) begin + first_write_response <= 1'b1; + end + else begin // Merging work for write response, for read: previous_response_in = current_response + if (rf_sink_valid & rdata_fifo_sink_valid & rf_sink_data[PKT_TRANS_WRITE]) begin + first_write_response <= 1'b0; + if (rf_sink_endofpacket) + first_write_response <= 1'b1; + end + end + end + + always_comb begin + reset_merged_output = first_write_response && rdata_fifo_sink_valid; + previous_response_in = reset_merged_output ? current_response : previous_response; + response_merged = current_response >= previous_response ? current_response: previous_response_in; + end + + always_ff @(posedge clk or posedge reset) begin + if (reset) begin + previous_response <= 2'b00; + end + else begin + if (rf_sink_valid & rdata_fifo_sink_valid) begin + previous_response <= response_merged; + end + end + end + end else begin + always @* begin + response_merged = current_response; + end + end + end + endgenerate + + assign generate_response = rf_sink_data[FIFO_DATA_W-1]; + + wire [BYTE_CNT_W-1:0] rf_sink_byte_cnt = rf_sink_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; + wire rf_sink_compressed = rf_sink_data[PKT_TRANS_COMPRESSED_READ]; + wire [BURSTWRAP_W-1:0] rf_sink_burstwrap = rf_sink_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; + wire [BURSTSIZE_W-1:0] rf_sink_burstsize = rf_sink_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; + wire [ADDR_W-1:0] rf_sink_addr = rf_sink_data[PKT_ADDR_H:PKT_ADDR_L]; + // a non posted write response is always completed in 1 cycle. Modify the startofpacket signal to 1'b1 instead of taking whatever is in the rf_fifo + wire rf_sink_startofpacket_wire = rf_sink_data[PKT_TRANS_WRITE] ? 1'b1 : rf_sink_startofpacket; + + wire [BYTE_CNT_W-1:0] burst_byte_cnt; + wire [BURSTWRAP_W-1:0] rp_burstwrap; + wire [ADDR_W-1:0] rp_address; + wire rp_is_compressed; + + // ------------------------------------------------------------------ + // Backpressure the readdata fifo if we're supposed to synthesize a response. + // This may be a read response (for suppressed reads) or a write response + // (for non-posted writes). + // ------------------------------------------------------------------ + assign rdata_fifo_sink_ready = rdata_fifo_sink_valid & rp_ready & ~(rf_sink_valid & generate_response); + + always @* begin + // By default, return all fields... + rp_data = rf_sink_data[ST_DATA_W - 1 : 0]; + + // ... and override specific fields. + rp_data[PKT_DATA_H :PKT_DATA_L] = rdata_fifo_sink_data[AVS_DATA_W-1:0]; + // Assignments directly from the response fifo. + rp_data[PKT_TRANS_POSTED] = rf_sink_data[PKT_TRANS_POSTED]; + rp_data[PKT_TRANS_WRITE] = rf_sink_data[PKT_TRANS_WRITE]; + rp_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = rf_sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + rp_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = rf_sink_data[PKT_SRC_ID_H : PKT_SRC_ID_L]; + rp_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = rf_sink_data[PKT_BYTEEN_H : PKT_BYTEEN_L]; + rp_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = rf_sink_data[PKT_PROTECTION_H:PKT_PROTECTION_L]; + + // Burst uncompressor assignments + rp_data[PKT_ADDR_H :PKT_ADDR_L] = rp_address; + rp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = rp_burstwrap; + rp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = burst_byte_cnt; + rp_data[PKT_TRANS_READ] = rf_sink_data[PKT_TRANS_READ] | rf_sink_data[PKT_TRANS_COMPRESSED_READ]; + rp_data[PKT_TRANS_COMPRESSED_READ] = rp_is_compressed; + + // avalon slaves always respond with "okay" -> not true for now + //rp_data[PKT_RESPONSE_STATUS_H:PKT_RESPONSE_STATUS_L] = {RESPONSE_W{ 1'b0 }}; + rp_data[PKT_RESPONSE_STATUS_H:PKT_RESPONSE_STATUS_L] = response_merged; + rp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = uncompressor_burstsize; + end + + // ------------------------------------------------------------------ + // Note: the burst uncompressor may be asked to generate responses for + // write packets; these are treated the same as single-cycle uncompressed + // reads. + // ------------------------------------------------------------------ + altera_merlin_burst_uncompressor #( + .ADDR_W (ADDR_W), + .BURSTWRAP_W (BURSTWRAP_W), + .BYTE_CNT_W (BYTE_CNT_W), + .PKT_SYMBOLS (PKT_SYMBOLS) + ) uncompressor + ( + .clk (clk), + .reset (reset), + .sink_startofpacket (rf_sink_startofpacket_wire), + .sink_endofpacket (rf_sink_endofpacket), + .sink_valid (rf_sink_valid & (rdata_fifo_sink_valid | generate_response)), + .sink_ready (rf_sink_ready), + .sink_addr (rf_sink_addr), + .sink_burstwrap (rf_sink_burstwrap), + .sink_byte_cnt (rf_sink_byte_cnt), + .sink_is_compressed (rf_sink_compressed), + .sink_burstsize (rf_sink_burstsize), + + .source_startofpacket (rp_startofpacket), + .source_endofpacket (rp_endofpacket), + .source_valid (uncompressor_source_valid), + .source_ready (rp_ready), + .source_addr (rp_address), + .source_burstwrap (rp_burstwrap), + .source_byte_cnt (burst_byte_cnt), + .source_is_compressed (rp_is_compressed), + .source_burstsize (uncompressor_burstsize) + ); + +//-------------------------------------- +// Assertion: In case slave support response. Yhe slave needs return response in order +// Ex: non-posted write followed by a read: write response must complete before read data +//-------------------------------------- +// synthesis translate_off +ERROR_write_response_and_read_response_cannot_happen_same_time: + assert property ( @(posedge clk) + disable iff (reset) !(m0_writeresponsevalid && m0_readdatavalid) + ); + +// synthesis translate_on +endmodule + diff --git a/db/ip/nios_system/submodules/altera_merlin_slave_translator.sv b/db/ip/nios_system/submodules/altera_merlin_slave_translator.sv new file mode 100644 index 0000000..bcb2311 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_merlin_slave_translator.sv @@ -0,0 +1,533 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Slave Translator +// +// Translates Universal Avalon MM Slave +// to any Avalon MM Slave +// ------------------------------------- +// +//Notable Note: 0 AV_READLATENCY is not allowed and will be converted to a 1 cycle readlatency in all cases but one +//If you declare a slave with fixed read timing requirements, the readlatency of such a slave will be allowed to be zero +//The key feature here is that no same cycle turnaround data is processed through the fabric. + +//import avalon_utilities_pkg::*; + +`timescale 1 ns / 1 ns + +module altera_merlin_slave_translator + #( + parameter + //Widths + AV_ADDRESS_W = 32, + AV_DATA_W = 32, + AV_BURSTCOUNT_W = 4, + AV_BYTEENABLE_W = 4, + UAV_BYTEENABLE_W = 4, + + //Read Latency + AV_READLATENCY = 1, + + //Timing + AV_READ_WAIT_CYCLES = 0, + AV_WRITE_WAIT_CYCLES = 0, + AV_SETUP_WAIT_CYCLES = 0, + AV_DATA_HOLD_CYCLES = 0, + + //Optional Port Declarations + USE_READDATAVALID = 1, + USE_WAITREQUEST = 1, + USE_READRESPONSE = 0, + USE_WRITERESPONSE = 0, + + //Variable Addressing + AV_SYMBOLS_PER_WORD = 4, + AV_ADDRESS_SYMBOLS = 0, + AV_BURSTCOUNT_SYMBOLS = 0, + BITS_PER_WORD = clog2_plusone(AV_SYMBOLS_PER_WORD - 1), + UAV_ADDRESS_W = 38, + UAV_BURSTCOUNT_W = 10, + UAV_DATA_W = 32, + + AV_CONSTANT_BURST_BEHAVIOR = 0, + UAV_CONSTANT_BURST_BEHAVIOR = 0, + CHIPSELECT_THROUGH_READLATENCY = 0, + + // Tightly-Coupled Options + USE_UAV_CLKEN = 0, + AV_REQUIRE_UNALIGNED_ADDRESSES = 0 + ) + ( + + // ------------------- + // Clock & Reset + // ------------------- + input wire clk, + input wire reset, + + // ------------------- + // Universal Avalon Slave + // ------------------- + + input wire [UAV_ADDRESS_W - 1 : 0] uav_address, + input wire [UAV_DATA_W - 1 : 0] uav_writedata, + input wire uav_write, + input wire uav_read, + input wire [UAV_BURSTCOUNT_W - 1 : 0] uav_burstcount, + input wire [UAV_BYTEENABLE_W - 1 : 0] uav_byteenable, + input wire uav_lock, + input wire uav_debugaccess, + input wire uav_clken, + + output logic uav_readdatavalid, + output logic uav_waitrequest, + output logic [UAV_DATA_W - 1 : 0] uav_readdata, + output logic [1:0] uav_response, + input wire uav_writeresponserequest, + output logic uav_writeresponsevalid, + + // ------------------- + // Customizable Avalon Master + // ------------------- + output logic [AV_ADDRESS_W - 1 : 0] av_address, + output logic [AV_DATA_W - 1 : 0] av_writedata, + output logic av_write, + output logic av_read, + output logic [AV_BURSTCOUNT_W - 1 : 0] av_burstcount, + output logic [AV_BYTEENABLE_W - 1 : 0] av_byteenable, + output logic [AV_BYTEENABLE_W - 1 : 0] av_writebyteenable, + output logic av_begintransfer, + output wire av_chipselect, + output logic av_beginbursttransfer, + output logic av_lock, + output wire av_clken, + output wire av_debugaccess, + output wire av_outputenable, + + input logic [AV_DATA_W - 1 : 0] av_readdata, + input logic av_readdatavalid, + input logic av_waitrequest, + + input logic [1:0] av_response, + output logic av_writeresponserequest, + input wire av_writeresponsevalid + + ); + + function integer clog2_plusone; + input [31:0] Depth; + integer i; + begin + i = Depth; + for(clog2_plusone = 0; i > 0; clog2_plusone = clog2_plusone + 1) + i = i >> 1; + end + + endfunction + + function integer max; + //returns the larger of two passed arguments + input [31:0] one; + input [31:0] two; + + if(one > two) + max=one; + else + max=two; + endfunction // int + + localparam AV_READ_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_READ_WAIT_CYCLES); + localparam AV_WRITE_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_WRITE_WAIT_CYCLES); + localparam AV_DATA_HOLD_INDEXED = (AV_WRITE_WAIT_INDEXED + AV_DATA_HOLD_CYCLES); + localparam LOG2_OF_LATENCY_SUM = max(clog2_plusone(AV_READ_WAIT_INDEXED + 1),clog2_plusone(AV_DATA_HOLD_INDEXED + 1)); + localparam BURSTCOUNT_SHIFT_SELECTOR = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD; + localparam ADDRESS_SHIFT_SELECTOR = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD; + + localparam ADDRESS_HIGH = ( UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_SHIFT_SELECTOR ) ? + AV_ADDRESS_W : + UAV_ADDRESS_W - ADDRESS_SHIFT_SELECTOR; + + localparam BURSTCOUNT_HIGH = ( UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_SHIFT_SELECTOR ) ? + AV_BURSTCOUNT_W : + UAV_BURSTCOUNT_W - BURSTCOUNT_SHIFT_SELECTOR; + localparam BYTEENABLE_ADDRESS_BITS = ( clog2_plusone(UAV_BYTEENABLE_W) - 1 ) >= 1 ? clog2_plusone(UAV_BYTEENABLE_W) - 1 : 1; + + + // Calculate the symbols per word as the power of 2 extended symbols per word + wire [31 : 0] symbols_per_word_int = 2**(clog2_plusone(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_W : 0] - 1)); + wire [UAV_BURSTCOUNT_W : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_W : 0]; + + // +-------------------------------- + // |Backwards Compatibility Signals + // +-------------------------------- + assign av_clken = (USE_UAV_CLKEN) ? uav_clken : 1'b1; + assign av_debugaccess = uav_debugaccess; + + // +------------------- + // |Passthru Signals + // +------------------- + always_comb + begin + if (!USE_READRESPONSE && !USE_WRITERESPONSE) begin + uav_response = '0; + end else begin + uav_response = av_response; + end + end + assign av_writeresponserequest = uav_writeresponserequest; + assign uav_writeresponsevalid = av_writeresponsevalid; + + //------------------------- + //Writedata and Byteenable + //------------------------- + + always@* begin + av_byteenable = '0; + av_byteenable = uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; + end + + always@* begin + av_writedata = '0; + av_writedata = uav_writedata[AV_DATA_W - 1 : 0]; + end + + // +------------------- + // |Calculated Signals + // +------------------- + + logic [UAV_ADDRESS_W - 1 : 0 ] real_uav_address; + + function [BYTEENABLE_ADDRESS_BITS - 1 : 0 ] decode_byteenable; + input [UAV_BYTEENABLE_W - 1 : 0 ] byteenable; + + for(int i = 0 ; i < UAV_BYTEENABLE_W; i++ ) begin + if(byteenable[i] == 1) begin + return i; + end + end + + return '0; + + endfunction + + reg [AV_BURSTCOUNT_W - 1 : 0] burstcount_reg; + reg [AV_ADDRESS_W - 1 : 0] address_reg; + + + always@(posedge clk, posedge reset) begin + if(reset) begin + burstcount_reg <= '0; + address_reg <= '0; + end + else begin + burstcount_reg <= burstcount_reg; + address_reg <= address_reg; + + if(av_beginbursttransfer) begin + burstcount_reg <= uav_burstcount [BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; + address_reg <= real_uav_address [ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; + + end + end + end + + + logic [BYTEENABLE_ADDRESS_BITS-1:0] temp_wire; + + always@* begin + if( AV_REQUIRE_UNALIGNED_ADDRESSES == 1) begin + temp_wire = decode_byteenable(uav_byteenable); + + real_uav_address = { uav_address[UAV_ADDRESS_W - 1 : BYTEENABLE_ADDRESS_BITS ], temp_wire[BYTEENABLE_ADDRESS_BITS - 1 : 0 ] }; + end + else begin + real_uav_address = uav_address; + end + + av_address = real_uav_address[ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; + + if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) + av_address = address_reg; + end + + always@* begin + av_burstcount=uav_burstcount[BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; + + if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) + av_burstcount = burstcount_reg; + end + + always@* begin + av_lock = uav_lock; + end + + // ------------------- + // Writebyteenable Assignment + // ------------------- + +always@* begin + av_writebyteenable = { (AV_BYTEENABLE_W){uav_write} } & uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; +end + + // ------------------- + // Waitrequest Assignment + // ------------------- + + reg av_waitrequest_generated; + reg av_waitrequest_generated_read; + reg av_waitrequest_generated_write; + reg waitrequest_reset_override; + + reg [ ( LOG2_OF_LATENCY_SUM ? LOG2_OF_LATENCY_SUM - 1 : 0 ) : 0 ] wait_latency_counter; + + always@(posedge reset, posedge clk) begin + + if(reset) begin + wait_latency_counter <= '0; + waitrequest_reset_override <= 1'h1; + end + else begin + waitrequest_reset_override <= 1'h0; + + wait_latency_counter <= '0; + + if( uav_read | uav_write ) + wait_latency_counter <= wait_latency_counter + 1'h1; + + if( ~uav_waitrequest | waitrequest_reset_override ) + wait_latency_counter <= '0; + + end + + end + + + always @* begin + + av_read = uav_read; + av_write = uav_write; + + av_waitrequest_generated = 1'h1; + av_waitrequest_generated_read = 1'h1; + av_waitrequest_generated_write = 1'h1; + + if(LOG2_OF_LATENCY_SUM == 1) + av_waitrequest_generated = 0; + + if(LOG2_OF_LATENCY_SUM > 1 && !USE_WAITREQUEST) begin + av_read = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_read; + av_write = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_write && wait_latency_counter <= AV_WRITE_WAIT_INDEXED; + + av_waitrequest_generated_read = wait_latency_counter != AV_READ_WAIT_INDEXED; + av_waitrequest_generated_write = wait_latency_counter != AV_DATA_HOLD_INDEXED; + + if(uav_write) + av_waitrequest_generated = av_waitrequest_generated_write; + else + av_waitrequest_generated = av_waitrequest_generated_read; + + end + + if(USE_WAITREQUEST) begin + uav_waitrequest = av_waitrequest; + end + else begin + uav_waitrequest = av_waitrequest_generated | waitrequest_reset_override; + end + + end + + // -------------- + // Readdata Assignment + // -------------- + + reg[(AV_DATA_W ? AV_DATA_W -1 : 0 ): 0] av_readdata_pre; + + always@(posedge clk, posedge reset) begin + if(reset) + av_readdata_pre <= 'b0; + else + av_readdata_pre <= av_readdata; + end + + always@* begin + uav_readdata = '0; + + if( AV_READLATENCY != 0 || USE_READDATAVALID ) begin + uav_readdata = av_readdata; + end + else begin + uav_readdata = av_readdata_pre; + end + end + // ------------------- + // Readdatavalid Assigment + // ------------------- + + reg[(AV_READLATENCY>0 ? AV_READLATENCY-1:0) :0] read_latency_shift_reg; + reg top_read_latency_shift_reg; + + + + always@* begin + + uav_readdatavalid=top_read_latency_shift_reg; + + if(USE_READDATAVALID) begin + uav_readdatavalid = av_readdatavalid; + end + + end + + always@* begin + + top_read_latency_shift_reg = uav_read & ~uav_waitrequest & ~waitrequest_reset_override; + + if(AV_READLATENCY == 1 || AV_READLATENCY == 0 ) begin + top_read_latency_shift_reg=read_latency_shift_reg; + end + + if (AV_READLATENCY > 1) begin + top_read_latency_shift_reg = read_latency_shift_reg[(AV_READLATENCY ? AV_READLATENCY-1 : 0)]; + end + + end + + always@(posedge reset, posedge clk) begin + + if (reset) begin + read_latency_shift_reg <= '0; + end + else if (av_clken) begin + + read_latency_shift_reg <= uav_read && ~uav_waitrequest & ~waitrequest_reset_override; + + for (int i=0; i+1 < AV_READLATENCY ; i+=1 ) begin + read_latency_shift_reg[i+1] <= read_latency_shift_reg[i]; + end + + end + + end + + // ------------ + // Chipselect and OutputEnable + // ------------ + + reg av_chipselect_pre; + wire cs_extension; + reg av_outputenable_pre; + + + assign av_chipselect = (uav_read | uav_write) ? 1'b1 : av_chipselect_pre; + assign cs_extension = ( (^ read_latency_shift_reg) & ~top_read_latency_shift_reg ) | ((| read_latency_shift_reg) & ~(^ read_latency_shift_reg)); + + assign av_outputenable = uav_read ? 1'b1 : av_outputenable_pre; + + always@(posedge reset, posedge clk) begin + if(reset) + av_outputenable_pre <= 1'b0; + else if( AV_READLATENCY == 0 && AV_READ_WAIT_INDEXED != 0 ) + av_outputenable_pre <= 0; + else + av_outputenable_pre <= cs_extension | uav_read; + end + + always@(posedge reset, posedge clk) begin + if(reset) begin + av_chipselect_pre <= 1'b0; + end + else begin + av_chipselect_pre <= 1'b0; + + if(AV_READLATENCY != 0 && CHIPSELECT_THROUGH_READLATENCY == 1) begin + //The AV_READLATENCY term is only here to prevent chipselect from remaining asserted while read and write fall. + //There is no functional impact as 0 cycle transactions are treated as 1 cycle on the other side of the translator. + if(uav_read) begin + av_chipselect_pre <= 1'b1; + end + else if(cs_extension == 1) begin + av_chipselect_pre <= 1'b1; + end + + end + end + end + + // ------------------- + // Begintransfer Assigment + // ------------------- + + reg end_begintransfer; + + always@* begin + av_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; + end + + always@ ( posedge clk or posedge reset ) begin + + if(reset) begin + end_begintransfer <= 1'b0; + end + else begin + + if(av_begintransfer == 1 && uav_waitrequest && ~waitrequest_reset_override) + end_begintransfer <= 1'b1; + else if(uav_waitrequest) + end_begintransfer <= end_begintransfer; + else + end_begintransfer <= 1'b0; + + end + + end + + // ------------------- + // Beginbursttransfer Assigment + // ------------------- + + reg end_beginbursttransfer; + reg in_transfer; + + + + always@* begin + av_beginbursttransfer = uav_read ? av_begintransfer : (av_begintransfer && ~end_beginbursttransfer && ~in_transfer); + end + + always@ ( posedge clk or posedge reset ) begin + if(reset) begin + end_beginbursttransfer <= 1'b0; + in_transfer <= 1'b0; + end + else begin + + end_beginbursttransfer <= uav_write & ( uav_burstcount != symbols_per_word ); + + if(uav_write && uav_burstcount == symbols_per_word) + in_transfer <=1'b0; + else if(uav_write) + in_transfer <=1'b1; + + end + + end + +endmodule diff --git a/db/ip/nios_system/submodules/altera_reset_controller.sdc b/db/ip/nios_system/submodules/altera_reset_controller.sdc new file mode 100644 index 0000000..d58dd05 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_reset_controller.sdc @@ -0,0 +1,33 @@ +# (C) 2001-2013 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any output +# files any of the foregoing (including device programming or simulation +# files), and any associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License Subscription +# Agreement, Altera MegaCore Function License Agreement, or other applicable +# license agreement, including, without limitation, that your use is for the +# sole purpose of programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the applicable +# agreement for further details. + + +# +--------------------------------------------------- +# | Cut the async clear paths +# +--------------------------------------------------- +set aclr_counter 0 +set clrn_counter 0 +set aclr_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] +set clrn_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] +foreach_in_collection aclr_pin $aclr_collection { + set aclr_counter [expr $aclr_counter + 1] +} +foreach_in_collection clrn_pin $clrn_collection { + set clrn_counter [expr $clrn_counter + 1] +} +if {$aclr_counter > 0} { + set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] +} + +if {$clrn_counter > 0} { + set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] +} diff --git a/db/ip/nios_system/submodules/altera_reset_controller.v b/db/ip/nios_system/submodules/altera_reset_controller.v new file mode 100644 index 0000000..7c250c7 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_reset_controller.v @@ -0,0 +1,206 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_reset_controller/altera_reset_controller.v#2 $ +// $Revision: #2 $ +// $Date: 2013/06/03 $ +// $Author: wkleong $ + +// -------------------------------------- +// Reset controller +// +// Combines all the input resets and synchronizes +// the result to the clk. +// ACDS13.1 - Added reset request as part of reset sequencing +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_reset_controller +#( + parameter NUM_RESET_INPUTS = 6, + parameter OUTPUT_RESET_SYNC_EDGES = "deassert", + parameter SYNC_DEPTH = 2, + parameter RESET_REQUEST_PRESENT = 0 +) +( + // -------------------------------------- + // We support up to 16 reset inputs, for now + // -------------------------------------- + input reset_in0, + input reset_in1, + input reset_in2, + input reset_in3, + input reset_in4, + input reset_in5, + input reset_in6, + input reset_in7, + input reset_in8, + input reset_in9, + input reset_in10, + input reset_in11, + input reset_in12, + input reset_in13, + input reset_in14, + input reset_in15, + + input clk, + output reg reset_out, + output reg reset_req +); + + localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert"); + + localparam DEPTH = 2; + localparam CLKEN_LAGS_RESET = 0; + localparam EARLY_RST_TAP = (CLKEN_LAGS_RESET != 0) ? 0 : 1; + + wire merged_reset; + wire reset_out_pre; + + // Registers and Interconnect + (*preserve*) reg [SYNC_DEPTH: 0] altera_reset_synchronizer_int_chain; + reg [(SYNC_DEPTH-1): 0] r_sync_rst_chain; + reg r_sync_rst_dly; + reg r_sync_rst; + reg r_early_rst; + + // -------------------------------------- + // "Or" all the input resets together + // -------------------------------------- + assign merged_reset = ( + reset_in0 | + reset_in1 | + reset_in2 | + reset_in3 | + reset_in4 | + reset_in5 | + reset_in6 | + reset_in7 | + reset_in8 | + reset_in9 | + reset_in10 | + reset_in11 | + reset_in12 | + reset_in13 | + reset_in14 | + reset_in15 + ); + + // -------------------------------------- + // And if required, synchronize it to the required clock domain, + // with the correct synchronization type + // -------------------------------------- + generate if (OUTPUT_RESET_SYNC_EDGES == "none") begin + + assign reset_out_pre = merged_reset; + + end else begin + + altera_reset_synchronizer + #( + .DEPTH (SYNC_DEPTH), + .ASYNC_RESET(ASYNC_RESET) + ) + alt_rst_sync_uq1 + ( + .clk (clk), + .reset_in (merged_reset), + .reset_out (reset_out_pre) + ); + + end + endgenerate + + generate if (RESET_REQUEST_PRESENT == 0) begin + always @* begin + reset_out = reset_out_pre; + reset_req = 1'b0; + end + end + else begin + + // 3-FF Metastability Synchronizer + initial + begin + altera_reset_synchronizer_int_chain <= 3'b111; + end + + always @(posedge clk) + begin + altera_reset_synchronizer_int_chain[2:0] <= {altera_reset_synchronizer_int_chain[1:0], reset_out_pre}; + end + + + // Synchronous reset pipe + initial + begin + r_sync_rst_chain <= {DEPTH{1'b1}}; + end + + always @(posedge clk) + begin + if (altera_reset_synchronizer_int_chain[2] == 1'b1) + begin + r_sync_rst_chain <= {DEPTH{1'b1}}; + end + else + begin + r_sync_rst_chain <= {1'b0, r_sync_rst_chain[DEPTH-1:1]}; + end + end + + // Standard synchronous reset output. From 0-1, the transition lags the early output. For 1->0, the transition + // matches the early input. + initial + begin + r_sync_rst_dly <= 1'b1; + r_sync_rst <= 1'b1; + r_early_rst <= 1'b1; + end + + always @(posedge clk) + begin + // Delayed reset pipeline register + r_sync_rst_dly <= r_sync_rst_chain[DEPTH-1]; + + case ({r_sync_rst_dly, r_sync_rst_chain[1], r_sync_rst}) + 3'b000: r_sync_rst <= 1'b0; // Not reset + 3'b001: r_sync_rst <= 1'b0; + 3'b010: r_sync_rst <= 1'b0; + 3'b011: r_sync_rst <= 1'b1; + 3'b100: r_sync_rst <= 1'b1; + 3'b101: r_sync_rst <= 1'b1; + 3'b110: r_sync_rst <= 1'b1; + 3'b111: r_sync_rst <= 1'b1; // In Reset + default: r_sync_rst <= 1'b1; + endcase + + case ({r_sync_rst_chain[DEPTH-1], r_sync_rst_chain[EARLY_RST_TAP]}) + 2'b00: r_early_rst <= 1'b0; // Not reset + 2'b01: r_early_rst <= 1'b1; // Coming out of reset + 2'b10: r_early_rst <= 1'b0; // Spurious reset - should not be possible via synchronous design. + 2'b11: r_early_rst <= 1'b1; // Held in reset + default: r_early_rst <= 1'b1; + endcase + end + + always @* begin + reset_out = r_sync_rst; + reset_req = r_early_rst; + end + + end + endgenerate + +endmodule diff --git a/db/ip/nios_system/submodules/altera_reset_synchronizer.v b/db/ip/nios_system/submodules/altera_reset_synchronizer.v new file mode 100644 index 0000000..14936c3 --- /dev/null +++ b/db/ip/nios_system/submodules/altera_reset_synchronizer.v @@ -0,0 +1,87 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ----------------------------------------------- +// Reset Synchronizer +// ----------------------------------------------- +`timescale 1 ns / 1 ns + +module altera_reset_synchronizer +#( + parameter ASYNC_RESET = 1, + parameter DEPTH = 2 +) +( + input reset_in /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */, + + input clk, + output reset_out +); + + // ----------------------------------------------- + // Synchronizer register chain. We cannot reuse the + // standard synchronizer in this implementation + // because our timing constraints are different. + // + // Instead of cutting the timing path to the d-input + // on the first flop we need to cut the aclr input. + // + // We omit the "preserve" attribute on the final + // output register, so that the synthesis tool can + // duplicate it where needed. + // ----------------------------------------------- + (*preserve*) reg [DEPTH-1:0] altera_reset_synchronizer_int_chain; + reg altera_reset_synchronizer_int_chain_out; + + generate if (ASYNC_RESET) begin + + // ----------------------------------------------- + // Assert asynchronously, deassert synchronously. + // ----------------------------------------------- + always @(posedge clk or posedge reset_in) begin + if (reset_in) begin + altera_reset_synchronizer_int_chain <= {DEPTH{1'b1}}; + altera_reset_synchronizer_int_chain_out <= 1'b1; + end + else begin + altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; + altera_reset_synchronizer_int_chain[DEPTH-1] <= 0; + altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; + end + end + + assign reset_out = altera_reset_synchronizer_int_chain_out; + + end else begin + + // ----------------------------------------------- + // Assert synchronously, deassert synchronously. + // ----------------------------------------------- + always @(posedge clk) begin + altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; + altera_reset_synchronizer_int_chain[DEPTH-1] <= reset_in; + altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; + end + + assign reset_out = altera_reset_synchronizer_int_chain_out; + + end + endgenerate + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_LEDRs.v b/db/ip/nios_system/submodules/nios_system_LEDRs.v new file mode 100644 index 0000000..d323942 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_LEDRs.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_LEDRs ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output [ 17: 0] out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg [ 17: 0] data_out; + wire [ 17: 0] out_port; + wire [ 17: 0] read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {18 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata[17 : 0]; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_LEDs.v b/db/ip/nios_system/submodules/nios_system_LEDs.v new file mode 100644 index 0000000..9fa4825 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_LEDs.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_LEDs ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output [ 7: 0] out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg [ 7: 0] data_out; + wire [ 7: 0] out_port; + wire [ 7: 0] read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {8 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata[7 : 0]; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_addr_router.sv b/db/ip/nios_system/submodules/nios_system_addr_router.sv new file mode 100644 index 0000000..3c8760e --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_addr_router.sv @@ -0,0 +1,224 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_addr_router_default_decode + #( + parameter DEFAULT_CHANNEL = 1, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 15 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_addr_router +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 0; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + localparam PAD0 = log2ceil(64'h40000 - 64'h0); + localparam PAD1 = log2ceil(64'h41000 - 64'h40800); + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h41000; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH-1; + + wire [PKT_ADDR_W-1 : 0] address = sink_data[OPTIMIZED_ADDR_H : PKT_ADDR_L]; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_addr_router_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = default_destid; + + // -------------------------------------------------- + // Address Decoder + // Sets the channel and destination ID based on the address + // -------------------------------------------------- + + // ( 0x0 .. 0x40000 ) + if ( {address[RG:PAD0],{PAD0{1'b0}}} == 19'h0 ) begin + src_channel = 18'b10; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 15; + end + + // ( 0x40800 .. 0x41000 ) + if ( {address[RG:PAD1],{PAD1{1'b0}}} == 19'h40800 ) begin + src_channel = 18'b01; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 14; + end + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_addr_router_001.sv b/db/ip/nios_system/submodules/nios_system_addr_router_001.sv new file mode 100644 index 0000000..7b8c2d0 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_addr_router_001.sv @@ -0,0 +1,336 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_addr_router_001_default_decode + #( + parameter DEFAULT_CHANNEL = 1, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 15 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_addr_router_001 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 0; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + localparam PAD0 = log2ceil(64'h40000 - 64'h0); + localparam PAD1 = log2ceil(64'h41000 - 64'h40800); + localparam PAD2 = log2ceil(64'h41020 - 64'h41010); + localparam PAD3 = log2ceil(64'h41030 - 64'h41020); + localparam PAD4 = log2ceil(64'h41040 - 64'h41030); + localparam PAD5 = log2ceil(64'h41050 - 64'h41040); + localparam PAD6 = log2ceil(64'h41060 - 64'h41050); + localparam PAD7 = log2ceil(64'h41070 - 64'h41060); + localparam PAD8 = log2ceil(64'h41080 - 64'h41070); + localparam PAD9 = log2ceil(64'h41090 - 64'h41080); + localparam PAD10 = log2ceil(64'h410a0 - 64'h41090); + localparam PAD11 = log2ceil(64'h410b0 - 64'h410a0); + localparam PAD12 = log2ceil(64'h410c0 - 64'h410b0); + localparam PAD13 = log2ceil(64'h410d0 - 64'h410c0); + localparam PAD14 = log2ceil(64'h410e0 - 64'h410d0); + localparam PAD15 = log2ceil(64'h410f0 - 64'h410e0); + localparam PAD16 = log2ceil(64'h41100 - 64'h410f0); + localparam PAD17 = log2ceil(64'h41108 - 64'h41100); + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h41108; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH-1; + + wire [PKT_ADDR_W-1 : 0] address = sink_data[OPTIMIZED_ADDR_H : PKT_ADDR_L]; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_addr_router_001_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = default_destid; + + // -------------------------------------------------- + // Address Decoder + // Sets the channel and destination ID based on the address + // -------------------------------------------------- + + // ( 0x0 .. 0x40000 ) + if ( {address[RG:PAD0],{PAD0{1'b0}}} == 19'h0 ) begin + src_channel = 18'b000000000000000010; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 15; + end + + // ( 0x40800 .. 0x41000 ) + if ( {address[RG:PAD1],{PAD1{1'b0}}} == 19'h40800 ) begin + src_channel = 18'b000000000000000001; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 14; + end + + // ( 0x41010 .. 0x41020 ) + if ( {address[RG:PAD2],{PAD2{1'b0}}} == 19'h41010 ) begin + src_channel = 18'b010000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 13; + end + + // ( 0x41020 .. 0x41030 ) + if ( {address[RG:PAD3],{PAD3{1'b0}}} == 19'h41020 ) begin + src_channel = 18'b100000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 12; + end + + // ( 0x41030 .. 0x41040 ) + if ( {address[RG:PAD4],{PAD4{1'b0}}} == 19'h41030 ) begin + src_channel = 18'b001000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 11; + end + + // ( 0x41040 .. 0x41050 ) + if ( {address[RG:PAD5],{PAD5{1'b0}}} == 19'h41040 ) begin + src_channel = 18'b000100000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 9; + end + + // ( 0x41050 .. 0x41060 ) + if ( {address[RG:PAD6],{PAD6{1'b0}}} == 19'h41050 ) begin + src_channel = 18'b000010000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 8; + end + + // ( 0x41060 .. 0x41070 ) + if ( {address[RG:PAD7],{PAD7{1'b0}}} == 19'h41060 ) begin + src_channel = 18'b000001000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 7; + end + + // ( 0x41070 .. 0x41080 ) + if ( {address[RG:PAD8],{PAD8{1'b0}}} == 19'h41070 ) begin + src_channel = 18'b000000100000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6; + end + + // ( 0x41080 .. 0x41090 ) + if ( {address[RG:PAD9],{PAD9{1'b0}}} == 19'h41080 ) begin + src_channel = 18'b000000010000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5; + end + + // ( 0x41090 .. 0x410a0 ) + if ( {address[RG:PAD10],{PAD10{1'b0}}} == 19'h41090 ) begin + src_channel = 18'b000000001000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; + end + + // ( 0x410a0 .. 0x410b0 ) + if ( {address[RG:PAD11],{PAD11{1'b0}}} == 19'h410a0 ) begin + src_channel = 18'b000000000100000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3; + end + + // ( 0x410b0 .. 0x410c0 ) + if ( {address[RG:PAD12],{PAD12{1'b0}}} == 19'h410b0 ) begin + src_channel = 18'b000000000010000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2; + end + + // ( 0x410c0 .. 0x410d0 ) + if ( {address[RG:PAD13],{PAD13{1'b0}}} == 19'h410c0 ) begin + src_channel = 18'b000000000001000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 16; + end + + // ( 0x410d0 .. 0x410e0 ) + if ( {address[RG:PAD14],{PAD14{1'b0}}} == 19'h410d0 ) begin + src_channel = 18'b000000000000100000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 17; + end + + // ( 0x410e0 .. 0x410f0 ) + if ( {address[RG:PAD15],{PAD15{1'b0}}} == 19'h410e0 ) begin + src_channel = 18'b000000000000010000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; + end + + // ( 0x410f0 .. 0x41100 ) + if ( {address[RG:PAD16],{PAD16{1'b0}}} == 19'h410f0 ) begin + src_channel = 18'b000000000000000100; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1; + end + + // ( 0x41100 .. 0x41108 ) + if ( {address[RG:PAD17],{PAD17{1'b0}}} == 19'h41100 ) begin + src_channel = 18'b000000000000001000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 10; + end + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_cmd_xbar_demux.sv b/db/ip/nios_system/submodules/nios_system_cmd_xbar_demux.sv new file mode 100644 index 0000000..0aef300 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_cmd_xbar_demux.sv @@ -0,0 +1,116 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_cmd_xbar_demux +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 2 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_cmd_xbar_demux +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + output reg src1_valid, + output reg [96-1 : 0] src1_data, // ST_DATA_W=96 + output reg [18-1 : 0] src1_channel, // ST_CHANNEL_W=18 + output reg src1_startofpacket, + output reg src1_endofpacket, + input src1_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 2; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + src1_data = sink_data; + src1_startofpacket = sink_startofpacket; + src1_endofpacket = sink_endofpacket; + src1_channel = sink_channel >> NUM_OUTPUTS; + + src1_valid = sink_channel[1] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + assign ready_vector[1] = src1_ready; + + assign sink_ready = |(sink_channel & {{16{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_cmd_xbar_demux_001.sv b/db/ip/nios_system/submodules/nios_system_cmd_xbar_demux_001.sv new file mode 100644 index 0000000..433e8d0 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_cmd_xbar_demux_001.sv @@ -0,0 +1,356 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_cmd_xbar_demux_001 +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 18 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_cmd_xbar_demux_001 +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + output reg src1_valid, + output reg [96-1 : 0] src1_data, // ST_DATA_W=96 + output reg [18-1 : 0] src1_channel, // ST_CHANNEL_W=18 + output reg src1_startofpacket, + output reg src1_endofpacket, + input src1_ready, + + output reg src2_valid, + output reg [96-1 : 0] src2_data, // ST_DATA_W=96 + output reg [18-1 : 0] src2_channel, // ST_CHANNEL_W=18 + output reg src2_startofpacket, + output reg src2_endofpacket, + input src2_ready, + + output reg src3_valid, + output reg [96-1 : 0] src3_data, // ST_DATA_W=96 + output reg [18-1 : 0] src3_channel, // ST_CHANNEL_W=18 + output reg src3_startofpacket, + output reg src3_endofpacket, + input src3_ready, + + output reg src4_valid, + output reg [96-1 : 0] src4_data, // ST_DATA_W=96 + output reg [18-1 : 0] src4_channel, // ST_CHANNEL_W=18 + output reg src4_startofpacket, + output reg src4_endofpacket, + input src4_ready, + + output reg src5_valid, + output reg [96-1 : 0] src5_data, // ST_DATA_W=96 + output reg [18-1 : 0] src5_channel, // ST_CHANNEL_W=18 + output reg src5_startofpacket, + output reg src5_endofpacket, + input src5_ready, + + output reg src6_valid, + output reg [96-1 : 0] src6_data, // ST_DATA_W=96 + output reg [18-1 : 0] src6_channel, // ST_CHANNEL_W=18 + output reg src6_startofpacket, + output reg src6_endofpacket, + input src6_ready, + + output reg src7_valid, + output reg [96-1 : 0] src7_data, // ST_DATA_W=96 + output reg [18-1 : 0] src7_channel, // ST_CHANNEL_W=18 + output reg src7_startofpacket, + output reg src7_endofpacket, + input src7_ready, + + output reg src8_valid, + output reg [96-1 : 0] src8_data, // ST_DATA_W=96 + output reg [18-1 : 0] src8_channel, // ST_CHANNEL_W=18 + output reg src8_startofpacket, + output reg src8_endofpacket, + input src8_ready, + + output reg src9_valid, + output reg [96-1 : 0] src9_data, // ST_DATA_W=96 + output reg [18-1 : 0] src9_channel, // ST_CHANNEL_W=18 + output reg src9_startofpacket, + output reg src9_endofpacket, + input src9_ready, + + output reg src10_valid, + output reg [96-1 : 0] src10_data, // ST_DATA_W=96 + output reg [18-1 : 0] src10_channel, // ST_CHANNEL_W=18 + output reg src10_startofpacket, + output reg src10_endofpacket, + input src10_ready, + + output reg src11_valid, + output reg [96-1 : 0] src11_data, // ST_DATA_W=96 + output reg [18-1 : 0] src11_channel, // ST_CHANNEL_W=18 + output reg src11_startofpacket, + output reg src11_endofpacket, + input src11_ready, + + output reg src12_valid, + output reg [96-1 : 0] src12_data, // ST_DATA_W=96 + output reg [18-1 : 0] src12_channel, // ST_CHANNEL_W=18 + output reg src12_startofpacket, + output reg src12_endofpacket, + input src12_ready, + + output reg src13_valid, + output reg [96-1 : 0] src13_data, // ST_DATA_W=96 + output reg [18-1 : 0] src13_channel, // ST_CHANNEL_W=18 + output reg src13_startofpacket, + output reg src13_endofpacket, + input src13_ready, + + output reg src14_valid, + output reg [96-1 : 0] src14_data, // ST_DATA_W=96 + output reg [18-1 : 0] src14_channel, // ST_CHANNEL_W=18 + output reg src14_startofpacket, + output reg src14_endofpacket, + input src14_ready, + + output reg src15_valid, + output reg [96-1 : 0] src15_data, // ST_DATA_W=96 + output reg [18-1 : 0] src15_channel, // ST_CHANNEL_W=18 + output reg src15_startofpacket, + output reg src15_endofpacket, + input src15_ready, + + output reg src16_valid, + output reg [96-1 : 0] src16_data, // ST_DATA_W=96 + output reg [18-1 : 0] src16_channel, // ST_CHANNEL_W=18 + output reg src16_startofpacket, + output reg src16_endofpacket, + input src16_ready, + + output reg src17_valid, + output reg [96-1 : 0] src17_data, // ST_DATA_W=96 + output reg [18-1 : 0] src17_channel, // ST_CHANNEL_W=18 + output reg src17_startofpacket, + output reg src17_endofpacket, + input src17_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 18; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + src1_data = sink_data; + src1_startofpacket = sink_startofpacket; + src1_endofpacket = sink_endofpacket; + src1_channel = sink_channel >> NUM_OUTPUTS; + + src1_valid = sink_channel[1] && sink_valid; + + src2_data = sink_data; + src2_startofpacket = sink_startofpacket; + src2_endofpacket = sink_endofpacket; + src2_channel = sink_channel >> NUM_OUTPUTS; + + src2_valid = sink_channel[2] && sink_valid; + + src3_data = sink_data; + src3_startofpacket = sink_startofpacket; + src3_endofpacket = sink_endofpacket; + src3_channel = sink_channel >> NUM_OUTPUTS; + + src3_valid = sink_channel[3] && sink_valid; + + src4_data = sink_data; + src4_startofpacket = sink_startofpacket; + src4_endofpacket = sink_endofpacket; + src4_channel = sink_channel >> NUM_OUTPUTS; + + src4_valid = sink_channel[4] && sink_valid; + + src5_data = sink_data; + src5_startofpacket = sink_startofpacket; + src5_endofpacket = sink_endofpacket; + src5_channel = sink_channel >> NUM_OUTPUTS; + + src5_valid = sink_channel[5] && sink_valid; + + src6_data = sink_data; + src6_startofpacket = sink_startofpacket; + src6_endofpacket = sink_endofpacket; + src6_channel = sink_channel >> NUM_OUTPUTS; + + src6_valid = sink_channel[6] && sink_valid; + + src7_data = sink_data; + src7_startofpacket = sink_startofpacket; + src7_endofpacket = sink_endofpacket; + src7_channel = sink_channel >> NUM_OUTPUTS; + + src7_valid = sink_channel[7] && sink_valid; + + src8_data = sink_data; + src8_startofpacket = sink_startofpacket; + src8_endofpacket = sink_endofpacket; + src8_channel = sink_channel >> NUM_OUTPUTS; + + src8_valid = sink_channel[8] && sink_valid; + + src9_data = sink_data; + src9_startofpacket = sink_startofpacket; + src9_endofpacket = sink_endofpacket; + src9_channel = sink_channel >> NUM_OUTPUTS; + + src9_valid = sink_channel[9] && sink_valid; + + src10_data = sink_data; + src10_startofpacket = sink_startofpacket; + src10_endofpacket = sink_endofpacket; + src10_channel = sink_channel >> NUM_OUTPUTS; + + src10_valid = sink_channel[10] && sink_valid; + + src11_data = sink_data; + src11_startofpacket = sink_startofpacket; + src11_endofpacket = sink_endofpacket; + src11_channel = sink_channel >> NUM_OUTPUTS; + + src11_valid = sink_channel[11] && sink_valid; + + src12_data = sink_data; + src12_startofpacket = sink_startofpacket; + src12_endofpacket = sink_endofpacket; + src12_channel = sink_channel >> NUM_OUTPUTS; + + src12_valid = sink_channel[12] && sink_valid; + + src13_data = sink_data; + src13_startofpacket = sink_startofpacket; + src13_endofpacket = sink_endofpacket; + src13_channel = sink_channel >> NUM_OUTPUTS; + + src13_valid = sink_channel[13] && sink_valid; + + src14_data = sink_data; + src14_startofpacket = sink_startofpacket; + src14_endofpacket = sink_endofpacket; + src14_channel = sink_channel >> NUM_OUTPUTS; + + src14_valid = sink_channel[14] && sink_valid; + + src15_data = sink_data; + src15_startofpacket = sink_startofpacket; + src15_endofpacket = sink_endofpacket; + src15_channel = sink_channel >> NUM_OUTPUTS; + + src15_valid = sink_channel[15] && sink_valid; + + src16_data = sink_data; + src16_startofpacket = sink_startofpacket; + src16_endofpacket = sink_endofpacket; + src16_channel = sink_channel >> NUM_OUTPUTS; + + src16_valid = sink_channel[16] && sink_valid; + + src17_data = sink_data; + src17_startofpacket = sink_startofpacket; + src17_endofpacket = sink_endofpacket; + src17_channel = sink_channel >> NUM_OUTPUTS; + + src17_valid = sink_channel[17] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + assign ready_vector[1] = src1_ready; + assign ready_vector[2] = src2_ready; + assign ready_vector[3] = src3_ready; + assign ready_vector[4] = src4_ready; + assign ready_vector[5] = src5_ready; + assign ready_vector[6] = src6_ready; + assign ready_vector[7] = src7_ready; + assign ready_vector[8] = src8_ready; + assign ready_vector[9] = src9_ready; + assign ready_vector[10] = src10_ready; + assign ready_vector[11] = src11_ready; + assign ready_vector[12] = src12_ready; + assign ready_vector[13] = src13_ready; + assign ready_vector[14] = src14_ready; + assign ready_vector[15] = src15_ready; + assign ready_vector[16] = src16_ready; + assign ready_vector[17] = src17_ready; + + assign sink_ready = |(sink_channel & ready_vector); + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_cmd_xbar_mux.sv b/db/ip/nios_system/submodules/nios_system_cmd_xbar_mux.sv new file mode 100644 index 0000000..76ec5b4 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_cmd_xbar_mux.sv @@ -0,0 +1,308 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Multiplexer +// ------------------------------------------ + +`timescale 1 ns / 1 ns + + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_cmd_xbar_mux +// NUM_INPUTS: 2 +// ARBITRATION_SHARES: 1 1 +// ARBITRATION_SCHEME "round-robin" +// PIPELINE_ARB: 1 +// PKT_TRANS_LOCK: 59 (arbitration locking enabled) +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// ------------------------------------------ + +module nios_system_cmd_xbar_mux +( + // ---------------------- + // Sinks + // ---------------------- + input sink0_valid, + input [96-1 : 0] sink0_data, + input [18-1: 0] sink0_channel, + input sink0_startofpacket, + input sink0_endofpacket, + output sink0_ready, + + input sink1_valid, + input [96-1 : 0] sink1_data, + input [18-1: 0] sink1_channel, + input sink1_startofpacket, + input sink1_endofpacket, + output sink1_ready, + + + // ---------------------- + // Source + // ---------------------- + output src_valid, + output [96-1 : 0] src_data, + output [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready, + + // ---------------------- + // Clock & Reset + // ---------------------- + input clk, + input reset +); + localparam PAYLOAD_W = 96 + 18 + 2; + localparam NUM_INPUTS = 2; + localparam SHARE_COUNTER_W = 1; + localparam PIPELINE_ARB = 1; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam PKT_TRANS_LOCK = 59; + + // ------------------------------------------ + // Signals + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] request; + wire [NUM_INPUTS - 1 : 0] valid; + wire [NUM_INPUTS - 1 : 0] grant; + wire [NUM_INPUTS - 1 : 0] next_grant; + reg [NUM_INPUTS - 1 : 0] saved_grant; + reg [PAYLOAD_W - 1 : 0] src_payload; + wire last_cycle; + reg packet_in_progress; + reg update_grant; + + wire [PAYLOAD_W - 1 : 0] sink0_payload; + wire [PAYLOAD_W - 1 : 0] sink1_payload; + + assign valid[0] = sink0_valid; + assign valid[1] = sink1_valid; + + wire [NUM_INPUTS - 1 : 0] eop; + assign eop[0] = sink0_endofpacket; + assign eop[1] = sink1_endofpacket; + + // ------------------------------------------ + // ------------------------------------------ + // Grant Logic & Updates + // ------------------------------------------ + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] lock; + always @* begin + lock[0] = sink0_data[59]; + lock[1] = sink1_data[59]; + end + reg [NUM_INPUTS - 1 : 0] locked = '0; + always @(posedge clk or posedge reset) begin + if (reset) begin + locked <= '0; + end + else begin + locked <= next_grant & lock; + end + end + + assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); + + // ------------------------------------------ + // We're working on a packet at any time valid is high, except + // when this is the endofpacket. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + packet_in_progress <= 1'b0; + end + else begin + if (src_valid) + packet_in_progress <= 1'b1; + if (last_cycle) + packet_in_progress <= 1'b0; + end + end + + + // ------------------------------------------ + // Shares + // + // Special case: all-equal shares _should_ be optimized into assigning a + // constant to next_grant_share. + // Special case: all-1's shares _should_ result in the share counter + // being optimized away. + // ------------------------------------------ + // Input | arb shares | counter load value + // 0 | 1 | 0 + // 1 | 1 | 0 + wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; + + // ------------------------------------------ + // Choose the share value corresponding to the grant. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] next_grant_share; + always @* begin + next_grant_share = + share_0 & { SHARE_COUNTER_W {next_grant[0]} } | + share_1 & { SHARE_COUNTER_W {next_grant[1]} }; + end + + // ------------------------------------------ + // Flag to indicate first packet of an arb sequence. + // ------------------------------------------ + + // ------------------------------------------ + // Compute the next share-count value. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] p1_share_count; + reg [SHARE_COUNTER_W - 1 : 0] share_count; + reg share_count_zero_flag; + + always @* begin + // Update the counter, but don't decrement below 0. + p1_share_count = share_count_zero_flag ? '0 : share_count - 1'b1; + end + + // ------------------------------------------ + // Update the share counter and share-counter=zero flag. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + share_count <= '0; + share_count_zero_flag <= 1'b1; + end + else begin + if (update_grant) begin + share_count <= next_grant_share; + share_count_zero_flag <= (next_grant_share == '0); + end + else if (last_cycle) begin + share_count <= p1_share_count; + share_count_zero_flag <= (p1_share_count == '0); + end + end + end + + + always @* begin + update_grant = 0; + + // ------------------------------------------ + // The pipeline delays grant by one cycle, so + // we have to calculate the update_grant signal + // one cycle ahead of time. + // + // Possible optimization: omit the first clause + // "if (!packet_in_progress & ~src_valid) ..." + // cost: one idle cycle at the the beginning of each + // grant cycle. + // benefit: save a small amount of logic. + // ------------------------------------------ + if (!packet_in_progress & !src_valid) + update_grant = 1; + if (last_cycle && share_count_zero_flag) + update_grant = 1; + end + + wire save_grant; + assign save_grant = update_grant; + assign grant = saved_grant; + + always @(posedge clk, posedge reset) begin + if (reset) + saved_grant <= '0; + else if (save_grant) + saved_grant <= next_grant; + end + + // ------------------------------------------ + // ------------------------------------------ + // Arbitrator + // ------------------------------------------ + // ------------------------------------------ + + // ------------------------------------------ + // Create a request vector that stays high during + // the packet for unpipelined arbitration. + // + // The pipelined arbitration scheme does not require + // request to be held high during the packet. + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] prev_request; + always @(posedge clk, posedge reset) begin + if (reset) + prev_request <= '0; + else + prev_request <= request & ~(valid & eop); + end + + assign request = (PIPELINE_ARB == 1) ? valid | locked : + prev_request | valid | locked; + + + altera_merlin_arbitrator + #( + .NUM_REQUESTERS(NUM_INPUTS), + .SCHEME ("round-robin"), + .PIPELINE (1) + ) arb ( + .clk (clk), + .reset (reset), + .request (request), + .grant (next_grant), + .save_top_priority (src_valid), + .increment_top_priority (update_grant) + ); + + // ------------------------------------------ + // ------------------------------------------ + // Mux + // + // Implemented as a sum of products. + // ------------------------------------------ + // ------------------------------------------ + + assign sink0_ready = src_ready && grant[0]; + assign sink1_ready = src_ready && grant[1]; + + assign src_valid = |(grant & valid); + + always @* begin + src_payload = + sink0_payload & {PAYLOAD_W {grant[0]} } | + sink1_payload & {PAYLOAD_W {grant[1]} }; + end + + // ------------------------------------------ + // Mux Payload Mapping + // ------------------------------------------ + + assign sink0_payload = {sink0_channel,sink0_data, + sink0_startofpacket,sink0_endofpacket}; + assign sink1_payload = {sink1_channel,sink1_data, + sink1_startofpacket,sink1_endofpacket}; + + assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; + +endmodule + + + diff --git a/db/ip/nios_system/submodules/nios_system_hex0.v b/db/ip/nios_system/submodules/nios_system_hex0.v new file mode 100644 index 0000000..5941fac --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_hex0.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_hex0 ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output [ 6: 0] out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg [ 6: 0] data_out; + wire [ 6: 0] out_port; + wire [ 6: 0] read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {7 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata[6 : 0]; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_id_router.sv b/db/ip/nios_system/submodules/nios_system_id_router.sv new file mode 100644 index 0000000..90937e8 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_id_router.sv @@ -0,0 +1,221 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_id_router_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 1 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_id_router +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_id_router_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 1 ) begin + src_channel = 18'b01; + end + + if (destid == 0 ) begin + src_channel = 18'b10; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_id_router_002.sv b/db/ip/nios_system/submodules/nios_system_id_router_002.sv new file mode 100644 index 0000000..a80e831 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_id_router_002.sv @@ -0,0 +1,217 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_id_router_002_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 0 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_id_router_002 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_id_router_002_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 0 ) begin + src_channel = 18'b1; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_id_router_003.sv b/db/ip/nios_system/submodules/nios_system_id_router_003.sv new file mode 100644 index 0000000..5eb343c --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_id_router_003.sv @@ -0,0 +1,217 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_id_router_003_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 0 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_id_router_003 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_id_router_003_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 0 ) begin + src_channel = 18'b1; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_irq_mapper.sv b/db/ip/nios_system/submodules/nios_system_irq_mapper.sv new file mode 100644 index 0000000..cc0a8bb --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_irq_mapper.sv @@ -0,0 +1,59 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_irq_mapper/altera_irq_mapper.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Altera IRQ Mapper +// +// Parameters +// NUM_RCVRS : 1 +// SENDER_IRW_WIDTH : 32 +// IRQ_MAP : 0:5 +// +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_irq_mapper +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // IRQ Receivers + // ------------------- + input receiver0_irq, + + // ------------------- + // Command Source (Output) + // ------------------- + output reg [31 : 0] sender_irq +); + + + always @* begin + sender_irq = 0; + + sender_irq[5] = receiver0_irq; + end + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_jtag_uart.v b/db/ip/nios_system/submodules/nios_system_jtag_uart.v new file mode 100644 index 0000000..c76125d --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_jtag_uart.v @@ -0,0 +1,583 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_sim_scfifo_w ( + // inputs: + clk, + fifo_wdata, + fifo_wr, + + // outputs: + fifo_FF, + r_dat, + wfifo_empty, + wfifo_used + ) +; + + output fifo_FF; + output [ 7: 0] r_dat; + output wfifo_empty; + output [ 5: 0] wfifo_used; + input clk; + input [ 7: 0] fifo_wdata; + input fifo_wr; + + wire fifo_FF; + wire [ 7: 0] r_dat; + wire wfifo_empty; + wire [ 5: 0] wfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + always @(posedge clk) + begin + if (fifo_wr) + $write("%c", fifo_wdata); + end + + + assign wfifo_used = {6{1'b0}}; + assign r_dat = {8{1'b0}}; + assign fifo_FF = 1'b0; + assign wfifo_empty = 1'b1; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_scfifo_w ( + // inputs: + clk, + fifo_clear, + fifo_wdata, + fifo_wr, + rd_wfifo, + + // outputs: + fifo_FF, + r_dat, + wfifo_empty, + wfifo_used + ) +; + + output fifo_FF; + output [ 7: 0] r_dat; + output wfifo_empty; + output [ 5: 0] wfifo_used; + input clk; + input fifo_clear; + input [ 7: 0] fifo_wdata; + input fifo_wr; + input rd_wfifo; + + wire fifo_FF; + wire [ 7: 0] r_dat; + wire wfifo_empty; + wire [ 5: 0] wfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + nios_system_jtag_uart_sim_scfifo_w the_nios_system_jtag_uart_sim_scfifo_w + ( + .clk (clk), + .fifo_FF (fifo_FF), + .fifo_wdata (fifo_wdata), + .fifo_wr (fifo_wr), + .r_dat (r_dat), + .wfifo_empty (wfifo_empty), + .wfifo_used (wfifo_used) + ); + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// scfifo wfifo +// ( +// .aclr (fifo_clear), +// .clock (clk), +// .data (fifo_wdata), +// .empty (wfifo_empty), +// .full (fifo_FF), +// .q (r_dat), +// .rdreq (rd_wfifo), +// .usedw (wfifo_used), +// .wrreq (fifo_wr) +// ); +// +// defparam wfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO", +// wfifo.lpm_numwords = 64, +// wfifo.lpm_showahead = "OFF", +// wfifo.lpm_type = "scfifo", +// wfifo.lpm_width = 8, +// wfifo.lpm_widthu = 6, +// wfifo.overflow_checking = "OFF", +// wfifo.underflow_checking = "OFF", +// wfifo.use_eab = "ON"; +// +//synthesis read_comments_as_HDL off + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_sim_scfifo_r ( + // inputs: + clk, + fifo_rd, + rst_n, + + // outputs: + fifo_EF, + fifo_rdata, + rfifo_full, + rfifo_used + ) +; + + output fifo_EF; + output [ 7: 0] fifo_rdata; + output rfifo_full; + output [ 5: 0] rfifo_used; + input clk; + input fifo_rd; + input rst_n; + + reg [ 31: 0] bytes_left; + wire fifo_EF; + reg fifo_rd_d; + wire [ 7: 0] fifo_rdata; + wire new_rom; + wire [ 31: 0] num_bytes; + wire [ 6: 0] rfifo_entries; + wire rfifo_full; + wire [ 5: 0] rfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + // Generate rfifo_entries for simulation + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + begin + bytes_left <= 32'h0; + fifo_rd_d <= 1'b0; + end + else + begin + fifo_rd_d <= fifo_rd; + // decrement on read + if (fifo_rd_d) + bytes_left <= bytes_left - 1'b1; + // catch new contents + if (new_rom) + bytes_left <= num_bytes; + end + end + + + assign fifo_EF = bytes_left == 32'b0; + assign rfifo_full = bytes_left > 7'h40; + assign rfifo_entries = (rfifo_full) ? 7'h40 : bytes_left; + assign rfifo_used = rfifo_entries[5 : 0]; + assign new_rom = 1'b0; + assign num_bytes = 32'b0; + assign fifo_rdata = 8'b0; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_scfifo_r ( + // inputs: + clk, + fifo_clear, + fifo_rd, + rst_n, + t_dat, + wr_rfifo, + + // outputs: + fifo_EF, + fifo_rdata, + rfifo_full, + rfifo_used + ) +; + + output fifo_EF; + output [ 7: 0] fifo_rdata; + output rfifo_full; + output [ 5: 0] rfifo_used; + input clk; + input fifo_clear; + input fifo_rd; + input rst_n; + input [ 7: 0] t_dat; + input wr_rfifo; + + wire fifo_EF; + wire [ 7: 0] fifo_rdata; + wire rfifo_full; + wire [ 5: 0] rfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + nios_system_jtag_uart_sim_scfifo_r the_nios_system_jtag_uart_sim_scfifo_r + ( + .clk (clk), + .fifo_EF (fifo_EF), + .fifo_rd (fifo_rd), + .fifo_rdata (fifo_rdata), + .rfifo_full (rfifo_full), + .rfifo_used (rfifo_used), + .rst_n (rst_n) + ); + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// scfifo rfifo +// ( +// .aclr (fifo_clear), +// .clock (clk), +// .data (t_dat), +// .empty (fifo_EF), +// .full (rfifo_full), +// .q (fifo_rdata), +// .rdreq (fifo_rd), +// .usedw (rfifo_used), +// .wrreq (wr_rfifo) +// ); +// +// defparam rfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO", +// rfifo.lpm_numwords = 64, +// rfifo.lpm_showahead = "OFF", +// rfifo.lpm_type = "scfifo", +// rfifo.lpm_width = 8, +// rfifo.lpm_widthu = 6, +// rfifo.overflow_checking = "OFF", +// rfifo.underflow_checking = "OFF", +// rfifo.use_eab = "ON"; +// +//synthesis read_comments_as_HDL off + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart ( + // inputs: + av_address, + av_chipselect, + av_read_n, + av_write_n, + av_writedata, + clk, + rst_n, + + // outputs: + av_irq, + av_readdata, + av_waitrequest, + dataavailable, + readyfordata + ) + /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R101,C106,D101,D103\"" */ ; + + output av_irq; + output [ 31: 0] av_readdata; + output av_waitrequest; + output dataavailable; + output readyfordata; + input av_address; + input av_chipselect; + input av_read_n; + input av_write_n; + input [ 31: 0] av_writedata; + input clk; + input rst_n; + + reg ac; + wire activity; + wire av_irq; + wire [ 31: 0] av_readdata; + reg av_waitrequest; + reg dataavailable; + reg fifo_AE; + reg fifo_AF; + wire fifo_EF; + wire fifo_FF; + wire fifo_clear; + wire fifo_rd; + wire [ 7: 0] fifo_rdata; + wire [ 7: 0] fifo_wdata; + reg fifo_wr; + reg ien_AE; + reg ien_AF; + wire ipen_AE; + wire ipen_AF; + reg pause_irq; + wire [ 7: 0] r_dat; + wire r_ena; + reg r_val; + wire rd_wfifo; + reg read_0; + reg readyfordata; + wire rfifo_full; + wire [ 5: 0] rfifo_used; + reg rvalid; + reg sim_r_ena; + reg sim_t_dat; + reg sim_t_ena; + reg sim_t_pause; + wire [ 7: 0] t_dat; + reg t_dav; + wire t_ena; + wire t_pause; + wire wfifo_empty; + wire [ 5: 0] wfifo_used; + reg woverflow; + wire wr_rfifo; + //avalon_jtag_slave, which is an e_avalon_slave + assign rd_wfifo = r_ena & ~wfifo_empty; + assign wr_rfifo = t_ena & ~rfifo_full; + assign fifo_clear = ~rst_n; + nios_system_jtag_uart_scfifo_w the_nios_system_jtag_uart_scfifo_w + ( + .clk (clk), + .fifo_FF (fifo_FF), + .fifo_clear (fifo_clear), + .fifo_wdata (fifo_wdata), + .fifo_wr (fifo_wr), + .r_dat (r_dat), + .rd_wfifo (rd_wfifo), + .wfifo_empty (wfifo_empty), + .wfifo_used (wfifo_used) + ); + + nios_system_jtag_uart_scfifo_r the_nios_system_jtag_uart_scfifo_r + ( + .clk (clk), + .fifo_EF (fifo_EF), + .fifo_clear (fifo_clear), + .fifo_rd (fifo_rd), + .fifo_rdata (fifo_rdata), + .rfifo_full (rfifo_full), + .rfifo_used (rfifo_used), + .rst_n (rst_n), + .t_dat (t_dat), + .wr_rfifo (wr_rfifo) + ); + + assign ipen_AE = ien_AE & fifo_AE; + assign ipen_AF = ien_AF & (pause_irq | fifo_AF); + assign av_irq = ipen_AE | ipen_AF; + assign activity = t_pause | t_ena; + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + pause_irq <= 1'b0; + else // only if fifo is not empty... + if (t_pause & ~fifo_EF) + pause_irq <= 1'b1; + else if (read_0) + pause_irq <= 1'b0; + end + + + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + begin + r_val <= 1'b0; + t_dav <= 1'b1; + end + else + begin + r_val <= r_ena & ~wfifo_empty; + t_dav <= ~rfifo_full; + end + end + + + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + begin + fifo_AE <= 1'b0; + fifo_AF <= 1'b0; + fifo_wr <= 1'b0; + rvalid <= 1'b0; + read_0 <= 1'b0; + ien_AE <= 1'b0; + ien_AF <= 1'b0; + ac <= 1'b0; + woverflow <= 1'b0; + av_waitrequest <= 1'b1; + end + else + begin + fifo_AE <= {fifo_FF,wfifo_used} <= 8; + fifo_AF <= (7'h40 - {rfifo_full,rfifo_used}) <= 8; + fifo_wr <= 1'b0; + read_0 <= 1'b0; + av_waitrequest <= ~(av_chipselect & (~av_write_n | ~av_read_n) & av_waitrequest); + if (activity) + ac <= 1'b1; + // write + if (av_chipselect & ~av_write_n & av_waitrequest) + // addr 1 is control; addr 0 is data + if (av_address) + begin + ien_AF <= av_writedata[0]; + ien_AE <= av_writedata[1]; + if (av_writedata[10] & ~activity) + ac <= 1'b0; + end + else + begin + fifo_wr <= ~fifo_FF; + woverflow <= fifo_FF; + end + // read + if (av_chipselect & ~av_read_n & av_waitrequest) + begin + // addr 1 is interrupt; addr 0 is data + if (~av_address) + rvalid <= ~fifo_EF; + read_0 <= ~av_address; + end + end + end + + + assign fifo_wdata = av_writedata[7 : 0]; + assign fifo_rd = (av_chipselect & ~av_read_n & av_waitrequest & ~av_address) ? ~fifo_EF : 1'b0; + assign av_readdata = read_0 ? { {9{1'b0}},rfifo_full,rfifo_used,rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,fifo_rdata } : { {9{1'b0}},(7'h40 - {fifo_FF,wfifo_used}),rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,{6{1'b0}},ien_AE,ien_AF }; + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + readyfordata <= 0; + else + readyfordata <= ~fifo_FF; + end + + + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + // Tie off Atlantic Interface signals not used for simulation + always @(posedge clk) + begin + sim_t_pause <= 1'b0; + sim_t_ena <= 1'b0; + sim_t_dat <= t_dav ? r_dat : {8{r_val}}; + sim_r_ena <= 1'b0; + end + + + assign r_ena = sim_r_ena; + assign t_ena = sim_t_ena; + assign t_dat = sim_t_dat; + assign t_pause = sim_t_pause; + always @(fifo_EF) + begin + dataavailable = ~fifo_EF; + end + + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// alt_jtag_atlantic nios_system_jtag_uart_alt_jtag_atlantic +// ( +// .clk (clk), +// .r_dat (r_dat), +// .r_ena (r_ena), +// .r_val (r_val), +// .rst_n (rst_n), +// .t_dat (t_dat), +// .t_dav (t_dav), +// .t_ena (t_ena), +// .t_pause (t_pause) +// ); +// +// defparam nios_system_jtag_uart_alt_jtag_atlantic.INSTANCE_ID = 0, +// nios_system_jtag_uart_alt_jtag_atlantic.LOG2_RXFIFO_DEPTH = 6, +// nios_system_jtag_uart_alt_jtag_atlantic.LOG2_TXFIFO_DEPTH = 6, +// nios_system_jtag_uart_alt_jtag_atlantic.SLD_AUTO_INSTANCE_INDEX = "YES"; +// +// always @(posedge clk or negedge rst_n) +// begin +// if (rst_n == 0) +// dataavailable <= 0; +// else +// dataavailable <= ~fifo_EF; +// end +// +// +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_lcd.v b/db/ip/nios_system/submodules/nios_system_lcd.v new file mode 100644 index 0000000..18c2d15 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_lcd.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd ( + // inputs: + address, + begintransfer, + clk, + read, + reset_n, + write, + writedata, + + // outputs: + LCD_E, + LCD_RS, + LCD_RW, + LCD_data, + readdata + ) +; + + output LCD_E; + output LCD_RS; + output LCD_RW; + inout [ 7: 0] LCD_data; + output [ 7: 0] readdata; + input [ 1: 0] address; + input begintransfer; + input clk; + input read; + input reset_n; + input write; + input [ 7: 0] writedata; + + wire LCD_E; + wire LCD_RS; + wire LCD_RW; + wire [ 7: 0] LCD_data; + wire [ 7: 0] readdata; + assign LCD_RW = address[0]; + assign LCD_RS = address[1]; + assign LCD_E = read | write; + assign LCD_data = (address[0]) ? {8{1'bz}} : writedata; + assign readdata = LCD_data; + //control_slave, which is an e_avalon_slave + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_lcd_16207_0.v b/db/ip/nios_system/submodules/nios_system_lcd_16207_0.v new file mode 100644 index 0000000..78b6e6e --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_lcd_16207_0.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd_16207_0 ( + // inputs: + address, + begintransfer, + clk, + read, + reset_n, + write, + writedata, + + // outputs: + LCD_E, + LCD_RS, + LCD_RW, + LCD_data, + readdata + ) +; + + output LCD_E; + output LCD_RS; + output LCD_RW; + inout [ 7: 0] LCD_data; + output [ 7: 0] readdata; + input [ 1: 0] address; + input begintransfer; + input clk; + input read; + input reset_n; + input write; + input [ 7: 0] writedata; + + wire LCD_E; + wire LCD_RS; + wire LCD_RW; + wire [ 7: 0] LCD_data; + wire [ 7: 0] readdata; + assign LCD_RW = address[0]; + assign LCD_RS = address[1]; + assign LCD_E = read | write; + assign LCD_data = (address[0]) ? {8{1'bz}} : writedata; + assign readdata = LCD_data; + //control_slave, which is an e_avalon_slave + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_lcd_E.v b/db/ip/nios_system/submodules/nios_system_lcd_E.v new file mode 100644 index 0000000..c5680d0 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_lcd_E.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd_E ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg data_out; + wire out_port; + wire read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {1 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_lcd_on.v b/db/ip/nios_system/submodules/nios_system_lcd_on.v new file mode 100644 index 0000000..5b987ea --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_lcd_on.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd_on ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg data_out; + wire out_port; + wire read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {1 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor.sdc b/db/ip/nios_system/submodules/nios_system_nios2_processor.sdc new file mode 100644 index 0000000..f1186f1 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor.sdc @@ -0,0 +1,53 @@ +# Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +# use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any +# output files any of the foregoing (including device programming or +# simulation files), and any associated documentation or information are +# expressly subject to the terms and conditions of the Altera Program +# License Subscription Agreement or other applicable license agreement, +# including, without limitation, that your use is for the sole purpose +# of programming logic devices manufactured by Altera and sold by Altera +# or its authorized distributors. Please refer to the applicable +# agreement for further details. + +#************************************************************** +# Timequest JTAG clock definition +# Uncommenting the following lines will define the JTAG +# clock in TimeQuest Timing Analyzer +#************************************************************** + +#create_clock -period 10MHz {altera_reserved_tck} +#set_clock_groups -asynchronous -group {altera_reserved_tck} + +#************************************************************** +# Set TCL Path Variables +#************************************************************** + +set nios_system_nios2_processor nios_system_nios2_processor:* +set nios_system_nios2_processor_oci nios_system_nios2_processor_nios2_oci:the_nios_system_nios2_processor_nios2_oci +set nios_system_nios2_processor_oci_break nios_system_nios2_processor_nios2_oci_break:the_nios_system_nios2_processor_nios2_oci_break +set nios_system_nios2_processor_ocimem nios_system_nios2_processor_nios2_ocimem:the_nios_system_nios2_processor_nios2_ocimem +set nios_system_nios2_processor_oci_debug nios_system_nios2_processor_nios2_oci_debug:the_nios_system_nios2_processor_nios2_oci_debug +set nios_system_nios2_processor_wrapper nios_system_nios2_processor_jtag_debug_module_wrapper:the_nios_system_nios2_processor_jtag_debug_module_wrapper +set nios_system_nios2_processor_jtag_tck nios_system_nios2_processor_jtag_debug_module_tck:the_nios_system_nios2_processor_jtag_debug_module_tck +set nios_system_nios2_processor_jtag_sysclk nios_system_nios2_processor_jtag_debug_module_sysclk:the_nios_system_nios2_processor_jtag_debug_module_sysclk +set nios_system_nios2_processor_oci_path [format "%s|%s" $nios_system_nios2_processor $nios_system_nios2_processor_oci] +set nios_system_nios2_processor_oci_break_path [format "%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_oci_break] +set nios_system_nios2_processor_ocimem_path [format "%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_ocimem] +set nios_system_nios2_processor_oci_debug_path [format "%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_oci_debug] +set nios_system_nios2_processor_jtag_tck_path [format "%s|%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_wrapper $nios_system_nios2_processor_jtag_tck] +set nios_system_nios2_processor_jtag_sysclk_path [format "%s|%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_wrapper $nios_system_nios2_processor_jtag_sysclk] +set nios_system_nios2_processor_jtag_sr [format "%s|*sr" $nios_system_nios2_processor_jtag_tck_path] + +#************************************************************** +# Set False Paths +#************************************************************** + +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_break_path|break_readreg*] -to [get_keepers *$nios_system_nios2_processor_jtag_sr*] +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_debug_path|*resetlatch] -to [get_keepers *$nios_system_nios2_processor_jtag_sr[33]] +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_debug_path|monitor_ready] -to [get_keepers *$nios_system_nios2_processor_jtag_sr[0]] +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_debug_path|monitor_error] -to [get_keepers *$nios_system_nios2_processor_jtag_sr[34]] +set_false_path -from [get_keepers *$nios_system_nios2_processor_ocimem_path|*MonDReg*] -to [get_keepers *$nios_system_nios2_processor_jtag_sr*] +set_false_path -from *$nios_system_nios2_processor_jtag_sr* -to *$nios_system_nios2_processor_jtag_sysclk_path|*jdo* +set_false_path -from sld_hub:*|irf_reg* -to *$nios_system_nios2_processor_jtag_sysclk_path|ir* +set_false_path -from sld_hub:*|sld_shadow_jsm:shadow_jsm|state[1] -to *$nios_system_nios2_processor_oci_debug_path|monitor_go diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor.v b/db/ip/nios_system/submodules/nios_system_nios2_processor.v new file mode 100644 index 0000000..c21e473 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor.v @@ -0,0 +1,5672 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_register_bank_a_module ( + // inputs: + clock, + data, + rdaddress, + wraddress, + wren, + + // outputs: + q + ) +; + + parameter lpm_file = "UNUSED"; + + + output [ 31: 0] q; + input clock; + input [ 31: 0] data; + input [ 4: 0] rdaddress; + input [ 4: 0] wraddress; + input wren; + + wire [ 31: 0] q; + wire [ 31: 0] ram_q; + assign q = ram_q; + altsyncram the_altsyncram + ( + .address_a (wraddress), + .address_b (rdaddress), + .clock0 (clock), + .data_a (data), + .q_b (ram_q), + .wren_a (wren) + ); + + defparam the_altsyncram.address_reg_b = "CLOCK0", + the_altsyncram.init_file = lpm_file, + the_altsyncram.maximum_depth = 0, + the_altsyncram.numwords_a = 32, + the_altsyncram.numwords_b = 32, + the_altsyncram.operation_mode = "DUAL_PORT", + the_altsyncram.outdata_reg_b = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.rdcontrol_reg_b = "CLOCK0", + the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", + the_altsyncram.width_a = 32, + the_altsyncram.width_b = 32, + the_altsyncram.widthad_a = 5, + the_altsyncram.widthad_b = 5; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_register_bank_b_module ( + // inputs: + clock, + data, + rdaddress, + wraddress, + wren, + + // outputs: + q + ) +; + + parameter lpm_file = "UNUSED"; + + + output [ 31: 0] q; + input clock; + input [ 31: 0] data; + input [ 4: 0] rdaddress; + input [ 4: 0] wraddress; + input wren; + + wire [ 31: 0] q; + wire [ 31: 0] ram_q; + assign q = ram_q; + altsyncram the_altsyncram + ( + .address_a (wraddress), + .address_b (rdaddress), + .clock0 (clock), + .data_a (data), + .q_b (ram_q), + .wren_a (wren) + ); + + defparam the_altsyncram.address_reg_b = "CLOCK0", + the_altsyncram.init_file = lpm_file, + the_altsyncram.maximum_depth = 0, + the_altsyncram.numwords_a = 32, + the_altsyncram.numwords_b = 32, + the_altsyncram.operation_mode = "DUAL_PORT", + the_altsyncram.outdata_reg_b = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.rdcontrol_reg_b = "CLOCK0", + the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", + the_altsyncram.width_a = 32, + the_altsyncram.width_b = 32, + the_altsyncram.widthad_a = 5, + the_altsyncram.widthad_b = 5; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_debug ( + // inputs: + clk, + dbrk_break, + debugreq, + hbreak_enabled, + jdo, + jrst_n, + ocireg_ers, + ocireg_mrs, + reset, + st_ready_test_idle, + take_action_ocimem_a, + take_action_ocireg, + xbrk_break, + + // outputs: + debugack, + monitor_error, + monitor_go, + monitor_ready, + oci_hbreak_req, + resetlatch, + resetrequest + ) +; + + output debugack; + output monitor_error; + output monitor_go; + output monitor_ready; + output oci_hbreak_req; + output resetlatch; + output resetrequest; + input clk; + input dbrk_break; + input debugreq; + input hbreak_enabled; + input [ 37: 0] jdo; + input jrst_n; + input ocireg_ers; + input ocireg_mrs; + input reset; + input st_ready_test_idle; + input take_action_ocimem_a; + input take_action_ocireg; + input xbrk_break; + + reg break_on_reset /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + wire debugack; + reg jtag_break /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg monitor_error /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + reg monitor_go /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + reg monitor_ready /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + wire oci_hbreak_req; + wire reset_sync; + reg resetlatch /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg resetrequest /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + wire unxcomplemented_resetxx0; + assign unxcomplemented_resetxx0 = jrst_n; + altera_std_synchronizer the_altera_std_synchronizer + ( + .clk (clk), + .din (reset), + .dout (reset_sync), + .reset_n (unxcomplemented_resetxx0) + ); + + defparam the_altera_std_synchronizer.depth = 2; + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + break_on_reset <= 1'b0; + resetrequest <= 1'b0; + jtag_break <= 1'b0; + end + else if (take_action_ocimem_a) + begin + resetrequest <= jdo[22]; + jtag_break <= jdo[21] ? 1 + : jdo[20] ? 0 + : jtag_break; + + break_on_reset <= jdo[19] ? 1 + : jdo[18] ? 0 + : break_on_reset; + + resetlatch <= jdo[24] ? 0 : resetlatch; + end + else if (reset_sync) + begin + jtag_break <= break_on_reset; + resetlatch <= 1; + end + else if (debugreq & ~debugack & break_on_reset) + jtag_break <= 1'b1; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + monitor_ready <= 1'b0; + monitor_error <= 1'b0; + monitor_go <= 1'b0; + end + else + begin + if (take_action_ocimem_a && jdo[25]) + monitor_ready <= 1'b0; + else if (take_action_ocireg && ocireg_mrs) + monitor_ready <= 1'b1; + if (take_action_ocimem_a && jdo[25]) + monitor_error <= 1'b0; + else if (take_action_ocireg && ocireg_ers) + monitor_error <= 1'b1; + if (take_action_ocimem_a && jdo[23]) + monitor_go <= 1'b1; + else if (st_ready_test_idle) + monitor_go <= 1'b0; + end + end + + + assign oci_hbreak_req = jtag_break | dbrk_break | xbrk_break | debugreq; + assign debugack = ~hbreak_enabled; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_ociram_sp_ram_module ( + // inputs: + address, + byteenable, + clock, + data, + wren, + + // outputs: + q + ) +; + + parameter lpm_file = "UNUSED"; + + + output [ 31: 0] q; + input [ 7: 0] address; + input [ 3: 0] byteenable; + input clock; + input [ 31: 0] data; + input wren; + + wire [ 31: 0] q; + wire [ 31: 0] ram_q; + assign q = ram_q; + altsyncram the_altsyncram + ( + .address_a (address), + .byteena_a (byteenable), + .clock0 (clock), + .data_a (data), + .q_a (ram_q), + .wren_a (wren) + ); + + defparam the_altsyncram.init_file = lpm_file, + the_altsyncram.maximum_depth = 0, + the_altsyncram.numwords_a = 256, + the_altsyncram.operation_mode = "SINGLE_PORT", + the_altsyncram.outdata_reg_a = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.width_a = 32, + the_altsyncram.width_byteena_a = 4, + the_altsyncram.widthad_a = 8; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_ocimem ( + // inputs: + address, + byteenable, + clk, + debugaccess, + jdo, + jrst_n, + read, + take_action_ocimem_a, + take_action_ocimem_b, + take_no_action_ocimem_a, + write, + writedata, + + // outputs: + MonDReg, + ociram_readdata, + waitrequest + ) +; + + output [ 31: 0] MonDReg; + output [ 31: 0] ociram_readdata; + output waitrequest; + input [ 8: 0] address; + input [ 3: 0] byteenable; + input clk; + input debugaccess; + input [ 37: 0] jdo; + input jrst_n; + input read; + input take_action_ocimem_a; + input take_action_ocimem_b; + input take_no_action_ocimem_a; + input write; + input [ 31: 0] writedata; + + reg [ 10: 0] MonAReg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire [ 8: 0] MonARegAddrInc; + wire MonARegAddrIncAccessingRAM; + reg [ 31: 0] MonDReg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg avalon_ociram_readdata_ready /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire avalon_ram_wr; + wire [ 31: 0] cfgrom_readdata; + reg jtag_ram_access /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_ram_rd /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_ram_rd_d1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_ram_wr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_rd /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_rd_d1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire [ 7: 0] ociram_addr; + wire [ 3: 0] ociram_byteenable; + wire [ 31: 0] ociram_readdata; + wire [ 31: 0] ociram_wr_data; + wire ociram_wr_en; + reg waitrequest /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + jtag_rd <= 1'b0; + jtag_rd_d1 <= 1'b0; + jtag_ram_wr <= 1'b0; + jtag_ram_rd <= 1'b0; + jtag_ram_rd_d1 <= 1'b0; + jtag_ram_access <= 1'b0; + MonAReg <= 0; + MonDReg <= 0; + waitrequest <= 1'b1; + avalon_ociram_readdata_ready <= 1'b0; + end + else + begin + if (take_no_action_ocimem_a) + begin + MonAReg[10 : 2] <= MonARegAddrInc; + jtag_rd <= 1'b1; + jtag_ram_rd <= MonARegAddrIncAccessingRAM; + jtag_ram_access <= MonARegAddrIncAccessingRAM; + end + else if (take_action_ocimem_a) + begin + MonAReg[10 : 2] <= { jdo[17], + jdo[33 : 26] }; + + jtag_rd <= 1'b1; + jtag_ram_rd <= ~jdo[17]; + jtag_ram_access <= ~jdo[17]; + end + else if (take_action_ocimem_b) + begin + MonAReg[10 : 2] <= MonARegAddrInc; + MonDReg <= jdo[34 : 3]; + jtag_ram_wr <= MonARegAddrIncAccessingRAM; + jtag_ram_access <= MonARegAddrIncAccessingRAM; + end + else + begin + jtag_rd <= 0; + jtag_ram_wr <= 0; + jtag_ram_rd <= 0; + jtag_ram_access <= 0; + if (jtag_rd_d1) + MonDReg <= jtag_ram_rd_d1 ? ociram_readdata : cfgrom_readdata; + end + jtag_rd_d1 <= jtag_rd; + jtag_ram_rd_d1 <= jtag_ram_rd; + if (~waitrequest) + begin + waitrequest <= 1'b1; + avalon_ociram_readdata_ready <= 1'b0; + end + else if (write) + waitrequest <= ~address[8] & jtag_ram_access; + else if (read) + begin + avalon_ociram_readdata_ready <= ~(~address[8] & jtag_ram_access); + waitrequest <= ~avalon_ociram_readdata_ready; + end + else + begin + waitrequest <= 1'b1; + avalon_ociram_readdata_ready <= 1'b0; + end + end + end + + + assign MonARegAddrInc = MonAReg[10 : 2]+1; + assign MonARegAddrIncAccessingRAM = ~MonARegAddrInc[8]; + assign avalon_ram_wr = write & ~address[8] & debugaccess; + assign ociram_addr = jtag_ram_access ? MonAReg[9 : 2] : address[7 : 0]; + assign ociram_wr_data = jtag_ram_access ? MonDReg[31 : 0] : writedata; + assign ociram_byteenable = jtag_ram_access ? 4'b1111 : byteenable; + assign ociram_wr_en = jtag_ram_wr | avalon_ram_wr; +//nios_system_nios2_processor_ociram_sp_ram, which is an nios_sp_ram +nios_system_nios2_processor_ociram_sp_ram_module nios_system_nios2_processor_ociram_sp_ram + ( + .address (ociram_addr), + .byteenable (ociram_byteenable), + .clock (clk), + .data (ociram_wr_data), + .q (ociram_readdata), + .wren (ociram_wr_en) + ); + +//synthesis translate_off +`ifdef NO_PLI +defparam nios_system_nios2_processor_ociram_sp_ram.lpm_file = "nios_system_nios2_processor_ociram_default_contents.dat"; +`else +defparam nios_system_nios2_processor_ociram_sp_ram.lpm_file = "nios_system_nios2_processor_ociram_default_contents.hex"; +`endif +//synthesis translate_on +//synthesis read_comments_as_HDL on +//defparam nios_system_nios2_processor_ociram_sp_ram.lpm_file = "nios_system_nios2_processor_ociram_default_contents.mif"; +//synthesis read_comments_as_HDL off + assign cfgrom_readdata = (MonAReg[4 : 2] == 3'd0)? 32'h00000020 : + (MonAReg[4 : 2] == 3'd1)? 32'h00001313 : + (MonAReg[4 : 2] == 3'd2)? 32'h00040000 : + (MonAReg[4 : 2] == 3'd3)? 32'h00000000 : + (MonAReg[4 : 2] == 3'd4)? 32'h20000000 : + (MonAReg[4 : 2] == 3'd5)? 32'h00000000 : + (MonAReg[4 : 2] == 3'd6)? 32'h00000000 : + 32'h00000000; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_avalon_reg ( + // inputs: + address, + clk, + debugaccess, + monitor_error, + monitor_go, + monitor_ready, + reset_n, + write, + writedata, + + // outputs: + oci_ienable, + oci_reg_readdata, + oci_single_step_mode, + ocireg_ers, + ocireg_mrs, + take_action_ocireg + ) +; + + output [ 31: 0] oci_ienable; + output [ 31: 0] oci_reg_readdata; + output oci_single_step_mode; + output ocireg_ers; + output ocireg_mrs; + output take_action_ocireg; + input [ 8: 0] address; + input clk; + input debugaccess; + input monitor_error; + input monitor_go; + input monitor_ready; + input reset_n; + input write; + input [ 31: 0] writedata; + + reg [ 31: 0] oci_ienable; + wire oci_reg_00_addressed; + wire oci_reg_01_addressed; + wire [ 31: 0] oci_reg_readdata; + reg oci_single_step_mode; + wire ocireg_ers; + wire ocireg_mrs; + wire ocireg_sstep; + wire take_action_oci_intr_mask_reg; + wire take_action_ocireg; + wire write_strobe; + assign oci_reg_00_addressed = address == 9'h100; + assign oci_reg_01_addressed = address == 9'h101; + assign write_strobe = write & debugaccess; + assign take_action_ocireg = write_strobe & oci_reg_00_addressed; + assign take_action_oci_intr_mask_reg = write_strobe & oci_reg_01_addressed; + assign ocireg_ers = writedata[1]; + assign ocireg_mrs = writedata[0]; + assign ocireg_sstep = writedata[3]; + assign oci_reg_readdata = oci_reg_00_addressed ? {28'b0, oci_single_step_mode, monitor_go, + monitor_ready, monitor_error} : + oci_reg_01_addressed ? oci_ienable : + 32'b0; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + oci_single_step_mode <= 1'b0; + else if (take_action_ocireg) + oci_single_step_mode <= ocireg_sstep; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + oci_ienable <= 32'b00000000000000000000000000100000; + else if (take_action_oci_intr_mask_reg) + oci_ienable <= writedata | ~(32'b00000000000000000000000000100000); + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_break ( + // inputs: + clk, + dbrk_break, + dbrk_goto0, + dbrk_goto1, + jdo, + jrst_n, + reset_n, + take_action_break_a, + take_action_break_b, + take_action_break_c, + take_no_action_break_a, + take_no_action_break_b, + take_no_action_break_c, + xbrk_goto0, + xbrk_goto1, + + // outputs: + break_readreg, + dbrk_hit0_latch, + dbrk_hit1_latch, + dbrk_hit2_latch, + dbrk_hit3_latch, + trigbrktype, + trigger_state_0, + trigger_state_1, + xbrk_ctrl0, + xbrk_ctrl1, + xbrk_ctrl2, + xbrk_ctrl3 + ) +; + + output [ 31: 0] break_readreg; + output dbrk_hit0_latch; + output dbrk_hit1_latch; + output dbrk_hit2_latch; + output dbrk_hit3_latch; + output trigbrktype; + output trigger_state_0; + output trigger_state_1; + output [ 7: 0] xbrk_ctrl0; + output [ 7: 0] xbrk_ctrl1; + output [ 7: 0] xbrk_ctrl2; + output [ 7: 0] xbrk_ctrl3; + input clk; + input dbrk_break; + input dbrk_goto0; + input dbrk_goto1; + input [ 37: 0] jdo; + input jrst_n; + input reset_n; + input take_action_break_a; + input take_action_break_b; + input take_action_break_c; + input take_no_action_break_a; + input take_no_action_break_b; + input take_no_action_break_c; + input xbrk_goto0; + input xbrk_goto1; + + wire [ 3: 0] break_a_wpr; + wire [ 1: 0] break_a_wpr_high_bits; + wire [ 1: 0] break_a_wpr_low_bits; + wire [ 1: 0] break_b_rr; + wire [ 1: 0] break_c_rr; + reg [ 31: 0] break_readreg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + wire dbrk0_high_value; + wire dbrk0_low_value; + wire dbrk1_high_value; + wire dbrk1_low_value; + wire dbrk2_high_value; + wire dbrk2_low_value; + wire dbrk3_high_value; + wire dbrk3_low_value; + wire dbrk_hit0_latch; + wire dbrk_hit1_latch; + wire dbrk_hit2_latch; + wire dbrk_hit3_latch; + wire take_action_any_break; + reg trigbrktype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg trigger_state; + wire trigger_state_0; + wire trigger_state_1; + wire [ 31: 0] xbrk0_value; + wire [ 31: 0] xbrk1_value; + wire [ 31: 0] xbrk2_value; + wire [ 31: 0] xbrk3_value; + reg [ 7: 0] xbrk_ctrl0 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 7: 0] xbrk_ctrl1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 7: 0] xbrk_ctrl2 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 7: 0] xbrk_ctrl3 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + assign break_a_wpr = jdo[35 : 32]; + assign break_a_wpr_high_bits = break_a_wpr[3 : 2]; + assign break_a_wpr_low_bits = break_a_wpr[1 : 0]; + assign break_b_rr = jdo[33 : 32]; + assign break_c_rr = jdo[33 : 32]; + assign take_action_any_break = take_action_break_a | take_action_break_b | take_action_break_c; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + xbrk_ctrl0 <= 0; + xbrk_ctrl1 <= 0; + xbrk_ctrl2 <= 0; + xbrk_ctrl3 <= 0; + trigbrktype <= 0; + end + else + begin + if (take_action_any_break) + trigbrktype <= 0; + else if (dbrk_break) + trigbrktype <= 1; + if (take_action_break_b) + begin + if ((break_b_rr == 2'b00) && (0 >= 1)) + begin + xbrk_ctrl0[0] <= jdo[27]; + xbrk_ctrl0[1] <= jdo[28]; + xbrk_ctrl0[2] <= jdo[29]; + xbrk_ctrl0[3] <= jdo[30]; + xbrk_ctrl0[4] <= jdo[21]; + xbrk_ctrl0[5] <= jdo[20]; + xbrk_ctrl0[6] <= jdo[19]; + xbrk_ctrl0[7] <= jdo[18]; + end + if ((break_b_rr == 2'b01) && (0 >= 2)) + begin + xbrk_ctrl1[0] <= jdo[27]; + xbrk_ctrl1[1] <= jdo[28]; + xbrk_ctrl1[2] <= jdo[29]; + xbrk_ctrl1[3] <= jdo[30]; + xbrk_ctrl1[4] <= jdo[21]; + xbrk_ctrl1[5] <= jdo[20]; + xbrk_ctrl1[6] <= jdo[19]; + xbrk_ctrl1[7] <= jdo[18]; + end + if ((break_b_rr == 2'b10) && (0 >= 3)) + begin + xbrk_ctrl2[0] <= jdo[27]; + xbrk_ctrl2[1] <= jdo[28]; + xbrk_ctrl2[2] <= jdo[29]; + xbrk_ctrl2[3] <= jdo[30]; + xbrk_ctrl2[4] <= jdo[21]; + xbrk_ctrl2[5] <= jdo[20]; + xbrk_ctrl2[6] <= jdo[19]; + xbrk_ctrl2[7] <= jdo[18]; + end + if ((break_b_rr == 2'b11) && (0 >= 4)) + begin + xbrk_ctrl3[0] <= jdo[27]; + xbrk_ctrl3[1] <= jdo[28]; + xbrk_ctrl3[2] <= jdo[29]; + xbrk_ctrl3[3] <= jdo[30]; + xbrk_ctrl3[4] <= jdo[21]; + xbrk_ctrl3[5] <= jdo[20]; + xbrk_ctrl3[6] <= jdo[19]; + xbrk_ctrl3[7] <= jdo[18]; + end + end + end + end + + + assign dbrk_hit0_latch = 1'b0; + assign dbrk0_low_value = 0; + assign dbrk0_high_value = 0; + assign dbrk_hit1_latch = 1'b0; + assign dbrk1_low_value = 0; + assign dbrk1_high_value = 0; + assign dbrk_hit2_latch = 1'b0; + assign dbrk2_low_value = 0; + assign dbrk2_high_value = 0; + assign dbrk_hit3_latch = 1'b0; + assign dbrk3_low_value = 0; + assign dbrk3_high_value = 0; + assign xbrk0_value = 32'b0; + assign xbrk1_value = 32'b0; + assign xbrk2_value = 32'b0; + assign xbrk3_value = 32'b0; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + break_readreg <= 32'b0; + else if (take_action_any_break) + break_readreg <= jdo[31 : 0]; + else if (take_no_action_break_a) + case (break_a_wpr_high_bits) + + 2'd0: begin + case (break_a_wpr_low_bits) // synthesis full_case + + 2'd0: begin + break_readreg <= xbrk0_value; + end // 2'd0 + + 2'd1: begin + break_readreg <= xbrk1_value; + end // 2'd1 + + 2'd2: begin + break_readreg <= xbrk2_value; + end // 2'd2 + + 2'd3: begin + break_readreg <= xbrk3_value; + end // 2'd3 + + endcase // break_a_wpr_low_bits + end // 2'd0 + + 2'd1: begin + break_readreg <= 32'b0; + end // 2'd1 + + 2'd2: begin + case (break_a_wpr_low_bits) // synthesis full_case + + 2'd0: begin + break_readreg <= dbrk0_low_value; + end // 2'd0 + + 2'd1: begin + break_readreg <= dbrk1_low_value; + end // 2'd1 + + 2'd2: begin + break_readreg <= dbrk2_low_value; + end // 2'd2 + + 2'd3: begin + break_readreg <= dbrk3_low_value; + end // 2'd3 + + endcase // break_a_wpr_low_bits + end // 2'd2 + + 2'd3: begin + case (break_a_wpr_low_bits) // synthesis full_case + + 2'd0: begin + break_readreg <= dbrk0_high_value; + end // 2'd0 + + 2'd1: begin + break_readreg <= dbrk1_high_value; + end // 2'd1 + + 2'd2: begin + break_readreg <= dbrk2_high_value; + end // 2'd2 + + 2'd3: begin + break_readreg <= dbrk3_high_value; + end // 2'd3 + + endcase // break_a_wpr_low_bits + end // 2'd3 + + endcase // break_a_wpr_high_bits + else if (take_no_action_break_b) + break_readreg <= jdo[31 : 0]; + else if (take_no_action_break_c) + break_readreg <= jdo[31 : 0]; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + trigger_state <= 0; + else if (trigger_state_1 & (xbrk_goto0 | dbrk_goto0)) + trigger_state <= 0; + else if (trigger_state_0 & (xbrk_goto1 | dbrk_goto1)) + trigger_state <= -1; + end + + + assign trigger_state_0 = ~trigger_state; + assign trigger_state_1 = trigger_state; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_xbrk ( + // inputs: + D_valid, + E_valid, + F_pc, + clk, + reset_n, + trigger_state_0, + trigger_state_1, + xbrk_ctrl0, + xbrk_ctrl1, + xbrk_ctrl2, + xbrk_ctrl3, + + // outputs: + xbrk_break, + xbrk_goto0, + xbrk_goto1, + xbrk_traceoff, + xbrk_traceon, + xbrk_trigout + ) +; + + output xbrk_break; + output xbrk_goto0; + output xbrk_goto1; + output xbrk_traceoff; + output xbrk_traceon; + output xbrk_trigout; + input D_valid; + input E_valid; + input [ 16: 0] F_pc; + input clk; + input reset_n; + input trigger_state_0; + input trigger_state_1; + input [ 7: 0] xbrk_ctrl0; + input [ 7: 0] xbrk_ctrl1; + input [ 7: 0] xbrk_ctrl2; + input [ 7: 0] xbrk_ctrl3; + + wire D_cpu_addr_en; + wire E_cpu_addr_en; + reg E_xbrk_goto0; + reg E_xbrk_goto1; + reg E_xbrk_traceoff; + reg E_xbrk_traceon; + reg E_xbrk_trigout; + wire [ 18: 0] cpu_i_address; + wire xbrk0_armed; + wire xbrk0_break_hit; + wire xbrk0_goto0_hit; + wire xbrk0_goto1_hit; + wire xbrk0_toff_hit; + wire xbrk0_ton_hit; + wire xbrk0_tout_hit; + wire xbrk1_armed; + wire xbrk1_break_hit; + wire xbrk1_goto0_hit; + wire xbrk1_goto1_hit; + wire xbrk1_toff_hit; + wire xbrk1_ton_hit; + wire xbrk1_tout_hit; + wire xbrk2_armed; + wire xbrk2_break_hit; + wire xbrk2_goto0_hit; + wire xbrk2_goto1_hit; + wire xbrk2_toff_hit; + wire xbrk2_ton_hit; + wire xbrk2_tout_hit; + wire xbrk3_armed; + wire xbrk3_break_hit; + wire xbrk3_goto0_hit; + wire xbrk3_goto1_hit; + wire xbrk3_toff_hit; + wire xbrk3_ton_hit; + wire xbrk3_tout_hit; + reg xbrk_break; + wire xbrk_break_hit; + wire xbrk_goto0; + wire xbrk_goto0_hit; + wire xbrk_goto1; + wire xbrk_goto1_hit; + wire xbrk_toff_hit; + wire xbrk_ton_hit; + wire xbrk_tout_hit; + wire xbrk_traceoff; + wire xbrk_traceon; + wire xbrk_trigout; + assign cpu_i_address = {F_pc, 2'b00}; + assign D_cpu_addr_en = D_valid; + assign E_cpu_addr_en = E_valid; + assign xbrk0_break_hit = 0; + assign xbrk0_ton_hit = 0; + assign xbrk0_toff_hit = 0; + assign xbrk0_tout_hit = 0; + assign xbrk0_goto0_hit = 0; + assign xbrk0_goto1_hit = 0; + assign xbrk1_break_hit = 0; + assign xbrk1_ton_hit = 0; + assign xbrk1_toff_hit = 0; + assign xbrk1_tout_hit = 0; + assign xbrk1_goto0_hit = 0; + assign xbrk1_goto1_hit = 0; + assign xbrk2_break_hit = 0; + assign xbrk2_ton_hit = 0; + assign xbrk2_toff_hit = 0; + assign xbrk2_tout_hit = 0; + assign xbrk2_goto0_hit = 0; + assign xbrk2_goto1_hit = 0; + assign xbrk3_break_hit = 0; + assign xbrk3_ton_hit = 0; + assign xbrk3_toff_hit = 0; + assign xbrk3_tout_hit = 0; + assign xbrk3_goto0_hit = 0; + assign xbrk3_goto1_hit = 0; + assign xbrk_break_hit = (xbrk0_break_hit) | (xbrk1_break_hit) | (xbrk2_break_hit) | (xbrk3_break_hit); + assign xbrk_ton_hit = (xbrk0_ton_hit) | (xbrk1_ton_hit) | (xbrk2_ton_hit) | (xbrk3_ton_hit); + assign xbrk_toff_hit = (xbrk0_toff_hit) | (xbrk1_toff_hit) | (xbrk2_toff_hit) | (xbrk3_toff_hit); + assign xbrk_tout_hit = (xbrk0_tout_hit) | (xbrk1_tout_hit) | (xbrk2_tout_hit) | (xbrk3_tout_hit); + assign xbrk_goto0_hit = (xbrk0_goto0_hit) | (xbrk1_goto0_hit) | (xbrk2_goto0_hit) | (xbrk3_goto0_hit); + assign xbrk_goto1_hit = (xbrk0_goto1_hit) | (xbrk1_goto1_hit) | (xbrk2_goto1_hit) | (xbrk3_goto1_hit); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + xbrk_break <= 0; + else if (E_cpu_addr_en) + xbrk_break <= xbrk_break_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_traceon <= 0; + else if (E_cpu_addr_en) + E_xbrk_traceon <= xbrk_ton_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_traceoff <= 0; + else if (E_cpu_addr_en) + E_xbrk_traceoff <= xbrk_toff_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_trigout <= 0; + else if (E_cpu_addr_en) + E_xbrk_trigout <= xbrk_tout_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_goto0 <= 0; + else if (E_cpu_addr_en) + E_xbrk_goto0 <= xbrk_goto0_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_goto1 <= 0; + else if (E_cpu_addr_en) + E_xbrk_goto1 <= xbrk_goto1_hit; + end + + + assign xbrk_traceon = 1'b0; + assign xbrk_traceoff = 1'b0; + assign xbrk_trigout = 1'b0; + assign xbrk_goto0 = 1'b0; + assign xbrk_goto1 = 1'b0; + assign xbrk0_armed = (xbrk_ctrl0[4] & trigger_state_0) || + (xbrk_ctrl0[5] & trigger_state_1); + + assign xbrk1_armed = (xbrk_ctrl1[4] & trigger_state_0) || + (xbrk_ctrl1[5] & trigger_state_1); + + assign xbrk2_armed = (xbrk_ctrl2[4] & trigger_state_0) || + (xbrk_ctrl2[5] & trigger_state_1); + + assign xbrk3_armed = (xbrk_ctrl3[4] & trigger_state_0) || + (xbrk_ctrl3[5] & trigger_state_1); + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_dbrk ( + // inputs: + E_st_data, + av_ld_data_aligned_filtered, + clk, + d_address, + d_read, + d_waitrequest, + d_write, + debugack, + reset_n, + + // outputs: + cpu_d_address, + cpu_d_read, + cpu_d_readdata, + cpu_d_wait, + cpu_d_write, + cpu_d_writedata, + dbrk_break, + dbrk_goto0, + dbrk_goto1, + dbrk_traceme, + dbrk_traceoff, + dbrk_traceon, + dbrk_trigout + ) +; + + output [ 18: 0] cpu_d_address; + output cpu_d_read; + output [ 31: 0] cpu_d_readdata; + output cpu_d_wait; + output cpu_d_write; + output [ 31: 0] cpu_d_writedata; + output dbrk_break; + output dbrk_goto0; + output dbrk_goto1; + output dbrk_traceme; + output dbrk_traceoff; + output dbrk_traceon; + output dbrk_trigout; + input [ 31: 0] E_st_data; + input [ 31: 0] av_ld_data_aligned_filtered; + input clk; + input [ 18: 0] d_address; + input d_read; + input d_waitrequest; + input d_write; + input debugack; + input reset_n; + + wire [ 18: 0] cpu_d_address; + wire cpu_d_read; + wire [ 31: 0] cpu_d_readdata; + wire cpu_d_wait; + wire cpu_d_write; + wire [ 31: 0] cpu_d_writedata; + wire dbrk0_armed; + wire dbrk0_break_pulse; + wire dbrk0_goto0; + wire dbrk0_goto1; + wire dbrk0_traceme; + wire dbrk0_traceoff; + wire dbrk0_traceon; + wire dbrk0_trigout; + wire dbrk1_armed; + wire dbrk1_break_pulse; + wire dbrk1_goto0; + wire dbrk1_goto1; + wire dbrk1_traceme; + wire dbrk1_traceoff; + wire dbrk1_traceon; + wire dbrk1_trigout; + wire dbrk2_armed; + wire dbrk2_break_pulse; + wire dbrk2_goto0; + wire dbrk2_goto1; + wire dbrk2_traceme; + wire dbrk2_traceoff; + wire dbrk2_traceon; + wire dbrk2_trigout; + wire dbrk3_armed; + wire dbrk3_break_pulse; + wire dbrk3_goto0; + wire dbrk3_goto1; + wire dbrk3_traceme; + wire dbrk3_traceoff; + wire dbrk3_traceon; + wire dbrk3_trigout; + reg dbrk_break; + reg dbrk_break_pulse; + wire [ 31: 0] dbrk_data; + reg dbrk_goto0; + reg dbrk_goto1; + reg dbrk_traceme; + reg dbrk_traceoff; + reg dbrk_traceon; + reg dbrk_trigout; + assign cpu_d_address = d_address; + assign cpu_d_readdata = av_ld_data_aligned_filtered; + assign cpu_d_read = d_read; + assign cpu_d_writedata = E_st_data; + assign cpu_d_write = d_write; + assign cpu_d_wait = d_waitrequest; + assign dbrk_data = cpu_d_write ? cpu_d_writedata : cpu_d_readdata; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + dbrk_break <= 0; + else + dbrk_break <= dbrk_break ? ~debugack + : dbrk_break_pulse; + + end + + + assign dbrk0_armed = 1'b0; + assign dbrk0_trigout = 1'b0; + assign dbrk0_break_pulse = 1'b0; + assign dbrk0_traceoff = 1'b0; + assign dbrk0_traceon = 1'b0; + assign dbrk0_traceme = 1'b0; + assign dbrk0_goto0 = 1'b0; + assign dbrk0_goto1 = 1'b0; + assign dbrk1_armed = 1'b0; + assign dbrk1_trigout = 1'b0; + assign dbrk1_break_pulse = 1'b0; + assign dbrk1_traceoff = 1'b0; + assign dbrk1_traceon = 1'b0; + assign dbrk1_traceme = 1'b0; + assign dbrk1_goto0 = 1'b0; + assign dbrk1_goto1 = 1'b0; + assign dbrk2_armed = 1'b0; + assign dbrk2_trigout = 1'b0; + assign dbrk2_break_pulse = 1'b0; + assign dbrk2_traceoff = 1'b0; + assign dbrk2_traceon = 1'b0; + assign dbrk2_traceme = 1'b0; + assign dbrk2_goto0 = 1'b0; + assign dbrk2_goto1 = 1'b0; + assign dbrk3_armed = 1'b0; + assign dbrk3_trigout = 1'b0; + assign dbrk3_break_pulse = 1'b0; + assign dbrk3_traceoff = 1'b0; + assign dbrk3_traceon = 1'b0; + assign dbrk3_traceme = 1'b0; + assign dbrk3_goto0 = 1'b0; + assign dbrk3_goto1 = 1'b0; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + dbrk_trigout <= 0; + dbrk_break_pulse <= 0; + dbrk_traceoff <= 0; + dbrk_traceon <= 0; + dbrk_traceme <= 0; + dbrk_goto0 <= 0; + dbrk_goto1 <= 0; + end + else + begin + dbrk_trigout <= dbrk0_trigout | dbrk1_trigout | dbrk2_trigout | dbrk3_trigout; + dbrk_break_pulse <= dbrk0_break_pulse | dbrk1_break_pulse | dbrk2_break_pulse | dbrk3_break_pulse; + dbrk_traceoff <= dbrk0_traceoff | dbrk1_traceoff | dbrk2_traceoff | dbrk3_traceoff; + dbrk_traceon <= dbrk0_traceon | dbrk1_traceon | dbrk2_traceon | dbrk3_traceon; + dbrk_traceme <= dbrk0_traceme | dbrk1_traceme | dbrk2_traceme | dbrk3_traceme; + dbrk_goto0 <= dbrk0_goto0 | dbrk1_goto0 | dbrk2_goto0 | dbrk3_goto0; + dbrk_goto1 <= dbrk0_goto1 | dbrk1_goto1 | dbrk2_goto1 | dbrk3_goto1; + end + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_itrace ( + // inputs: + clk, + dbrk_traceoff, + dbrk_traceon, + jdo, + jrst_n, + take_action_tracectrl, + trc_enb, + xbrk_traceoff, + xbrk_traceon, + xbrk_wrap_traceoff, + + // outputs: + dct_buffer, + dct_count, + itm, + trc_ctrl, + trc_on + ) +; + + output [ 29: 0] dct_buffer; + output [ 3: 0] dct_count; + output [ 35: 0] itm; + output [ 15: 0] trc_ctrl; + output trc_on; + input clk; + input dbrk_traceoff; + input dbrk_traceon; + input [ 15: 0] jdo; + input jrst_n; + input take_action_tracectrl; + input trc_enb; + input xbrk_traceoff; + input xbrk_traceon; + input xbrk_wrap_traceoff; + + wire curr_pid; + reg [ 29: 0] dct_buffer /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 1: 0] dct_code; + reg [ 3: 0] dct_count /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire dct_is_taken; + wire [ 31: 0] excaddr; + wire instr_retired; + wire is_advanced_exception; + wire is_cond_dct; + wire is_dct; + wire is_exception_no_break; + wire is_fast_tlb_miss_exception; + wire is_idct; + reg [ 35: 0] itm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire not_in_debug_mode; + reg pending_curr_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg [ 31: 0] pending_excaddr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg pending_exctype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg [ 3: 0] pending_frametype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg pending_prev_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg prev_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg prev_pid_valid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire record_dct_outcome_in_sync; + wire record_itrace; + wire [ 31: 0] retired_pcb; + reg snapped_curr_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg snapped_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg snapped_prev_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 1: 0] sync_code; + wire [ 6: 0] sync_interval; + wire sync_pending; + reg [ 6: 0] sync_timer /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 6: 0] sync_timer_next; + reg trc_clear /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + wire [ 15: 0] trc_ctrl; + reg [ 10: 0] trc_ctrl_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire trc_on; + assign is_cond_dct = 1'b0; + assign is_dct = 1'b0; + assign dct_is_taken = 1'b0; + assign is_idct = 1'b0; + assign retired_pcb = 32'b0; + assign not_in_debug_mode = 1'b0; + assign instr_retired = 1'b0; + assign is_advanced_exception = 1'b0; + assign is_exception_no_break = 1'b0; + assign is_fast_tlb_miss_exception = 1'b0; + assign curr_pid = 1'b0; + assign excaddr = 32'b0; + assign sync_code = trc_ctrl[3 : 2]; + assign sync_interval = { sync_code[1] & sync_code[0], 1'b0, sync_code[1] & ~sync_code[0], 1'b0, ~sync_code[1] & sync_code[0], 2'b00 }; + assign sync_pending = sync_timer == 0; + assign record_dct_outcome_in_sync = dct_is_taken & sync_pending; + assign sync_timer_next = sync_pending ? sync_timer : (sync_timer - 1); + assign record_itrace = trc_on & trc_ctrl[4]; + assign dct_code = {is_cond_dct, dct_is_taken}; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + trc_clear <= 0; + else + trc_clear <= ~trc_enb & + take_action_tracectrl & jdo[4]; + + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + itm <= 0; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= 0; + pending_frametype <= 4'b0000; + pending_exctype <= 1'b0; + pending_excaddr <= 0; + prev_pid <= 0; + prev_pid_valid <= 0; + snapped_pid <= 0; + snapped_curr_pid <= 0; + snapped_prev_pid <= 0; + pending_curr_pid <= 0; + pending_prev_pid <= 0; + end + else if (trc_clear || (!0 && !0)) + begin + itm <= 0; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= 0; + pending_frametype <= 4'b0000; + pending_exctype <= 1'b0; + pending_excaddr <= 0; + prev_pid <= 0; + prev_pid_valid <= 0; + snapped_pid <= 0; + snapped_curr_pid <= 0; + snapped_prev_pid <= 0; + pending_curr_pid <= 0; + pending_prev_pid <= 0; + end + else + begin + if (!prev_pid_valid) + begin + prev_pid <= curr_pid; + prev_pid_valid <= 1; + end + if ((curr_pid != prev_pid) & prev_pid_valid & !snapped_pid) + begin + snapped_pid <= 1; + snapped_curr_pid <= curr_pid; + snapped_prev_pid <= prev_pid; + prev_pid <= curr_pid; + prev_pid_valid <= 1; + end + if (instr_retired | is_advanced_exception) + begin + if (~record_itrace) + pending_frametype <= 4'b1010; + else if (is_exception_no_break) + begin + pending_frametype <= 4'b0010; + pending_excaddr <= excaddr; + if (is_fast_tlb_miss_exception) + pending_exctype <= 1'b1; + else + pending_exctype <= 1'b0; + end + else if (is_idct) + pending_frametype <= 4'b1001; + else if (record_dct_outcome_in_sync) + pending_frametype <= 4'b1000; + else if (!is_dct & snapped_pid) + begin + pending_frametype <= 4'b0011; + pending_curr_pid <= snapped_curr_pid; + pending_prev_pid <= snapped_prev_pid; + snapped_pid <= 0; + end + else + pending_frametype <= 4'b0000; + if ((dct_count != 0) & + (~record_itrace | + is_exception_no_break | + is_idct | + record_dct_outcome_in_sync | + (!is_dct & snapped_pid))) + begin + itm <= {4'b0001, dct_buffer, 2'b00}; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= sync_timer_next; + end + else + begin + if (record_itrace & (is_dct & (dct_count != 4'd15)) & ~record_dct_outcome_in_sync & ~is_advanced_exception) + begin + dct_buffer <= {dct_code, dct_buffer[29 : 2]}; + dct_count <= dct_count + 1; + end + if (record_itrace & (pending_frametype == 4'b0010)) + itm <= {4'b0010, pending_excaddr[31 : 1], pending_exctype}; + else if (record_itrace & ( + (pending_frametype == 4'b1000) | + (pending_frametype == 4'b1010) | + (pending_frametype == 4'b1001))) + begin + itm <= {pending_frametype, retired_pcb}; + sync_timer <= sync_interval; + if (0 & + ((pending_frametype == 4'b1000) | (pending_frametype == 4'b1010)) & + !snapped_pid & prev_pid_valid) + begin + snapped_pid <= 1; + snapped_curr_pid <= curr_pid; + snapped_prev_pid <= prev_pid; + end + end + else if (record_itrace & + 0 & (pending_frametype == 4'b0011)) + itm <= {4'b0011, 2'b00, pending_prev_pid, 2'b00, pending_curr_pid}; + else if (record_itrace & is_dct) + begin + if (dct_count == 4'd15) + begin + itm <= {4'b0001, dct_code, dct_buffer}; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= sync_timer_next; + end + else + itm <= 4'b0000; + end + else + itm <= {4'b0000, 32'b0}; + end + end + else + itm <= {4'b0000, 32'b0}; + end + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + trc_ctrl_reg[0] <= 1'b0; + trc_ctrl_reg[1] <= 1'b0; + trc_ctrl_reg[3 : 2] <= 2'b00; + trc_ctrl_reg[4] <= 1'b0; + trc_ctrl_reg[7 : 5] <= 3'b000; + trc_ctrl_reg[8] <= 0; + trc_ctrl_reg[9] <= 1'b0; + trc_ctrl_reg[10] <= 1'b0; + end + else if (take_action_tracectrl) + begin + trc_ctrl_reg[0] <= jdo[5]; + trc_ctrl_reg[1] <= jdo[6]; + trc_ctrl_reg[3 : 2] <= jdo[8 : 7]; + trc_ctrl_reg[4] <= jdo[9]; + trc_ctrl_reg[9] <= jdo[14]; + trc_ctrl_reg[10] <= jdo[2]; + if (0) + trc_ctrl_reg[7 : 5] <= jdo[12 : 10]; + if (0 & 0) + trc_ctrl_reg[8] <= jdo[13]; + end + else if (xbrk_wrap_traceoff) + begin + trc_ctrl_reg[1] <= 0; + trc_ctrl_reg[0] <= 0; + end + else if (dbrk_traceoff | xbrk_traceoff) + trc_ctrl_reg[1] <= 0; + else if (trc_ctrl_reg[0] & + (dbrk_traceon | xbrk_traceon)) + trc_ctrl_reg[1] <= 1; + end + + + assign trc_ctrl = (0 || 0) ? {6'b000000, trc_ctrl_reg} : 0; + assign trc_on = trc_ctrl[1] & (trc_ctrl[9] | not_in_debug_mode); + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_td_mode ( + // inputs: + ctrl, + + // outputs: + td_mode + ) +; + + output [ 3: 0] td_mode; + input [ 8: 0] ctrl; + + wire [ 2: 0] ctrl_bits_for_mux; + reg [ 3: 0] td_mode; + assign ctrl_bits_for_mux = ctrl[7 : 5]; + always @(ctrl_bits_for_mux) + begin + case (ctrl_bits_for_mux) + + 3'b000: begin + td_mode = 4'b0000; + end // 3'b000 + + 3'b001: begin + td_mode = 4'b1000; + end // 3'b001 + + 3'b010: begin + td_mode = 4'b0100; + end // 3'b010 + + 3'b011: begin + td_mode = 4'b1100; + end // 3'b011 + + 3'b100: begin + td_mode = 4'b0010; + end // 3'b100 + + 3'b101: begin + td_mode = 4'b1010; + end // 3'b101 + + 3'b110: begin + td_mode = 4'b0101; + end // 3'b110 + + 3'b111: begin + td_mode = 4'b1111; + end // 3'b111 + + endcase // ctrl_bits_for_mux + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_dtrace ( + // inputs: + clk, + cpu_d_address, + cpu_d_read, + cpu_d_readdata, + cpu_d_wait, + cpu_d_write, + cpu_d_writedata, + jrst_n, + trc_ctrl, + + // outputs: + atm, + dtm + ) +; + + output [ 35: 0] atm; + output [ 35: 0] dtm; + input clk; + input [ 18: 0] cpu_d_address; + input cpu_d_read; + input [ 31: 0] cpu_d_readdata; + input cpu_d_wait; + input cpu_d_write; + input [ 31: 0] cpu_d_writedata; + input jrst_n; + input [ 15: 0] trc_ctrl; + + reg [ 35: 0] atm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 31: 0] cpu_d_address_0_padded; + wire [ 31: 0] cpu_d_readdata_0_padded; + wire [ 31: 0] cpu_d_writedata_0_padded; + reg [ 35: 0] dtm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire record_load_addr; + wire record_load_data; + wire record_store_addr; + wire record_store_data; + wire [ 3: 0] td_mode_trc_ctrl; + assign cpu_d_writedata_0_padded = cpu_d_writedata | 32'b0; + assign cpu_d_readdata_0_padded = cpu_d_readdata | 32'b0; + assign cpu_d_address_0_padded = cpu_d_address | 32'b0; + //nios_system_nios2_processor_nios2_oci_trc_ctrl_td_mode, which is an e_instance + nios_system_nios2_processor_nios2_oci_td_mode nios_system_nios2_processor_nios2_oci_trc_ctrl_td_mode + ( + .ctrl (trc_ctrl[8 : 0]), + .td_mode (td_mode_trc_ctrl) + ); + + assign {record_load_addr, record_store_addr, + record_load_data, record_store_data} = td_mode_trc_ctrl; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + atm <= 0; + dtm <= 0; + end + else if (0) + begin + if (cpu_d_write & ~cpu_d_wait & record_store_addr) + atm <= {4'b0101, cpu_d_address_0_padded}; + else if (cpu_d_read & ~cpu_d_wait & record_load_addr) + atm <= {4'b0100, cpu_d_address_0_padded}; + else + atm <= {4'b0000, cpu_d_address_0_padded}; + if (cpu_d_write & ~cpu_d_wait & record_store_data) + dtm <= {4'b0111, cpu_d_writedata_0_padded}; + else if (cpu_d_read & ~cpu_d_wait & record_load_data) + dtm <= {4'b0110, cpu_d_readdata_0_padded}; + else + dtm <= {4'b0000, cpu_d_readdata_0_padded}; + end + else + begin + atm <= 0; + dtm <= 0; + end + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_compute_tm_count ( + // inputs: + atm_valid, + dtm_valid, + itm_valid, + + // outputs: + compute_tm_count + ) +; + + output [ 1: 0] compute_tm_count; + input atm_valid; + input dtm_valid; + input itm_valid; + + reg [ 1: 0] compute_tm_count; + wire [ 2: 0] switch_for_mux; + assign switch_for_mux = {itm_valid, atm_valid, dtm_valid}; + always @(switch_for_mux) + begin + case (switch_for_mux) + + 3'b000: begin + compute_tm_count = 0; + end // 3'b000 + + 3'b001: begin + compute_tm_count = 1; + end // 3'b001 + + 3'b010: begin + compute_tm_count = 1; + end // 3'b010 + + 3'b011: begin + compute_tm_count = 2; + end // 3'b011 + + 3'b100: begin + compute_tm_count = 1; + end // 3'b100 + + 3'b101: begin + compute_tm_count = 2; + end // 3'b101 + + 3'b110: begin + compute_tm_count = 2; + end // 3'b110 + + 3'b111: begin + compute_tm_count = 3; + end // 3'b111 + + endcase // switch_for_mux + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_fifowp_inc ( + // inputs: + free2, + free3, + tm_count, + + // outputs: + fifowp_inc + ) +; + + output [ 3: 0] fifowp_inc; + input free2; + input free3; + input [ 1: 0] tm_count; + + reg [ 3: 0] fifowp_inc; + always @(free2 or free3 or tm_count) + begin + if (free3 & (tm_count == 3)) + fifowp_inc = 3; + else if (free2 & (tm_count >= 2)) + fifowp_inc = 2; + else if (tm_count >= 1) + fifowp_inc = 1; + else + fifowp_inc = 0; + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_fifocount_inc ( + // inputs: + empty, + free2, + free3, + tm_count, + + // outputs: + fifocount_inc + ) +; + + output [ 4: 0] fifocount_inc; + input empty; + input free2; + input free3; + input [ 1: 0] tm_count; + + reg [ 4: 0] fifocount_inc; + always @(empty or free2 or free3 or tm_count) + begin + if (empty) + fifocount_inc = tm_count[1 : 0]; + else if (free3 & (tm_count == 3)) + fifocount_inc = 2; + else if (free2 & (tm_count >= 2)) + fifocount_inc = 1; + else if (tm_count >= 1) + fifocount_inc = 0; + else + fifocount_inc = {5{1'b1}}; + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_fifo ( + // inputs: + atm, + clk, + dbrk_traceme, + dbrk_traceoff, + dbrk_traceon, + dct_buffer, + dct_count, + dtm, + itm, + jrst_n, + reset_n, + test_ending, + test_has_ended, + trc_on, + + // outputs: + tw + ) +; + + output [ 35: 0] tw; + input [ 35: 0] atm; + input clk; + input dbrk_traceme; + input dbrk_traceoff; + input dbrk_traceon; + input [ 29: 0] dct_buffer; + input [ 3: 0] dct_count; + input [ 35: 0] dtm; + input [ 35: 0] itm; + input jrst_n; + input reset_n; + input test_ending; + input test_has_ended; + input trc_on; + + wire atm_valid; + wire [ 1: 0] compute_tm_count_tm_count; + wire dtm_valid; + wire empty; + reg [ 35: 0] fifo_0; + wire fifo_0_enable; + wire [ 35: 0] fifo_0_mux; + reg [ 35: 0] fifo_1; + reg [ 35: 0] fifo_10; + wire fifo_10_enable; + wire [ 35: 0] fifo_10_mux; + reg [ 35: 0] fifo_11; + wire fifo_11_enable; + wire [ 35: 0] fifo_11_mux; + reg [ 35: 0] fifo_12; + wire fifo_12_enable; + wire [ 35: 0] fifo_12_mux; + reg [ 35: 0] fifo_13; + wire fifo_13_enable; + wire [ 35: 0] fifo_13_mux; + reg [ 35: 0] fifo_14; + wire fifo_14_enable; + wire [ 35: 0] fifo_14_mux; + reg [ 35: 0] fifo_15; + wire fifo_15_enable; + wire [ 35: 0] fifo_15_mux; + wire fifo_1_enable; + wire [ 35: 0] fifo_1_mux; + reg [ 35: 0] fifo_2; + wire fifo_2_enable; + wire [ 35: 0] fifo_2_mux; + reg [ 35: 0] fifo_3; + wire fifo_3_enable; + wire [ 35: 0] fifo_3_mux; + reg [ 35: 0] fifo_4; + wire fifo_4_enable; + wire [ 35: 0] fifo_4_mux; + reg [ 35: 0] fifo_5; + wire fifo_5_enable; + wire [ 35: 0] fifo_5_mux; + reg [ 35: 0] fifo_6; + wire fifo_6_enable; + wire [ 35: 0] fifo_6_mux; + reg [ 35: 0] fifo_7; + wire fifo_7_enable; + wire [ 35: 0] fifo_7_mux; + reg [ 35: 0] fifo_8; + wire fifo_8_enable; + wire [ 35: 0] fifo_8_mux; + reg [ 35: 0] fifo_9; + wire fifo_9_enable; + wire [ 35: 0] fifo_9_mux; + wire [ 35: 0] fifo_read_mux; + reg [ 4: 0] fifocount /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 4: 0] fifocount_inc_fifocount; + wire [ 35: 0] fifohead; + reg [ 3: 0] fiforp /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg [ 3: 0] fifowp /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 3: 0] fifowp1; + wire [ 3: 0] fifowp2; + wire [ 3: 0] fifowp_inc_fifowp; + wire free2; + wire free3; + wire itm_valid; + reg ovf_pending /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 35: 0] ovr_pending_atm; + wire [ 35: 0] ovr_pending_dtm; + wire [ 1: 0] tm_count; + wire tm_count_ge1; + wire tm_count_ge2; + wire tm_count_ge3; + wire trc_this; + wire [ 35: 0] tw; + assign trc_this = trc_on | (dbrk_traceon & ~dbrk_traceoff) | dbrk_traceme; + assign itm_valid = |itm[35 : 32]; + assign atm_valid = |atm[35 : 32] & trc_this; + assign dtm_valid = |dtm[35 : 32] & trc_this; + assign free2 = ~fifocount[4]; + assign free3 = ~fifocount[4] & ~&fifocount[3 : 0]; + assign empty = ~|fifocount; + assign fifowp1 = fifowp + 1; + assign fifowp2 = fifowp + 2; + //nios_system_nios2_processor_nios2_oci_compute_tm_count_tm_count, which is an e_instance + nios_system_nios2_processor_nios2_oci_compute_tm_count nios_system_nios2_processor_nios2_oci_compute_tm_count_tm_count + ( + .atm_valid (atm_valid), + .compute_tm_count (compute_tm_count_tm_count), + .dtm_valid (dtm_valid), + .itm_valid (itm_valid) + ); + + assign tm_count = compute_tm_count_tm_count; + //nios_system_nios2_processor_nios2_oci_fifowp_inc_fifowp, which is an e_instance + nios_system_nios2_processor_nios2_oci_fifowp_inc nios_system_nios2_processor_nios2_oci_fifowp_inc_fifowp + ( + .fifowp_inc (fifowp_inc_fifowp), + .free2 (free2), + .free3 (free3), + .tm_count (tm_count) + ); + + //nios_system_nios2_processor_nios2_oci_fifocount_inc_fifocount, which is an e_instance + nios_system_nios2_processor_nios2_oci_fifocount_inc nios_system_nios2_processor_nios2_oci_fifocount_inc_fifocount + ( + .empty (empty), + .fifocount_inc (fifocount_inc_fifocount), + .free2 (free2), + .free3 (free3), + .tm_count (tm_count) + ); + + //the_nios_system_nios2_processor_oci_test_bench, which is an e_instance + nios_system_nios2_processor_oci_test_bench the_nios_system_nios2_processor_oci_test_bench + ( + .dct_buffer (dct_buffer), + .dct_count (dct_count), + .test_ending (test_ending), + .test_has_ended (test_has_ended) + ); + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + fiforp <= 0; + fifowp <= 0; + fifocount <= 0; + ovf_pending <= 1; + end + else + begin + fifowp <= fifowp + fifowp_inc_fifowp; + fifocount <= fifocount + fifocount_inc_fifocount; + if (~empty) + fiforp <= fiforp + 1; + if (~trc_this || (~free2 & tm_count[1]) || (~free3 & (&tm_count))) + ovf_pending <= 1; + else if (atm_valid | dtm_valid) + ovf_pending <= 0; + end + end + + + assign fifohead = fifo_read_mux; + assign tw = 0 ? { (empty ? 4'h0 : fifohead[35 : 32]), fifohead[31 : 0]} : itm; + assign fifo_0_enable = ((fifowp == 4'd0) && tm_count_ge1) || (free2 && (fifowp1== 4'd0) && tm_count_ge2) ||(free3 && (fifowp2== 4'd0) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_0 <= 0; + else if (fifo_0_enable) + fifo_0 <= fifo_0_mux; + end + + + assign fifo_0_mux = (((fifowp == 4'd0) && itm_valid))? itm : + (((fifowp == 4'd0) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd0) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd0) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd0) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd0) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_1_enable = ((fifowp == 4'd1) && tm_count_ge1) || (free2 && (fifowp1== 4'd1) && tm_count_ge2) ||(free3 && (fifowp2== 4'd1) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_1 <= 0; + else if (fifo_1_enable) + fifo_1 <= fifo_1_mux; + end + + + assign fifo_1_mux = (((fifowp == 4'd1) && itm_valid))? itm : + (((fifowp == 4'd1) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd1) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd1) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd1) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd1) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_2_enable = ((fifowp == 4'd2) && tm_count_ge1) || (free2 && (fifowp1== 4'd2) && tm_count_ge2) ||(free3 && (fifowp2== 4'd2) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_2 <= 0; + else if (fifo_2_enable) + fifo_2 <= fifo_2_mux; + end + + + assign fifo_2_mux = (((fifowp == 4'd2) && itm_valid))? itm : + (((fifowp == 4'd2) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd2) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd2) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd2) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd2) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_3_enable = ((fifowp == 4'd3) && tm_count_ge1) || (free2 && (fifowp1== 4'd3) && tm_count_ge2) ||(free3 && (fifowp2== 4'd3) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_3 <= 0; + else if (fifo_3_enable) + fifo_3 <= fifo_3_mux; + end + + + assign fifo_3_mux = (((fifowp == 4'd3) && itm_valid))? itm : + (((fifowp == 4'd3) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd3) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd3) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd3) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd3) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_4_enable = ((fifowp == 4'd4) && tm_count_ge1) || (free2 && (fifowp1== 4'd4) && tm_count_ge2) ||(free3 && (fifowp2== 4'd4) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_4 <= 0; + else if (fifo_4_enable) + fifo_4 <= fifo_4_mux; + end + + + assign fifo_4_mux = (((fifowp == 4'd4) && itm_valid))? itm : + (((fifowp == 4'd4) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd4) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd4) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd4) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd4) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_5_enable = ((fifowp == 4'd5) && tm_count_ge1) || (free2 && (fifowp1== 4'd5) && tm_count_ge2) ||(free3 && (fifowp2== 4'd5) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_5 <= 0; + else if (fifo_5_enable) + fifo_5 <= fifo_5_mux; + end + + + assign fifo_5_mux = (((fifowp == 4'd5) && itm_valid))? itm : + (((fifowp == 4'd5) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd5) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd5) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd5) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd5) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_6_enable = ((fifowp == 4'd6) && tm_count_ge1) || (free2 && (fifowp1== 4'd6) && tm_count_ge2) ||(free3 && (fifowp2== 4'd6) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_6 <= 0; + else if (fifo_6_enable) + fifo_6 <= fifo_6_mux; + end + + + assign fifo_6_mux = (((fifowp == 4'd6) && itm_valid))? itm : + (((fifowp == 4'd6) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd6) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd6) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd6) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd6) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_7_enable = ((fifowp == 4'd7) && tm_count_ge1) || (free2 && (fifowp1== 4'd7) && tm_count_ge2) ||(free3 && (fifowp2== 4'd7) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_7 <= 0; + else if (fifo_7_enable) + fifo_7 <= fifo_7_mux; + end + + + assign fifo_7_mux = (((fifowp == 4'd7) && itm_valid))? itm : + (((fifowp == 4'd7) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd7) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd7) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd7) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd7) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_8_enable = ((fifowp == 4'd8) && tm_count_ge1) || (free2 && (fifowp1== 4'd8) && tm_count_ge2) ||(free3 && (fifowp2== 4'd8) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_8 <= 0; + else if (fifo_8_enable) + fifo_8 <= fifo_8_mux; + end + + + assign fifo_8_mux = (((fifowp == 4'd8) && itm_valid))? itm : + (((fifowp == 4'd8) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd8) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd8) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd8) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd8) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_9_enable = ((fifowp == 4'd9) && tm_count_ge1) || (free2 && (fifowp1== 4'd9) && tm_count_ge2) ||(free3 && (fifowp2== 4'd9) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_9 <= 0; + else if (fifo_9_enable) + fifo_9 <= fifo_9_mux; + end + + + assign fifo_9_mux = (((fifowp == 4'd9) && itm_valid))? itm : + (((fifowp == 4'd9) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd9) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd9) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd9) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd9) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_10_enable = ((fifowp == 4'd10) && tm_count_ge1) || (free2 && (fifowp1== 4'd10) && tm_count_ge2) ||(free3 && (fifowp2== 4'd10) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_10 <= 0; + else if (fifo_10_enable) + fifo_10 <= fifo_10_mux; + end + + + assign fifo_10_mux = (((fifowp == 4'd10) && itm_valid))? itm : + (((fifowp == 4'd10) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd10) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd10) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd10) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd10) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_11_enable = ((fifowp == 4'd11) && tm_count_ge1) || (free2 && (fifowp1== 4'd11) && tm_count_ge2) ||(free3 && (fifowp2== 4'd11) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_11 <= 0; + else if (fifo_11_enable) + fifo_11 <= fifo_11_mux; + end + + + assign fifo_11_mux = (((fifowp == 4'd11) && itm_valid))? itm : + (((fifowp == 4'd11) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd11) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd11) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd11) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd11) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_12_enable = ((fifowp == 4'd12) && tm_count_ge1) || (free2 && (fifowp1== 4'd12) && tm_count_ge2) ||(free3 && (fifowp2== 4'd12) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_12 <= 0; + else if (fifo_12_enable) + fifo_12 <= fifo_12_mux; + end + + + assign fifo_12_mux = (((fifowp == 4'd12) && itm_valid))? itm : + (((fifowp == 4'd12) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd12) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd12) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd12) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd12) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_13_enable = ((fifowp == 4'd13) && tm_count_ge1) || (free2 && (fifowp1== 4'd13) && tm_count_ge2) ||(free3 && (fifowp2== 4'd13) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_13 <= 0; + else if (fifo_13_enable) + fifo_13 <= fifo_13_mux; + end + + + assign fifo_13_mux = (((fifowp == 4'd13) && itm_valid))? itm : + (((fifowp == 4'd13) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd13) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd13) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd13) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd13) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_14_enable = ((fifowp == 4'd14) && tm_count_ge1) || (free2 && (fifowp1== 4'd14) && tm_count_ge2) ||(free3 && (fifowp2== 4'd14) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_14 <= 0; + else if (fifo_14_enable) + fifo_14 <= fifo_14_mux; + end + + + assign fifo_14_mux = (((fifowp == 4'd14) && itm_valid))? itm : + (((fifowp == 4'd14) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd14) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd14) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd14) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd14) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_15_enable = ((fifowp == 4'd15) && tm_count_ge1) || (free2 && (fifowp1== 4'd15) && tm_count_ge2) ||(free3 && (fifowp2== 4'd15) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_15 <= 0; + else if (fifo_15_enable) + fifo_15 <= fifo_15_mux; + end + + + assign fifo_15_mux = (((fifowp == 4'd15) && itm_valid))? itm : + (((fifowp == 4'd15) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd15) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd15) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd15) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd15) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign tm_count_ge1 = |tm_count; + assign tm_count_ge2 = tm_count[1]; + assign tm_count_ge3 = &tm_count; + assign ovr_pending_atm = {ovf_pending, atm[34 : 0]}; + assign ovr_pending_dtm = {ovf_pending, dtm[34 : 0]}; + assign fifo_read_mux = (fiforp == 4'd0)? fifo_0 : + (fiforp == 4'd1)? fifo_1 : + (fiforp == 4'd2)? fifo_2 : + (fiforp == 4'd3)? fifo_3 : + (fiforp == 4'd4)? fifo_4 : + (fiforp == 4'd5)? fifo_5 : + (fiforp == 4'd6)? fifo_6 : + (fiforp == 4'd7)? fifo_7 : + (fiforp == 4'd8)? fifo_8 : + (fiforp == 4'd9)? fifo_9 : + (fiforp == 4'd10)? fifo_10 : + (fiforp == 4'd11)? fifo_11 : + (fiforp == 4'd12)? fifo_12 : + (fiforp == 4'd13)? fifo_13 : + (fiforp == 4'd14)? fifo_14 : + fifo_15; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_pib ( + // inputs: + clk, + clkx2, + jrst_n, + tw, + + // outputs: + tr_clk, + tr_data + ) +; + + output tr_clk; + output [ 17: 0] tr_data; + input clk; + input clkx2; + input jrst_n; + input [ 35: 0] tw; + + wire phase; + wire tr_clk; + reg tr_clk_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 17: 0] tr_data; + reg [ 17: 0] tr_data_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg x1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg x2 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + assign phase = x1^x2; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + x1 <= 0; + else + x1 <= ~x1; + end + + + always @(posedge clkx2 or negedge jrst_n) + begin + if (jrst_n == 0) + begin + x2 <= 0; + tr_clk_reg <= 0; + tr_data_reg <= 0; + end + else + begin + x2 <= x1; + tr_clk_reg <= ~phase; + tr_data_reg <= phase ? tw[17 : 0] : tw[35 : 18]; + end + end + + + assign tr_clk = 0 ? tr_clk_reg : 0; + assign tr_data = 0 ? tr_data_reg : 0; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_im ( + // inputs: + clk, + jdo, + jrst_n, + reset_n, + take_action_tracectrl, + take_action_tracemem_a, + take_action_tracemem_b, + take_no_action_tracemem_a, + trc_ctrl, + tw, + + // outputs: + tracemem_on, + tracemem_trcdata, + tracemem_tw, + trc_enb, + trc_im_addr, + trc_wrap, + xbrk_wrap_traceoff + ) +; + + output tracemem_on; + output [ 35: 0] tracemem_trcdata; + output tracemem_tw; + output trc_enb; + output [ 6: 0] trc_im_addr; + output trc_wrap; + output xbrk_wrap_traceoff; + input clk; + input [ 37: 0] jdo; + input jrst_n; + input reset_n; + input take_action_tracectrl; + input take_action_tracemem_a; + input take_action_tracemem_b; + input take_no_action_tracemem_a; + input [ 15: 0] trc_ctrl; + input [ 35: 0] tw; + + wire tracemem_on; + wire [ 35: 0] tracemem_trcdata; + wire tracemem_tw; + wire trc_enb; + reg [ 6: 0] trc_im_addr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire [ 35: 0] trc_im_data; + reg [ 16: 0] trc_jtag_addr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + wire trc_on_chip; + reg trc_wrap /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire tw_valid; + wire xbrk_wrap_traceoff; + assign trc_im_data = tw; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + trc_im_addr <= 0; + trc_wrap <= 0; + end + else if (!0) + begin + trc_im_addr <= 0; + trc_wrap <= 0; + end + else if (take_action_tracectrl && + (jdo[4] | jdo[3])) + begin + if (jdo[4]) + trc_im_addr <= 0; + if (jdo[3]) + trc_wrap <= 0; + end + else if (trc_enb & trc_on_chip & tw_valid) + begin + trc_im_addr <= trc_im_addr+1; + if (&trc_im_addr) + trc_wrap <= 1; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + trc_jtag_addr <= 0; + else if (take_action_tracemem_a || + take_no_action_tracemem_a || + take_action_tracemem_b) + trc_jtag_addr <= take_action_tracemem_a ? + jdo[35 : 19] : + trc_jtag_addr + 1; + + end + + + assign trc_enb = trc_ctrl[0]; + assign trc_on_chip = ~trc_ctrl[8]; + assign tw_valid = |trc_im_data[35 : 32]; + assign xbrk_wrap_traceoff = trc_ctrl[10] & trc_wrap; + assign tracemem_tw = trc_wrap; + assign tracemem_on = trc_enb; + assign tracemem_trcdata = 0; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_performance_monitors +; + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci ( + // inputs: + D_valid, + E_st_data, + E_valid, + F_pc, + address_nxt, + av_ld_data_aligned_filtered, + byteenable_nxt, + clk, + d_address, + d_read, + d_waitrequest, + d_write, + debugaccess_nxt, + hbreak_enabled, + read_nxt, + reset, + reset_n, + test_ending, + test_has_ended, + write_nxt, + writedata_nxt, + + // outputs: + jtag_debug_module_debugaccess_to_roms, + oci_hbreak_req, + oci_ienable, + oci_single_step_mode, + readdata, + resetrequest, + waitrequest + ) +; + + output jtag_debug_module_debugaccess_to_roms; + output oci_hbreak_req; + output [ 31: 0] oci_ienable; + output oci_single_step_mode; + output [ 31: 0] readdata; + output resetrequest; + output waitrequest; + input D_valid; + input [ 31: 0] E_st_data; + input E_valid; + input [ 16: 0] F_pc; + input [ 8: 0] address_nxt; + input [ 31: 0] av_ld_data_aligned_filtered; + input [ 3: 0] byteenable_nxt; + input clk; + input [ 18: 0] d_address; + input d_read; + input d_waitrequest; + input d_write; + input debugaccess_nxt; + input hbreak_enabled; + input read_nxt; + input reset; + input reset_n; + input test_ending; + input test_has_ended; + input write_nxt; + input [ 31: 0] writedata_nxt; + + wire [ 31: 0] MonDReg; + reg [ 8: 0] address; + wire [ 35: 0] atm; + wire [ 31: 0] break_readreg; + reg [ 3: 0] byteenable; + wire clkx2; + wire [ 18: 0] cpu_d_address; + wire cpu_d_read; + wire [ 31: 0] cpu_d_readdata; + wire cpu_d_wait; + wire cpu_d_write; + wire [ 31: 0] cpu_d_writedata; + wire dbrk_break; + wire dbrk_goto0; + wire dbrk_goto1; + wire dbrk_hit0_latch; + wire dbrk_hit1_latch; + wire dbrk_hit2_latch; + wire dbrk_hit3_latch; + wire dbrk_traceme; + wire dbrk_traceoff; + wire dbrk_traceon; + wire dbrk_trigout; + wire [ 29: 0] dct_buffer; + wire [ 3: 0] dct_count; + reg debugaccess; + wire debugack; + wire debugreq; + wire [ 35: 0] dtm; + wire dummy_sink; + wire [ 35: 0] itm; + wire [ 37: 0] jdo; + wire jrst_n; + wire jtag_debug_module_debugaccess_to_roms; + wire monitor_error; + wire monitor_go; + wire monitor_ready; + wire oci_hbreak_req; + wire [ 31: 0] oci_ienable; + wire [ 31: 0] oci_reg_readdata; + wire oci_single_step_mode; + wire [ 31: 0] ociram_readdata; + wire ocireg_ers; + wire ocireg_mrs; + reg read; + reg [ 31: 0] readdata; + wire resetlatch; + wire resetrequest; + wire st_ready_test_idle; + wire take_action_break_a; + wire take_action_break_b; + wire take_action_break_c; + wire take_action_ocimem_a; + wire take_action_ocimem_b; + wire take_action_ocireg; + wire take_action_tracectrl; + wire take_action_tracemem_a; + wire take_action_tracemem_b; + wire take_no_action_break_a; + wire take_no_action_break_b; + wire take_no_action_break_c; + wire take_no_action_ocimem_a; + wire take_no_action_tracemem_a; + wire tr_clk; + wire [ 17: 0] tr_data; + wire tracemem_on; + wire [ 35: 0] tracemem_trcdata; + wire tracemem_tw; + wire [ 15: 0] trc_ctrl; + wire trc_enb; + wire [ 6: 0] trc_im_addr; + wire trc_on; + wire trc_wrap; + wire trigbrktype; + wire trigger_state_0; + wire trigger_state_1; + wire trigout; + wire [ 35: 0] tw; + wire waitrequest; + reg write; + reg [ 31: 0] writedata; + wire xbrk_break; + wire [ 7: 0] xbrk_ctrl0; + wire [ 7: 0] xbrk_ctrl1; + wire [ 7: 0] xbrk_ctrl2; + wire [ 7: 0] xbrk_ctrl3; + wire xbrk_goto0; + wire xbrk_goto1; + wire xbrk_traceoff; + wire xbrk_traceon; + wire xbrk_trigout; + wire xbrk_wrap_traceoff; + nios_system_nios2_processor_nios2_oci_debug the_nios_system_nios2_processor_nios2_oci_debug + ( + .clk (clk), + .dbrk_break (dbrk_break), + .debugack (debugack), + .debugreq (debugreq), + .hbreak_enabled (hbreak_enabled), + .jdo (jdo), + .jrst_n (jrst_n), + .monitor_error (monitor_error), + .monitor_go (monitor_go), + .monitor_ready (monitor_ready), + .oci_hbreak_req (oci_hbreak_req), + .ocireg_ers (ocireg_ers), + .ocireg_mrs (ocireg_mrs), + .reset (reset), + .resetlatch (resetlatch), + .resetrequest (resetrequest), + .st_ready_test_idle (st_ready_test_idle), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocireg (take_action_ocireg), + .xbrk_break (xbrk_break) + ); + + nios_system_nios2_processor_nios2_ocimem the_nios_system_nios2_processor_nios2_ocimem + ( + .MonDReg (MonDReg), + .address (address), + .byteenable (byteenable), + .clk (clk), + .debugaccess (debugaccess), + .jdo (jdo), + .jrst_n (jrst_n), + .ociram_readdata (ociram_readdata), + .read (read), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocimem_b (take_action_ocimem_b), + .take_no_action_ocimem_a (take_no_action_ocimem_a), + .waitrequest (waitrequest), + .write (write), + .writedata (writedata) + ); + + nios_system_nios2_processor_nios2_avalon_reg the_nios_system_nios2_processor_nios2_avalon_reg + ( + .address (address), + .clk (clk), + .debugaccess (debugaccess), + .monitor_error (monitor_error), + .monitor_go (monitor_go), + .monitor_ready (monitor_ready), + .oci_ienable (oci_ienable), + .oci_reg_readdata (oci_reg_readdata), + .oci_single_step_mode (oci_single_step_mode), + .ocireg_ers (ocireg_ers), + .ocireg_mrs (ocireg_mrs), + .reset_n (reset_n), + .take_action_ocireg (take_action_ocireg), + .write (write), + .writedata (writedata) + ); + + nios_system_nios2_processor_nios2_oci_break the_nios_system_nios2_processor_nios2_oci_break + ( + .break_readreg (break_readreg), + .clk (clk), + .dbrk_break (dbrk_break), + .dbrk_goto0 (dbrk_goto0), + .dbrk_goto1 (dbrk_goto1), + .dbrk_hit0_latch (dbrk_hit0_latch), + .dbrk_hit1_latch (dbrk_hit1_latch), + .dbrk_hit2_latch (dbrk_hit2_latch), + .dbrk_hit3_latch (dbrk_hit3_latch), + .jdo (jdo), + .jrst_n (jrst_n), + .reset_n (reset_n), + .take_action_break_a (take_action_break_a), + .take_action_break_b (take_action_break_b), + .take_action_break_c (take_action_break_c), + .take_no_action_break_a (take_no_action_break_a), + .take_no_action_break_b (take_no_action_break_b), + .take_no_action_break_c (take_no_action_break_c), + .trigbrktype (trigbrktype), + .trigger_state_0 (trigger_state_0), + .trigger_state_1 (trigger_state_1), + .xbrk_ctrl0 (xbrk_ctrl0), + .xbrk_ctrl1 (xbrk_ctrl1), + .xbrk_ctrl2 (xbrk_ctrl2), + .xbrk_ctrl3 (xbrk_ctrl3), + .xbrk_goto0 (xbrk_goto0), + .xbrk_goto1 (xbrk_goto1) + ); + + nios_system_nios2_processor_nios2_oci_xbrk the_nios_system_nios2_processor_nios2_oci_xbrk + ( + .D_valid (D_valid), + .E_valid (E_valid), + .F_pc (F_pc), + .clk (clk), + .reset_n (reset_n), + .trigger_state_0 (trigger_state_0), + .trigger_state_1 (trigger_state_1), + .xbrk_break (xbrk_break), + .xbrk_ctrl0 (xbrk_ctrl0), + .xbrk_ctrl1 (xbrk_ctrl1), + .xbrk_ctrl2 (xbrk_ctrl2), + .xbrk_ctrl3 (xbrk_ctrl3), + .xbrk_goto0 (xbrk_goto0), + .xbrk_goto1 (xbrk_goto1), + .xbrk_traceoff (xbrk_traceoff), + .xbrk_traceon (xbrk_traceon), + .xbrk_trigout (xbrk_trigout) + ); + + nios_system_nios2_processor_nios2_oci_dbrk the_nios_system_nios2_processor_nios2_oci_dbrk + ( + .E_st_data (E_st_data), + .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered), + .clk (clk), + .cpu_d_address (cpu_d_address), + .cpu_d_read (cpu_d_read), + .cpu_d_readdata (cpu_d_readdata), + .cpu_d_wait (cpu_d_wait), + .cpu_d_write (cpu_d_write), + .cpu_d_writedata (cpu_d_writedata), + .d_address (d_address), + .d_read (d_read), + .d_waitrequest (d_waitrequest), + .d_write (d_write), + .dbrk_break (dbrk_break), + .dbrk_goto0 (dbrk_goto0), + .dbrk_goto1 (dbrk_goto1), + .dbrk_traceme (dbrk_traceme), + .dbrk_traceoff (dbrk_traceoff), + .dbrk_traceon (dbrk_traceon), + .dbrk_trigout (dbrk_trigout), + .debugack (debugack), + .reset_n (reset_n) + ); + + nios_system_nios2_processor_nios2_oci_itrace the_nios_system_nios2_processor_nios2_oci_itrace + ( + .clk (clk), + .dbrk_traceoff (dbrk_traceoff), + .dbrk_traceon (dbrk_traceon), + .dct_buffer (dct_buffer), + .dct_count (dct_count), + .itm (itm), + .jdo (jdo), + .jrst_n (jrst_n), + .take_action_tracectrl (take_action_tracectrl), + .trc_ctrl (trc_ctrl), + .trc_enb (trc_enb), + .trc_on (trc_on), + .xbrk_traceoff (xbrk_traceoff), + .xbrk_traceon (xbrk_traceon), + .xbrk_wrap_traceoff (xbrk_wrap_traceoff) + ); + + nios_system_nios2_processor_nios2_oci_dtrace the_nios_system_nios2_processor_nios2_oci_dtrace + ( + .atm (atm), + .clk (clk), + .cpu_d_address (cpu_d_address), + .cpu_d_read (cpu_d_read), + .cpu_d_readdata (cpu_d_readdata), + .cpu_d_wait (cpu_d_wait), + .cpu_d_write (cpu_d_write), + .cpu_d_writedata (cpu_d_writedata), + .dtm (dtm), + .jrst_n (jrst_n), + .trc_ctrl (trc_ctrl) + ); + + nios_system_nios2_processor_nios2_oci_fifo the_nios_system_nios2_processor_nios2_oci_fifo + ( + .atm (atm), + .clk (clk), + .dbrk_traceme (dbrk_traceme), + .dbrk_traceoff (dbrk_traceoff), + .dbrk_traceon (dbrk_traceon), + .dct_buffer (dct_buffer), + .dct_count (dct_count), + .dtm (dtm), + .itm (itm), + .jrst_n (jrst_n), + .reset_n (reset_n), + .test_ending (test_ending), + .test_has_ended (test_has_ended), + .trc_on (trc_on), + .tw (tw) + ); + + nios_system_nios2_processor_nios2_oci_pib the_nios_system_nios2_processor_nios2_oci_pib + ( + .clk (clk), + .clkx2 (clkx2), + .jrst_n (jrst_n), + .tr_clk (tr_clk), + .tr_data (tr_data), + .tw (tw) + ); + + nios_system_nios2_processor_nios2_oci_im the_nios_system_nios2_processor_nios2_oci_im + ( + .clk (clk), + .jdo (jdo), + .jrst_n (jrst_n), + .reset_n (reset_n), + .take_action_tracectrl (take_action_tracectrl), + .take_action_tracemem_a (take_action_tracemem_a), + .take_action_tracemem_b (take_action_tracemem_b), + .take_no_action_tracemem_a (take_no_action_tracemem_a), + .tracemem_on (tracemem_on), + .tracemem_trcdata (tracemem_trcdata), + .tracemem_tw (tracemem_tw), + .trc_ctrl (trc_ctrl), + .trc_enb (trc_enb), + .trc_im_addr (trc_im_addr), + .trc_wrap (trc_wrap), + .tw (tw), + .xbrk_wrap_traceoff (xbrk_wrap_traceoff) + ); + + assign trigout = dbrk_trigout | xbrk_trigout; + assign jtag_debug_module_debugaccess_to_roms = debugack; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + address <= 0; + else + address <= address_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + byteenable <= 0; + else + byteenable <= byteenable_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + writedata <= 0; + else + writedata <= writedata_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + debugaccess <= 0; + else + debugaccess <= debugaccess_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + read <= 0; + else + read <= read ? waitrequest : read_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + write <= 0; + else + write <= write ? waitrequest : write_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + readdata <= 0; + else + readdata <= address[8] ? oci_reg_readdata : ociram_readdata; + end + + + nios_system_nios2_processor_jtag_debug_module_wrapper the_nios_system_nios2_processor_jtag_debug_module_wrapper + ( + .MonDReg (MonDReg), + .break_readreg (break_readreg), + .clk (clk), + .dbrk_hit0_latch (dbrk_hit0_latch), + .dbrk_hit1_latch (dbrk_hit1_latch), + .dbrk_hit2_latch (dbrk_hit2_latch), + .dbrk_hit3_latch (dbrk_hit3_latch), + .debugack (debugack), + .jdo (jdo), + .jrst_n (jrst_n), + .monitor_error (monitor_error), + .monitor_ready (monitor_ready), + .reset_n (reset_n), + .resetlatch (resetlatch), + .st_ready_test_idle (st_ready_test_idle), + .take_action_break_a (take_action_break_a), + .take_action_break_b (take_action_break_b), + .take_action_break_c (take_action_break_c), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocimem_b (take_action_ocimem_b), + .take_action_tracectrl (take_action_tracectrl), + .take_action_tracemem_a (take_action_tracemem_a), + .take_action_tracemem_b (take_action_tracemem_b), + .take_no_action_break_a (take_no_action_break_a), + .take_no_action_break_b (take_no_action_break_b), + .take_no_action_break_c (take_no_action_break_c), + .take_no_action_ocimem_a (take_no_action_ocimem_a), + .take_no_action_tracemem_a (take_no_action_tracemem_a), + .tracemem_on (tracemem_on), + .tracemem_trcdata (tracemem_trcdata), + .tracemem_tw (tracemem_tw), + .trc_im_addr (trc_im_addr), + .trc_on (trc_on), + .trc_wrap (trc_wrap), + .trigbrktype (trigbrktype), + .trigger_state_1 (trigger_state_1) + ); + + //dummy sink, which is an e_mux + assign dummy_sink = tr_clk | + tr_data | + trigout | + debugack; + + assign debugreq = 0; + assign clkx2 = 0; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor ( + // inputs: + clk, + d_irq, + d_readdata, + d_waitrequest, + i_readdata, + i_waitrequest, + jtag_debug_module_address, + jtag_debug_module_byteenable, + jtag_debug_module_debugaccess, + jtag_debug_module_read, + jtag_debug_module_write, + jtag_debug_module_writedata, + reset_n, + + // outputs: + d_address, + d_byteenable, + d_read, + d_write, + d_writedata, + i_address, + i_read, + jtag_debug_module_debugaccess_to_roms, + jtag_debug_module_readdata, + jtag_debug_module_resetrequest, + jtag_debug_module_waitrequest, + no_ci_readra + ) +; + + output [ 18: 0] d_address; + output [ 3: 0] d_byteenable; + output d_read; + output d_write; + output [ 31: 0] d_writedata; + output [ 18: 0] i_address; + output i_read; + output jtag_debug_module_debugaccess_to_roms; + output [ 31: 0] jtag_debug_module_readdata; + output jtag_debug_module_resetrequest; + output jtag_debug_module_waitrequest; + output no_ci_readra; + input clk; + input [ 31: 0] d_irq; + input [ 31: 0] d_readdata; + input d_waitrequest; + input [ 31: 0] i_readdata; + input i_waitrequest; + input [ 8: 0] jtag_debug_module_address; + input [ 3: 0] jtag_debug_module_byteenable; + input jtag_debug_module_debugaccess; + input jtag_debug_module_read; + input jtag_debug_module_write; + input [ 31: 0] jtag_debug_module_writedata; + input reset_n; + + wire [ 1: 0] D_compare_op; + wire D_ctrl_alu_force_xor; + wire D_ctrl_alu_signed_comparison; + wire D_ctrl_alu_subtract; + wire D_ctrl_b_is_dst; + wire D_ctrl_br; + wire D_ctrl_br_cmp; + wire D_ctrl_br_uncond; + wire D_ctrl_break; + wire D_ctrl_crst; + wire D_ctrl_custom; + wire D_ctrl_custom_multi; + wire D_ctrl_exception; + wire D_ctrl_force_src2_zero; + wire D_ctrl_hi_imm16; + wire D_ctrl_ignore_dst; + wire D_ctrl_implicit_dst_eretaddr; + wire D_ctrl_implicit_dst_retaddr; + wire D_ctrl_jmp_direct; + wire D_ctrl_jmp_indirect; + wire D_ctrl_ld; + wire D_ctrl_ld_io; + wire D_ctrl_ld_non_io; + wire D_ctrl_ld_signed; + wire D_ctrl_logic; + wire D_ctrl_rdctl_inst; + wire D_ctrl_retaddr; + wire D_ctrl_rot_right; + wire D_ctrl_shift_logical; + wire D_ctrl_shift_right_arith; + wire D_ctrl_shift_rot; + wire D_ctrl_shift_rot_right; + wire D_ctrl_src2_choose_imm; + wire D_ctrl_st; + wire D_ctrl_uncond_cti_non_br; + wire D_ctrl_unsigned_lo_imm16; + wire D_ctrl_wrctl_inst; + wire [ 4: 0] D_dst_regnum; + wire [ 55: 0] D_inst; + reg [ 31: 0] D_iw /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire [ 4: 0] D_iw_a; + wire [ 4: 0] D_iw_b; + wire [ 4: 0] D_iw_c; + wire [ 2: 0] D_iw_control_regnum; + wire [ 7: 0] D_iw_custom_n; + wire D_iw_custom_readra; + wire D_iw_custom_readrb; + wire D_iw_custom_writerc; + wire [ 15: 0] D_iw_imm16; + wire [ 25: 0] D_iw_imm26; + wire [ 4: 0] D_iw_imm5; + wire [ 1: 0] D_iw_memsz; + wire [ 5: 0] D_iw_op; + wire [ 5: 0] D_iw_opx; + wire [ 4: 0] D_iw_shift_imm5; + wire [ 4: 0] D_iw_trap_break_imm5; + wire [ 16: 0] D_jmp_direct_target_waddr; + wire [ 1: 0] D_logic_op; + wire [ 1: 0] D_logic_op_raw; + wire D_mem16; + wire D_mem32; + wire D_mem8; + wire D_op_add; + wire D_op_addi; + wire D_op_and; + wire D_op_andhi; + wire D_op_andi; + wire D_op_beq; + wire D_op_bge; + wire D_op_bgeu; + wire D_op_blt; + wire D_op_bltu; + wire D_op_bne; + wire D_op_br; + wire D_op_break; + wire D_op_bret; + wire D_op_call; + wire D_op_callr; + wire D_op_cmpeq; + wire D_op_cmpeqi; + wire D_op_cmpge; + wire D_op_cmpgei; + wire D_op_cmpgeu; + wire D_op_cmpgeui; + wire D_op_cmplt; + wire D_op_cmplti; + wire D_op_cmpltu; + wire D_op_cmpltui; + wire D_op_cmpne; + wire D_op_cmpnei; + wire D_op_crst; + wire D_op_custom; + wire D_op_div; + wire D_op_divu; + wire D_op_eret; + wire D_op_flushd; + wire D_op_flushda; + wire D_op_flushi; + wire D_op_flushp; + wire D_op_hbreak; + wire D_op_initd; + wire D_op_initda; + wire D_op_initi; + wire D_op_intr; + wire D_op_jmp; + wire D_op_jmpi; + wire D_op_ldb; + wire D_op_ldbio; + wire D_op_ldbu; + wire D_op_ldbuio; + wire D_op_ldh; + wire D_op_ldhio; + wire D_op_ldhu; + wire D_op_ldhuio; + wire D_op_ldl; + wire D_op_ldw; + wire D_op_ldwio; + wire D_op_mul; + wire D_op_muli; + wire D_op_mulxss; + wire D_op_mulxsu; + wire D_op_mulxuu; + wire D_op_nextpc; + wire D_op_nor; + wire D_op_opx; + wire D_op_or; + wire D_op_orhi; + wire D_op_ori; + wire D_op_rdctl; + wire D_op_rdprs; + wire D_op_ret; + wire D_op_rol; + wire D_op_roli; + wire D_op_ror; + wire D_op_rsv02; + wire D_op_rsv09; + wire D_op_rsv10; + wire D_op_rsv17; + wire D_op_rsv18; + wire D_op_rsv25; + wire D_op_rsv26; + wire D_op_rsv33; + wire D_op_rsv34; + wire D_op_rsv41; + wire D_op_rsv42; + wire D_op_rsv49; + wire D_op_rsv57; + wire D_op_rsv61; + wire D_op_rsv62; + wire D_op_rsv63; + wire D_op_rsvx00; + wire D_op_rsvx10; + wire D_op_rsvx15; + wire D_op_rsvx17; + wire D_op_rsvx21; + wire D_op_rsvx25; + wire D_op_rsvx33; + wire D_op_rsvx34; + wire D_op_rsvx35; + wire D_op_rsvx42; + wire D_op_rsvx43; + wire D_op_rsvx44; + wire D_op_rsvx47; + wire D_op_rsvx50; + wire D_op_rsvx51; + wire D_op_rsvx55; + wire D_op_rsvx56; + wire D_op_rsvx60; + wire D_op_rsvx63; + wire D_op_sll; + wire D_op_slli; + wire D_op_sra; + wire D_op_srai; + wire D_op_srl; + wire D_op_srli; + wire D_op_stb; + wire D_op_stbio; + wire D_op_stc; + wire D_op_sth; + wire D_op_sthio; + wire D_op_stw; + wire D_op_stwio; + wire D_op_sub; + wire D_op_sync; + wire D_op_trap; + wire D_op_wrctl; + wire D_op_wrprs; + wire D_op_xor; + wire D_op_xorhi; + wire D_op_xori; + reg D_valid; + wire [ 55: 0] D_vinst; + wire D_wr_dst_reg; + wire [ 31: 0] E_alu_result; + reg E_alu_sub; + wire [ 32: 0] E_arith_result; + wire [ 31: 0] E_arith_src1; + wire [ 31: 0] E_arith_src2; + wire E_ci_multi_stall; + wire [ 31: 0] E_ci_result; + wire E_cmp_result; + wire [ 31: 0] E_control_rd_data; + wire E_eq; + reg E_invert_arith_src_msb; + wire E_ld_stall; + wire [ 31: 0] E_logic_result; + wire E_logic_result_is_0; + wire E_lt; + wire [ 18: 0] E_mem_baddr; + wire [ 3: 0] E_mem_byte_en; + reg E_new_inst; + reg [ 4: 0] E_shift_rot_cnt; + wire [ 4: 0] E_shift_rot_cnt_nxt; + wire E_shift_rot_done; + wire E_shift_rot_fill_bit; + reg [ 31: 0] E_shift_rot_result; + wire [ 31: 0] E_shift_rot_result_nxt; + wire E_shift_rot_stall; + reg [ 31: 0] E_src1; + reg [ 31: 0] E_src2; + wire [ 31: 0] E_st_data; + wire E_st_stall; + wire E_stall; + reg E_valid; + wire [ 55: 0] E_vinst; + wire E_wrctl_bstatus; + wire E_wrctl_estatus; + wire E_wrctl_ienable; + wire E_wrctl_status; + wire [ 31: 0] F_av_iw; + wire [ 4: 0] F_av_iw_a; + wire [ 4: 0] F_av_iw_b; + wire [ 4: 0] F_av_iw_c; + wire [ 2: 0] F_av_iw_control_regnum; + wire [ 7: 0] F_av_iw_custom_n; + wire F_av_iw_custom_readra; + wire F_av_iw_custom_readrb; + wire F_av_iw_custom_writerc; + wire [ 15: 0] F_av_iw_imm16; + wire [ 25: 0] F_av_iw_imm26; + wire [ 4: 0] F_av_iw_imm5; + wire [ 1: 0] F_av_iw_memsz; + wire [ 5: 0] F_av_iw_op; + wire [ 5: 0] F_av_iw_opx; + wire [ 4: 0] F_av_iw_shift_imm5; + wire [ 4: 0] F_av_iw_trap_break_imm5; + wire F_av_mem16; + wire F_av_mem32; + wire F_av_mem8; + wire [ 55: 0] F_inst; + wire [ 31: 0] F_iw; + wire [ 4: 0] F_iw_a; + wire [ 4: 0] F_iw_b; + wire [ 4: 0] F_iw_c; + wire [ 2: 0] F_iw_control_regnum; + wire [ 7: 0] F_iw_custom_n; + wire F_iw_custom_readra; + wire F_iw_custom_readrb; + wire F_iw_custom_writerc; + wire [ 15: 0] F_iw_imm16; + wire [ 25: 0] F_iw_imm26; + wire [ 4: 0] F_iw_imm5; + wire [ 1: 0] F_iw_memsz; + wire [ 5: 0] F_iw_op; + wire [ 5: 0] F_iw_opx; + wire [ 4: 0] F_iw_shift_imm5; + wire [ 4: 0] F_iw_trap_break_imm5; + wire F_mem16; + wire F_mem32; + wire F_mem8; + wire F_op_add; + wire F_op_addi; + wire F_op_and; + wire F_op_andhi; + wire F_op_andi; + wire F_op_beq; + wire F_op_bge; + wire F_op_bgeu; + wire F_op_blt; + wire F_op_bltu; + wire F_op_bne; + wire F_op_br; + wire F_op_break; + wire F_op_bret; + wire F_op_call; + wire F_op_callr; + wire F_op_cmpeq; + wire F_op_cmpeqi; + wire F_op_cmpge; + wire F_op_cmpgei; + wire F_op_cmpgeu; + wire F_op_cmpgeui; + wire F_op_cmplt; + wire F_op_cmplti; + wire F_op_cmpltu; + wire F_op_cmpltui; + wire F_op_cmpne; + wire F_op_cmpnei; + wire F_op_crst; + wire F_op_custom; + wire F_op_div; + wire F_op_divu; + wire F_op_eret; + wire F_op_flushd; + wire F_op_flushda; + wire F_op_flushi; + wire F_op_flushp; + wire F_op_hbreak; + wire F_op_initd; + wire F_op_initda; + wire F_op_initi; + wire F_op_intr; + wire F_op_jmp; + wire F_op_jmpi; + wire F_op_ldb; + wire F_op_ldbio; + wire F_op_ldbu; + wire F_op_ldbuio; + wire F_op_ldh; + wire F_op_ldhio; + wire F_op_ldhu; + wire F_op_ldhuio; + wire F_op_ldl; + wire F_op_ldw; + wire F_op_ldwio; + wire F_op_mul; + wire F_op_muli; + wire F_op_mulxss; + wire F_op_mulxsu; + wire F_op_mulxuu; + wire F_op_nextpc; + wire F_op_nor; + wire F_op_opx; + wire F_op_or; + wire F_op_orhi; + wire F_op_ori; + wire F_op_rdctl; + wire F_op_rdprs; + wire F_op_ret; + wire F_op_rol; + wire F_op_roli; + wire F_op_ror; + wire F_op_rsv02; + wire F_op_rsv09; + wire F_op_rsv10; + wire F_op_rsv17; + wire F_op_rsv18; + wire F_op_rsv25; + wire F_op_rsv26; + wire F_op_rsv33; + wire F_op_rsv34; + wire F_op_rsv41; + wire F_op_rsv42; + wire F_op_rsv49; + wire F_op_rsv57; + wire F_op_rsv61; + wire F_op_rsv62; + wire F_op_rsv63; + wire F_op_rsvx00; + wire F_op_rsvx10; + wire F_op_rsvx15; + wire F_op_rsvx17; + wire F_op_rsvx21; + wire F_op_rsvx25; + wire F_op_rsvx33; + wire F_op_rsvx34; + wire F_op_rsvx35; + wire F_op_rsvx42; + wire F_op_rsvx43; + wire F_op_rsvx44; + wire F_op_rsvx47; + wire F_op_rsvx50; + wire F_op_rsvx51; + wire F_op_rsvx55; + wire F_op_rsvx56; + wire F_op_rsvx60; + wire F_op_rsvx63; + wire F_op_sll; + wire F_op_slli; + wire F_op_sra; + wire F_op_srai; + wire F_op_srl; + wire F_op_srli; + wire F_op_stb; + wire F_op_stbio; + wire F_op_stc; + wire F_op_sth; + wire F_op_sthio; + wire F_op_stw; + wire F_op_stwio; + wire F_op_sub; + wire F_op_sync; + wire F_op_trap; + wire F_op_wrctl; + wire F_op_wrprs; + wire F_op_xor; + wire F_op_xorhi; + wire F_op_xori; + reg [ 16: 0] F_pc /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire F_pc_en; + wire [ 16: 0] F_pc_no_crst_nxt; + wire [ 16: 0] F_pc_nxt; + wire [ 16: 0] F_pc_plus_one; + wire [ 1: 0] F_pc_sel_nxt; + wire [ 18: 0] F_pcb; + wire [ 18: 0] F_pcb_nxt; + wire [ 18: 0] F_pcb_plus_four; + wire F_valid; + wire [ 55: 0] F_vinst; + reg [ 1: 0] R_compare_op; + reg R_ctrl_alu_force_xor; + wire R_ctrl_alu_force_xor_nxt; + reg R_ctrl_alu_signed_comparison; + wire R_ctrl_alu_signed_comparison_nxt; + reg R_ctrl_alu_subtract; + wire R_ctrl_alu_subtract_nxt; + reg R_ctrl_b_is_dst; + wire R_ctrl_b_is_dst_nxt; + reg R_ctrl_br; + reg R_ctrl_br_cmp; + wire R_ctrl_br_cmp_nxt; + wire R_ctrl_br_nxt; + reg R_ctrl_br_uncond; + wire R_ctrl_br_uncond_nxt; + reg R_ctrl_break; + wire R_ctrl_break_nxt; + reg R_ctrl_crst; + wire R_ctrl_crst_nxt; + reg R_ctrl_custom; + reg R_ctrl_custom_multi; + wire R_ctrl_custom_multi_nxt; + wire R_ctrl_custom_nxt; + reg R_ctrl_exception; + wire R_ctrl_exception_nxt; + reg R_ctrl_force_src2_zero; + wire R_ctrl_force_src2_zero_nxt; + reg R_ctrl_hi_imm16; + wire R_ctrl_hi_imm16_nxt; + reg R_ctrl_ignore_dst; + wire R_ctrl_ignore_dst_nxt; + reg R_ctrl_implicit_dst_eretaddr; + wire R_ctrl_implicit_dst_eretaddr_nxt; + reg R_ctrl_implicit_dst_retaddr; + wire R_ctrl_implicit_dst_retaddr_nxt; + reg R_ctrl_jmp_direct; + wire R_ctrl_jmp_direct_nxt; + reg R_ctrl_jmp_indirect; + wire R_ctrl_jmp_indirect_nxt; + reg R_ctrl_ld; + reg R_ctrl_ld_io; + wire R_ctrl_ld_io_nxt; + reg R_ctrl_ld_non_io; + wire R_ctrl_ld_non_io_nxt; + wire R_ctrl_ld_nxt; + reg R_ctrl_ld_signed; + wire R_ctrl_ld_signed_nxt; + reg R_ctrl_logic; + wire R_ctrl_logic_nxt; + reg R_ctrl_rdctl_inst; + wire R_ctrl_rdctl_inst_nxt; + reg R_ctrl_retaddr; + wire R_ctrl_retaddr_nxt; + reg R_ctrl_rot_right; + wire R_ctrl_rot_right_nxt; + reg R_ctrl_shift_logical; + wire R_ctrl_shift_logical_nxt; + reg R_ctrl_shift_right_arith; + wire R_ctrl_shift_right_arith_nxt; + reg R_ctrl_shift_rot; + wire R_ctrl_shift_rot_nxt; + reg R_ctrl_shift_rot_right; + wire R_ctrl_shift_rot_right_nxt; + reg R_ctrl_src2_choose_imm; + wire R_ctrl_src2_choose_imm_nxt; + reg R_ctrl_st; + wire R_ctrl_st_nxt; + reg R_ctrl_uncond_cti_non_br; + wire R_ctrl_uncond_cti_non_br_nxt; + reg R_ctrl_unsigned_lo_imm16; + wire R_ctrl_unsigned_lo_imm16_nxt; + reg R_ctrl_wrctl_inst; + wire R_ctrl_wrctl_inst_nxt; + reg [ 4: 0] R_dst_regnum /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire R_en; + reg [ 1: 0] R_logic_op; + wire [ 31: 0] R_rf_a; + wire [ 31: 0] R_rf_b; + wire [ 31: 0] R_src1; + wire [ 31: 0] R_src2; + wire [ 15: 0] R_src2_hi; + wire [ 15: 0] R_src2_lo; + reg R_src2_use_imm; + wire [ 7: 0] R_stb_data; + wire [ 15: 0] R_sth_data; + reg R_valid; + wire [ 55: 0] R_vinst; + reg R_wr_dst_reg; + reg [ 31: 0] W_alu_result; + wire W_br_taken; + reg W_bstatus_reg; + wire W_bstatus_reg_inst_nxt; + wire W_bstatus_reg_nxt; + reg W_cmp_result; + reg [ 31: 0] W_control_rd_data; + reg W_estatus_reg; + wire W_estatus_reg_inst_nxt; + wire W_estatus_reg_nxt; + reg [ 31: 0] W_ienable_reg; + wire [ 31: 0] W_ienable_reg_nxt; + reg [ 31: 0] W_ipending_reg; + wire [ 31: 0] W_ipending_reg_nxt; + wire [ 18: 0] W_mem_baddr; + wire [ 31: 0] W_rf_wr_data; + wire W_rf_wren; + wire W_status_reg; + reg W_status_reg_pie; + wire W_status_reg_pie_inst_nxt; + wire W_status_reg_pie_nxt; + reg W_valid /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire [ 55: 0] W_vinst; + wire [ 31: 0] W_wr_data; + wire [ 31: 0] W_wr_data_non_zero; + wire av_fill_bit; + reg [ 1: 0] av_ld_align_cycle; + wire [ 1: 0] av_ld_align_cycle_nxt; + wire av_ld_align_one_more_cycle; + reg av_ld_aligning_data; + wire av_ld_aligning_data_nxt; + reg [ 7: 0] av_ld_byte0_data; + wire [ 7: 0] av_ld_byte0_data_nxt; + reg [ 7: 0] av_ld_byte1_data; + wire av_ld_byte1_data_en; + wire [ 7: 0] av_ld_byte1_data_nxt; + reg [ 7: 0] av_ld_byte2_data; + wire [ 7: 0] av_ld_byte2_data_nxt; + reg [ 7: 0] av_ld_byte3_data; + wire [ 7: 0] av_ld_byte3_data_nxt; + wire [ 31: 0] av_ld_data_aligned_filtered; + wire [ 31: 0] av_ld_data_aligned_unfiltered; + wire av_ld_done; + wire av_ld_extend; + wire av_ld_getting_data; + wire av_ld_rshift8; + reg av_ld_waiting_for_data; + wire av_ld_waiting_for_data_nxt; + wire av_sign_bit; + wire [ 18: 0] d_address; + reg [ 3: 0] d_byteenable; + reg d_read; + wire d_read_nxt; + wire d_write; + wire d_write_nxt; + reg [ 31: 0] d_writedata; + reg hbreak_enabled; + reg hbreak_pending; + wire hbreak_pending_nxt; + wire hbreak_req; + wire [ 18: 0] i_address; + reg i_read; + wire i_read_nxt; + wire [ 31: 0] iactive; + wire intr_req; + wire jtag_debug_module_clk; + wire jtag_debug_module_debugaccess_to_roms; + wire [ 31: 0] jtag_debug_module_readdata; + wire jtag_debug_module_reset; + wire jtag_debug_module_resetrequest; + wire jtag_debug_module_waitrequest; + wire no_ci_readra; + wire oci_hbreak_req; + wire [ 31: 0] oci_ienable; + wire oci_single_step_mode; + wire oci_tb_hbreak_req; + wire test_ending; + wire test_has_ended; + reg wait_for_one_post_bret_inst; + //the_nios_system_nios2_processor_test_bench, which is an e_instance + nios_system_nios2_processor_test_bench the_nios_system_nios2_processor_test_bench + ( + .D_iw (D_iw), + .D_iw_op (D_iw_op), + .D_iw_opx (D_iw_opx), + .D_valid (D_valid), + .E_valid (E_valid), + .F_pcb (F_pcb), + .F_valid (F_valid), + .R_ctrl_ld (R_ctrl_ld), + .R_ctrl_ld_non_io (R_ctrl_ld_non_io), + .R_dst_regnum (R_dst_regnum), + .R_wr_dst_reg (R_wr_dst_reg), + .W_valid (W_valid), + .W_vinst (W_vinst), + .W_wr_data (W_wr_data), + .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered), + .av_ld_data_aligned_unfiltered (av_ld_data_aligned_unfiltered), + .clk (clk), + .d_address (d_address), + .d_byteenable (d_byteenable), + .d_read (d_read), + .d_write (d_write), + .d_write_nxt (d_write_nxt), + .i_address (i_address), + .i_read (i_read), + .i_readdata (i_readdata), + .i_waitrequest (i_waitrequest), + .reset_n (reset_n), + .test_has_ended (test_has_ended) + ); + + assign F_av_iw_a = F_av_iw[31 : 27]; + assign F_av_iw_b = F_av_iw[26 : 22]; + assign F_av_iw_c = F_av_iw[21 : 17]; + assign F_av_iw_custom_n = F_av_iw[13 : 6]; + assign F_av_iw_custom_readra = F_av_iw[16]; + assign F_av_iw_custom_readrb = F_av_iw[15]; + assign F_av_iw_custom_writerc = F_av_iw[14]; + assign F_av_iw_opx = F_av_iw[16 : 11]; + assign F_av_iw_op = F_av_iw[5 : 0]; + assign F_av_iw_shift_imm5 = F_av_iw[10 : 6]; + assign F_av_iw_trap_break_imm5 = F_av_iw[10 : 6]; + assign F_av_iw_imm5 = F_av_iw[10 : 6]; + assign F_av_iw_imm16 = F_av_iw[21 : 6]; + assign F_av_iw_imm26 = F_av_iw[31 : 6]; + assign F_av_iw_memsz = F_av_iw[4 : 3]; + assign F_av_iw_control_regnum = F_av_iw[8 : 6]; + assign F_av_mem8 = F_av_iw_memsz == 2'b00; + assign F_av_mem16 = F_av_iw_memsz == 2'b01; + assign F_av_mem32 = F_av_iw_memsz[1] == 1'b1; + assign F_iw_a = F_iw[31 : 27]; + assign F_iw_b = F_iw[26 : 22]; + assign F_iw_c = F_iw[21 : 17]; + assign F_iw_custom_n = F_iw[13 : 6]; + assign F_iw_custom_readra = F_iw[16]; + assign F_iw_custom_readrb = F_iw[15]; + assign F_iw_custom_writerc = F_iw[14]; + assign F_iw_opx = F_iw[16 : 11]; + assign F_iw_op = F_iw[5 : 0]; + assign F_iw_shift_imm5 = F_iw[10 : 6]; + assign F_iw_trap_break_imm5 = F_iw[10 : 6]; + assign F_iw_imm5 = F_iw[10 : 6]; + assign F_iw_imm16 = F_iw[21 : 6]; + assign F_iw_imm26 = F_iw[31 : 6]; + assign F_iw_memsz = F_iw[4 : 3]; + assign F_iw_control_regnum = F_iw[8 : 6]; + assign F_mem8 = F_iw_memsz == 2'b00; + assign F_mem16 = F_iw_memsz == 2'b01; + assign F_mem32 = F_iw_memsz[1] == 1'b1; + assign D_iw_a = D_iw[31 : 27]; + assign D_iw_b = D_iw[26 : 22]; + assign D_iw_c = D_iw[21 : 17]; + assign D_iw_custom_n = D_iw[13 : 6]; + assign D_iw_custom_readra = D_iw[16]; + assign D_iw_custom_readrb = D_iw[15]; + assign D_iw_custom_writerc = D_iw[14]; + assign D_iw_opx = D_iw[16 : 11]; + assign D_iw_op = D_iw[5 : 0]; + assign D_iw_shift_imm5 = D_iw[10 : 6]; + assign D_iw_trap_break_imm5 = D_iw[10 : 6]; + assign D_iw_imm5 = D_iw[10 : 6]; + assign D_iw_imm16 = D_iw[21 : 6]; + assign D_iw_imm26 = D_iw[31 : 6]; + assign D_iw_memsz = D_iw[4 : 3]; + assign D_iw_control_regnum = D_iw[8 : 6]; + assign D_mem8 = D_iw_memsz == 2'b00; + assign D_mem16 = D_iw_memsz == 2'b01; + assign D_mem32 = D_iw_memsz[1] == 1'b1; + assign F_op_call = F_iw_op == 0; + assign F_op_jmpi = F_iw_op == 1; + assign F_op_ldbu = F_iw_op == 3; + assign F_op_addi = F_iw_op == 4; + assign F_op_stb = F_iw_op == 5; + assign F_op_br = F_iw_op == 6; + assign F_op_ldb = F_iw_op == 7; + assign F_op_cmpgei = F_iw_op == 8; + assign F_op_ldhu = F_iw_op == 11; + assign F_op_andi = F_iw_op == 12; + assign F_op_sth = F_iw_op == 13; + assign F_op_bge = F_iw_op == 14; + assign F_op_ldh = F_iw_op == 15; + assign F_op_cmplti = F_iw_op == 16; + assign F_op_initda = F_iw_op == 19; + assign F_op_ori = F_iw_op == 20; + assign F_op_stw = F_iw_op == 21; + assign F_op_blt = F_iw_op == 22; + assign F_op_ldw = F_iw_op == 23; + assign F_op_cmpnei = F_iw_op == 24; + assign F_op_flushda = F_iw_op == 27; + assign F_op_xori = F_iw_op == 28; + assign F_op_stc = F_iw_op == 29; + assign F_op_bne = F_iw_op == 30; + assign F_op_ldl = F_iw_op == 31; + assign F_op_cmpeqi = F_iw_op == 32; + assign F_op_ldbuio = F_iw_op == 35; + assign F_op_muli = F_iw_op == 36; + assign F_op_stbio = F_iw_op == 37; + assign F_op_beq = F_iw_op == 38; + assign F_op_ldbio = F_iw_op == 39; + assign F_op_cmpgeui = F_iw_op == 40; + assign F_op_ldhuio = F_iw_op == 43; + assign F_op_andhi = F_iw_op == 44; + assign F_op_sthio = F_iw_op == 45; + assign F_op_bgeu = F_iw_op == 46; + assign F_op_ldhio = F_iw_op == 47; + assign F_op_cmpltui = F_iw_op == 48; + assign F_op_initd = F_iw_op == 51; + assign F_op_orhi = F_iw_op == 52; + assign F_op_stwio = F_iw_op == 53; + assign F_op_bltu = F_iw_op == 54; + assign F_op_ldwio = F_iw_op == 55; + assign F_op_rdprs = F_iw_op == 56; + assign F_op_flushd = F_iw_op == 59; + assign F_op_xorhi = F_iw_op == 60; + assign F_op_rsv02 = F_iw_op == 2; + assign F_op_rsv09 = F_iw_op == 9; + assign F_op_rsv10 = F_iw_op == 10; + assign F_op_rsv17 = F_iw_op == 17; + assign F_op_rsv18 = F_iw_op == 18; + assign F_op_rsv25 = F_iw_op == 25; + assign F_op_rsv26 = F_iw_op == 26; + assign F_op_rsv33 = F_iw_op == 33; + assign F_op_rsv34 = F_iw_op == 34; + assign F_op_rsv41 = F_iw_op == 41; + assign F_op_rsv42 = F_iw_op == 42; + assign F_op_rsv49 = F_iw_op == 49; + assign F_op_rsv57 = F_iw_op == 57; + assign F_op_rsv61 = F_iw_op == 61; + assign F_op_rsv62 = F_iw_op == 62; + assign F_op_rsv63 = F_iw_op == 63; + assign F_op_eret = F_op_opx & (F_iw_opx == 1); + assign F_op_roli = F_op_opx & (F_iw_opx == 2); + assign F_op_rol = F_op_opx & (F_iw_opx == 3); + assign F_op_flushp = F_op_opx & (F_iw_opx == 4); + assign F_op_ret = F_op_opx & (F_iw_opx == 5); + assign F_op_nor = F_op_opx & (F_iw_opx == 6); + assign F_op_mulxuu = F_op_opx & (F_iw_opx == 7); + assign F_op_cmpge = F_op_opx & (F_iw_opx == 8); + assign F_op_bret = F_op_opx & (F_iw_opx == 9); + assign F_op_ror = F_op_opx & (F_iw_opx == 11); + assign F_op_flushi = F_op_opx & (F_iw_opx == 12); + assign F_op_jmp = F_op_opx & (F_iw_opx == 13); + assign F_op_and = F_op_opx & (F_iw_opx == 14); + assign F_op_cmplt = F_op_opx & (F_iw_opx == 16); + assign F_op_slli = F_op_opx & (F_iw_opx == 18); + assign F_op_sll = F_op_opx & (F_iw_opx == 19); + assign F_op_wrprs = F_op_opx & (F_iw_opx == 20); + assign F_op_or = F_op_opx & (F_iw_opx == 22); + assign F_op_mulxsu = F_op_opx & (F_iw_opx == 23); + assign F_op_cmpne = F_op_opx & (F_iw_opx == 24); + assign F_op_srli = F_op_opx & (F_iw_opx == 26); + assign F_op_srl = F_op_opx & (F_iw_opx == 27); + assign F_op_nextpc = F_op_opx & (F_iw_opx == 28); + assign F_op_callr = F_op_opx & (F_iw_opx == 29); + assign F_op_xor = F_op_opx & (F_iw_opx == 30); + assign F_op_mulxss = F_op_opx & (F_iw_opx == 31); + assign F_op_cmpeq = F_op_opx & (F_iw_opx == 32); + assign F_op_divu = F_op_opx & (F_iw_opx == 36); + assign F_op_div = F_op_opx & (F_iw_opx == 37); + assign F_op_rdctl = F_op_opx & (F_iw_opx == 38); + assign F_op_mul = F_op_opx & (F_iw_opx == 39); + assign F_op_cmpgeu = F_op_opx & (F_iw_opx == 40); + assign F_op_initi = F_op_opx & (F_iw_opx == 41); + assign F_op_trap = F_op_opx & (F_iw_opx == 45); + assign F_op_wrctl = F_op_opx & (F_iw_opx == 46); + assign F_op_cmpltu = F_op_opx & (F_iw_opx == 48); + assign F_op_add = F_op_opx & (F_iw_opx == 49); + assign F_op_break = F_op_opx & (F_iw_opx == 52); + assign F_op_hbreak = F_op_opx & (F_iw_opx == 53); + assign F_op_sync = F_op_opx & (F_iw_opx == 54); + assign F_op_sub = F_op_opx & (F_iw_opx == 57); + assign F_op_srai = F_op_opx & (F_iw_opx == 58); + assign F_op_sra = F_op_opx & (F_iw_opx == 59); + assign F_op_intr = F_op_opx & (F_iw_opx == 61); + assign F_op_crst = F_op_opx & (F_iw_opx == 62); + assign F_op_rsvx00 = F_op_opx & (F_iw_opx == 0); + assign F_op_rsvx10 = F_op_opx & (F_iw_opx == 10); + assign F_op_rsvx15 = F_op_opx & (F_iw_opx == 15); + assign F_op_rsvx17 = F_op_opx & (F_iw_opx == 17); + assign F_op_rsvx21 = F_op_opx & (F_iw_opx == 21); + assign F_op_rsvx25 = F_op_opx & (F_iw_opx == 25); + assign F_op_rsvx33 = F_op_opx & (F_iw_opx == 33); + assign F_op_rsvx34 = F_op_opx & (F_iw_opx == 34); + assign F_op_rsvx35 = F_op_opx & (F_iw_opx == 35); + assign F_op_rsvx42 = F_op_opx & (F_iw_opx == 42); + assign F_op_rsvx43 = F_op_opx & (F_iw_opx == 43); + assign F_op_rsvx44 = F_op_opx & (F_iw_opx == 44); + assign F_op_rsvx47 = F_op_opx & (F_iw_opx == 47); + assign F_op_rsvx50 = F_op_opx & (F_iw_opx == 50); + assign F_op_rsvx51 = F_op_opx & (F_iw_opx == 51); + assign F_op_rsvx55 = F_op_opx & (F_iw_opx == 55); + assign F_op_rsvx56 = F_op_opx & (F_iw_opx == 56); + assign F_op_rsvx60 = F_op_opx & (F_iw_opx == 60); + assign F_op_rsvx63 = F_op_opx & (F_iw_opx == 63); + assign F_op_opx = F_iw_op == 58; + assign F_op_custom = F_iw_op == 50; + assign D_op_call = D_iw_op == 0; + assign D_op_jmpi = D_iw_op == 1; + assign D_op_ldbu = D_iw_op == 3; + assign D_op_addi = D_iw_op == 4; + assign D_op_stb = D_iw_op == 5; + assign D_op_br = D_iw_op == 6; + assign D_op_ldb = D_iw_op == 7; + assign D_op_cmpgei = D_iw_op == 8; + assign D_op_ldhu = D_iw_op == 11; + assign D_op_andi = D_iw_op == 12; + assign D_op_sth = D_iw_op == 13; + assign D_op_bge = D_iw_op == 14; + assign D_op_ldh = D_iw_op == 15; + assign D_op_cmplti = D_iw_op == 16; + assign D_op_initda = D_iw_op == 19; + assign D_op_ori = D_iw_op == 20; + assign D_op_stw = D_iw_op == 21; + assign D_op_blt = D_iw_op == 22; + assign D_op_ldw = D_iw_op == 23; + assign D_op_cmpnei = D_iw_op == 24; + assign D_op_flushda = D_iw_op == 27; + assign D_op_xori = D_iw_op == 28; + assign D_op_stc = D_iw_op == 29; + assign D_op_bne = D_iw_op == 30; + assign D_op_ldl = D_iw_op == 31; + assign D_op_cmpeqi = D_iw_op == 32; + assign D_op_ldbuio = D_iw_op == 35; + assign D_op_muli = D_iw_op == 36; + assign D_op_stbio = D_iw_op == 37; + assign D_op_beq = D_iw_op == 38; + assign D_op_ldbio = D_iw_op == 39; + assign D_op_cmpgeui = D_iw_op == 40; + assign D_op_ldhuio = D_iw_op == 43; + assign D_op_andhi = D_iw_op == 44; + assign D_op_sthio = D_iw_op == 45; + assign D_op_bgeu = D_iw_op == 46; + assign D_op_ldhio = D_iw_op == 47; + assign D_op_cmpltui = D_iw_op == 48; + assign D_op_initd = D_iw_op == 51; + assign D_op_orhi = D_iw_op == 52; + assign D_op_stwio = D_iw_op == 53; + assign D_op_bltu = D_iw_op == 54; + assign D_op_ldwio = D_iw_op == 55; + assign D_op_rdprs = D_iw_op == 56; + assign D_op_flushd = D_iw_op == 59; + assign D_op_xorhi = D_iw_op == 60; + assign D_op_rsv02 = D_iw_op == 2; + assign D_op_rsv09 = D_iw_op == 9; + assign D_op_rsv10 = D_iw_op == 10; + assign D_op_rsv17 = D_iw_op == 17; + assign D_op_rsv18 = D_iw_op == 18; + assign D_op_rsv25 = D_iw_op == 25; + assign D_op_rsv26 = D_iw_op == 26; + assign D_op_rsv33 = D_iw_op == 33; + assign D_op_rsv34 = D_iw_op == 34; + assign D_op_rsv41 = D_iw_op == 41; + assign D_op_rsv42 = D_iw_op == 42; + assign D_op_rsv49 = D_iw_op == 49; + assign D_op_rsv57 = D_iw_op == 57; + assign D_op_rsv61 = D_iw_op == 61; + assign D_op_rsv62 = D_iw_op == 62; + assign D_op_rsv63 = D_iw_op == 63; + assign D_op_eret = D_op_opx & (D_iw_opx == 1); + assign D_op_roli = D_op_opx & (D_iw_opx == 2); + assign D_op_rol = D_op_opx & (D_iw_opx == 3); + assign D_op_flushp = D_op_opx & (D_iw_opx == 4); + assign D_op_ret = D_op_opx & (D_iw_opx == 5); + assign D_op_nor = D_op_opx & (D_iw_opx == 6); + assign D_op_mulxuu = D_op_opx & (D_iw_opx == 7); + assign D_op_cmpge = D_op_opx & (D_iw_opx == 8); + assign D_op_bret = D_op_opx & (D_iw_opx == 9); + assign D_op_ror = D_op_opx & (D_iw_opx == 11); + assign D_op_flushi = D_op_opx & (D_iw_opx == 12); + assign D_op_jmp = D_op_opx & (D_iw_opx == 13); + assign D_op_and = D_op_opx & (D_iw_opx == 14); + assign D_op_cmplt = D_op_opx & (D_iw_opx == 16); + assign D_op_slli = D_op_opx & (D_iw_opx == 18); + assign D_op_sll = D_op_opx & (D_iw_opx == 19); + assign D_op_wrprs = D_op_opx & (D_iw_opx == 20); + assign D_op_or = D_op_opx & (D_iw_opx == 22); + assign D_op_mulxsu = D_op_opx & (D_iw_opx == 23); + assign D_op_cmpne = D_op_opx & (D_iw_opx == 24); + assign D_op_srli = D_op_opx & (D_iw_opx == 26); + assign D_op_srl = D_op_opx & (D_iw_opx == 27); + assign D_op_nextpc = D_op_opx & (D_iw_opx == 28); + assign D_op_callr = D_op_opx & (D_iw_opx == 29); + assign D_op_xor = D_op_opx & (D_iw_opx == 30); + assign D_op_mulxss = D_op_opx & (D_iw_opx == 31); + assign D_op_cmpeq = D_op_opx & (D_iw_opx == 32); + assign D_op_divu = D_op_opx & (D_iw_opx == 36); + assign D_op_div = D_op_opx & (D_iw_opx == 37); + assign D_op_rdctl = D_op_opx & (D_iw_opx == 38); + assign D_op_mul = D_op_opx & (D_iw_opx == 39); + assign D_op_cmpgeu = D_op_opx & (D_iw_opx == 40); + assign D_op_initi = D_op_opx & (D_iw_opx == 41); + assign D_op_trap = D_op_opx & (D_iw_opx == 45); + assign D_op_wrctl = D_op_opx & (D_iw_opx == 46); + assign D_op_cmpltu = D_op_opx & (D_iw_opx == 48); + assign D_op_add = D_op_opx & (D_iw_opx == 49); + assign D_op_break = D_op_opx & (D_iw_opx == 52); + assign D_op_hbreak = D_op_opx & (D_iw_opx == 53); + assign D_op_sync = D_op_opx & (D_iw_opx == 54); + assign D_op_sub = D_op_opx & (D_iw_opx == 57); + assign D_op_srai = D_op_opx & (D_iw_opx == 58); + assign D_op_sra = D_op_opx & (D_iw_opx == 59); + assign D_op_intr = D_op_opx & (D_iw_opx == 61); + assign D_op_crst = D_op_opx & (D_iw_opx == 62); + assign D_op_rsvx00 = D_op_opx & (D_iw_opx == 0); + assign D_op_rsvx10 = D_op_opx & (D_iw_opx == 10); + assign D_op_rsvx15 = D_op_opx & (D_iw_opx == 15); + assign D_op_rsvx17 = D_op_opx & (D_iw_opx == 17); + assign D_op_rsvx21 = D_op_opx & (D_iw_opx == 21); + assign D_op_rsvx25 = D_op_opx & (D_iw_opx == 25); + assign D_op_rsvx33 = D_op_opx & (D_iw_opx == 33); + assign D_op_rsvx34 = D_op_opx & (D_iw_opx == 34); + assign D_op_rsvx35 = D_op_opx & (D_iw_opx == 35); + assign D_op_rsvx42 = D_op_opx & (D_iw_opx == 42); + assign D_op_rsvx43 = D_op_opx & (D_iw_opx == 43); + assign D_op_rsvx44 = D_op_opx & (D_iw_opx == 44); + assign D_op_rsvx47 = D_op_opx & (D_iw_opx == 47); + assign D_op_rsvx50 = D_op_opx & (D_iw_opx == 50); + assign D_op_rsvx51 = D_op_opx & (D_iw_opx == 51); + assign D_op_rsvx55 = D_op_opx & (D_iw_opx == 55); + assign D_op_rsvx56 = D_op_opx & (D_iw_opx == 56); + assign D_op_rsvx60 = D_op_opx & (D_iw_opx == 60); + assign D_op_rsvx63 = D_op_opx & (D_iw_opx == 63); + assign D_op_opx = D_iw_op == 58; + assign D_op_custom = D_iw_op == 50; + assign R_en = 1'b1; + assign E_ci_result = 0; + //custom_instruction_master, which is an e_custom_instruction_master + assign no_ci_readra = 1'b0; + assign E_ci_multi_stall = 1'b0; + assign iactive = d_irq[31 : 0] & 32'b00000000000000000000000000100000; + assign F_pc_sel_nxt = R_ctrl_exception ? 2'b00 : + R_ctrl_break ? 2'b01 : + (W_br_taken | R_ctrl_uncond_cti_non_br) ? 2'b10 : + 2'b11; + + assign F_pc_no_crst_nxt = (F_pc_sel_nxt == 2'b00)? 8 : + (F_pc_sel_nxt == 2'b01)? 66056 : + (F_pc_sel_nxt == 2'b10)? E_arith_result[18 : 2] : + F_pc_plus_one; + + assign F_pc_nxt = F_pc_no_crst_nxt; + assign F_pcb_nxt = {F_pc_nxt, 2'b00}; + assign F_pc_en = W_valid; + assign F_pc_plus_one = F_pc + 1; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + F_pc <= 0; + else if (F_pc_en) + F_pc <= F_pc_nxt; + end + + + assign F_pcb = {F_pc, 2'b00}; + assign F_pcb_plus_four = {F_pc_plus_one, 2'b00}; + assign F_valid = i_read & ~i_waitrequest; + assign i_read_nxt = W_valid | (i_read & i_waitrequest); + assign i_address = {F_pc, 2'b00}; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + i_read <= 1'b1; + else + i_read <= i_read_nxt; + end + + + assign oci_tb_hbreak_req = oci_hbreak_req; + assign hbreak_req = (oci_tb_hbreak_req | hbreak_pending) & hbreak_enabled & ~(wait_for_one_post_bret_inst & ~W_valid); + assign hbreak_pending_nxt = hbreak_pending ? hbreak_enabled + : hbreak_req; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + wait_for_one_post_bret_inst <= 1'b0; + else + wait_for_one_post_bret_inst <= (~hbreak_enabled & oci_single_step_mode) ? 1'b1 : (F_valid | ~oci_single_step_mode) ? 1'b0 : wait_for_one_post_bret_inst; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + hbreak_pending <= 1'b0; + else + hbreak_pending <= hbreak_pending_nxt; + end + + + assign intr_req = W_status_reg_pie & (W_ipending_reg != 0); + assign F_av_iw = i_readdata; + assign F_iw = hbreak_req ? 4040762 : + 1'b0 ? 127034 : + intr_req ? 3926074 : + F_av_iw; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + D_iw <= 0; + else if (F_valid) + D_iw <= F_iw; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + D_valid <= 0; + else + D_valid <= F_valid; + end + + + assign D_dst_regnum = D_ctrl_implicit_dst_retaddr ? 5'd31 : + D_ctrl_implicit_dst_eretaddr ? 5'd29 : + D_ctrl_b_is_dst ? D_iw_b : + D_iw_c; + + assign D_wr_dst_reg = (D_dst_regnum != 0) & ~D_ctrl_ignore_dst; + assign D_logic_op_raw = D_op_opx ? D_iw_opx[4 : 3] : + D_iw_op[4 : 3]; + + assign D_logic_op = D_ctrl_alu_force_xor ? 2'b11 : D_logic_op_raw; + assign D_compare_op = D_op_opx ? D_iw_opx[4 : 3] : + D_iw_op[4 : 3]; + + assign D_jmp_direct_target_waddr = D_iw[31 : 6]; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_valid <= 0; + else + R_valid <= D_valid; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_wr_dst_reg <= 0; + else + R_wr_dst_reg <= D_wr_dst_reg; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_dst_regnum <= 0; + else + R_dst_regnum <= D_dst_regnum; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_logic_op <= 0; + else + R_logic_op <= D_logic_op; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_compare_op <= 0; + else + R_compare_op <= D_compare_op; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_src2_use_imm <= 0; + else + R_src2_use_imm <= D_ctrl_src2_choose_imm | (D_ctrl_br & R_valid); + end + + + assign W_rf_wren = (R_wr_dst_reg & W_valid) | ~reset_n; + assign W_rf_wr_data = R_ctrl_ld ? av_ld_data_aligned_filtered : W_wr_data; +//nios_system_nios2_processor_register_bank_a, which is an nios_sdp_ram +nios_system_nios2_processor_register_bank_a_module nios_system_nios2_processor_register_bank_a + ( + .clock (clk), + .data (W_rf_wr_data), + .q (R_rf_a), + .rdaddress (D_iw_a), + .wraddress (R_dst_regnum), + .wren (W_rf_wren) + ); + +//synthesis translate_off +`ifdef NO_PLI +defparam nios_system_nios2_processor_register_bank_a.lpm_file = "nios_system_nios2_processor_rf_ram_a.dat"; +`else +defparam nios_system_nios2_processor_register_bank_a.lpm_file = "nios_system_nios2_processor_rf_ram_a.hex"; +`endif +//synthesis translate_on +//synthesis read_comments_as_HDL on +//defparam nios_system_nios2_processor_register_bank_a.lpm_file = "nios_system_nios2_processor_rf_ram_a.mif"; +//synthesis read_comments_as_HDL off +//nios_system_nios2_processor_register_bank_b, which is an nios_sdp_ram +nios_system_nios2_processor_register_bank_b_module nios_system_nios2_processor_register_bank_b + ( + .clock (clk), + .data (W_rf_wr_data), + .q (R_rf_b), + .rdaddress (D_iw_b), + .wraddress (R_dst_regnum), + .wren (W_rf_wren) + ); + +//synthesis translate_off +`ifdef NO_PLI +defparam nios_system_nios2_processor_register_bank_b.lpm_file = "nios_system_nios2_processor_rf_ram_b.dat"; +`else +defparam nios_system_nios2_processor_register_bank_b.lpm_file = "nios_system_nios2_processor_rf_ram_b.hex"; +`endif +//synthesis translate_on +//synthesis read_comments_as_HDL on +//defparam nios_system_nios2_processor_register_bank_b.lpm_file = "nios_system_nios2_processor_rf_ram_b.mif"; +//synthesis read_comments_as_HDL off + assign R_src1 = (((R_ctrl_br & E_valid) | (R_ctrl_retaddr & R_valid)))? {F_pc_plus_one, 2'b00} : + ((R_ctrl_jmp_direct & E_valid))? {D_jmp_direct_target_waddr, 2'b00} : + R_rf_a; + + assign R_src2_lo = ((R_ctrl_force_src2_zero|R_ctrl_hi_imm16))? 16'b0 : + (R_src2_use_imm)? D_iw_imm16 : + R_rf_b[15 : 0]; + + assign R_src2_hi = ((R_ctrl_force_src2_zero|R_ctrl_unsigned_lo_imm16))? 16'b0 : + (R_ctrl_hi_imm16)? D_iw_imm16 : + (R_src2_use_imm)? {16 {D_iw_imm16[15]}} : + R_rf_b[31 : 16]; + + assign R_src2 = {R_src2_hi, R_src2_lo}; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_valid <= 0; + else + E_valid <= R_valid | E_stall; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_new_inst <= 0; + else + E_new_inst <= R_valid; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_src1 <= 0; + else + E_src1 <= R_src1; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_src2 <= 0; + else + E_src2 <= R_src2; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_invert_arith_src_msb <= 0; + else + E_invert_arith_src_msb <= D_ctrl_alu_signed_comparison & R_valid; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_alu_sub <= 0; + else + E_alu_sub <= D_ctrl_alu_subtract & R_valid; + end + + + assign E_stall = E_shift_rot_stall | E_ld_stall | E_st_stall | E_ci_multi_stall; + assign E_arith_src1 = { E_src1[31] ^ E_invert_arith_src_msb, + E_src1[30 : 0]}; + + assign E_arith_src2 = { E_src2[31] ^ E_invert_arith_src_msb, + E_src2[30 : 0]}; + + assign E_arith_result = E_alu_sub ? + E_arith_src1 - E_arith_src2 : + E_arith_src1 + E_arith_src2; + + assign E_mem_baddr = E_arith_result[18 : 0]; + assign E_logic_result = (R_logic_op == 2'b00)? (~(E_src1 | E_src2)) : + (R_logic_op == 2'b01)? (E_src1 & E_src2) : + (R_logic_op == 2'b10)? (E_src1 | E_src2) : + (E_src1 ^ E_src2); + + assign E_logic_result_is_0 = E_logic_result == 0; + assign E_eq = E_logic_result_is_0; + assign E_lt = E_arith_result[32]; + assign E_cmp_result = (R_compare_op == 2'b00)? E_eq : + (R_compare_op == 2'b01)? ~E_lt : + (R_compare_op == 2'b10)? E_lt : + ~E_eq; + + assign E_shift_rot_cnt_nxt = E_new_inst ? E_src2[4 : 0] : E_shift_rot_cnt-1; + assign E_shift_rot_done = (E_shift_rot_cnt == 0) & ~E_new_inst; + assign E_shift_rot_stall = R_ctrl_shift_rot & E_valid & ~E_shift_rot_done; + assign E_shift_rot_fill_bit = R_ctrl_shift_logical ? 1'b0 : + (R_ctrl_rot_right ? E_shift_rot_result[0] : + E_shift_rot_result[31]); + + assign E_shift_rot_result_nxt = (E_new_inst)? E_src1 : + (R_ctrl_shift_rot_right)? {E_shift_rot_fill_bit, E_shift_rot_result[31 : 1]} : + {E_shift_rot_result[30 : 0], E_shift_rot_fill_bit}; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_shift_rot_result <= 0; + else + E_shift_rot_result <= E_shift_rot_result_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_shift_rot_cnt <= 0; + else + E_shift_rot_cnt <= E_shift_rot_cnt_nxt; + end + + + assign E_control_rd_data = (D_iw_control_regnum == 3'd0)? W_status_reg : + (D_iw_control_regnum == 3'd1)? W_estatus_reg : + (D_iw_control_regnum == 3'd2)? W_bstatus_reg : + (D_iw_control_regnum == 3'd3)? W_ienable_reg : + (D_iw_control_regnum == 3'd4)? W_ipending_reg : + 0; + + assign E_alu_result = ((R_ctrl_br_cmp | R_ctrl_rdctl_inst))? 0 : + (R_ctrl_shift_rot)? E_shift_rot_result : + (R_ctrl_logic)? E_logic_result : + (R_ctrl_custom)? E_ci_result : + E_arith_result; + + assign R_stb_data = R_rf_b[7 : 0]; + assign R_sth_data = R_rf_b[15 : 0]; + assign E_st_data = (D_mem8)? {R_stb_data, R_stb_data, R_stb_data, R_stb_data} : + (D_mem16)? {R_sth_data, R_sth_data} : + R_rf_b; + + assign E_mem_byte_en = ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b00})? 4'b0001 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b01})? 4'b0010 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b10})? 4'b0100 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b11})? 4'b1000 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b00})? 4'b0011 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b01})? 4'b0011 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b10})? 4'b1100 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b11})? 4'b1100 : + 4'b1111; + + assign d_read_nxt = (R_ctrl_ld & E_new_inst) | (d_read & d_waitrequest); + assign E_ld_stall = R_ctrl_ld & ((E_valid & ~av_ld_done) | E_new_inst); + assign d_write_nxt = (R_ctrl_st & E_new_inst) | (d_write & d_waitrequest); + assign E_st_stall = d_write_nxt; + assign d_address = W_mem_baddr; + assign av_ld_getting_data = d_read & ~d_waitrequest; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_read <= 0; + else + d_read <= d_read_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_writedata <= 0; + else + d_writedata <= E_st_data; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_byteenable <= 0; + else + d_byteenable <= E_mem_byte_en; + end + + + assign av_ld_align_cycle_nxt = av_ld_getting_data ? 0 : (av_ld_align_cycle+1); + assign av_ld_align_one_more_cycle = av_ld_align_cycle == (D_mem16 ? 2 : 3); + assign av_ld_aligning_data_nxt = av_ld_aligning_data ? + ~av_ld_align_one_more_cycle : + (~D_mem32 & av_ld_getting_data); + + assign av_ld_waiting_for_data_nxt = av_ld_waiting_for_data ? + ~av_ld_getting_data : + (R_ctrl_ld & E_new_inst); + + assign av_ld_done = ~av_ld_waiting_for_data_nxt & (D_mem32 | ~av_ld_aligning_data_nxt); + assign av_ld_rshift8 = av_ld_aligning_data & + (av_ld_align_cycle < (W_mem_baddr[1 : 0])); + + assign av_ld_extend = av_ld_aligning_data; + assign av_ld_byte0_data_nxt = av_ld_rshift8 ? av_ld_byte1_data : + av_ld_extend ? av_ld_byte0_data : + d_readdata[7 : 0]; + + assign av_ld_byte1_data_nxt = av_ld_rshift8 ? av_ld_byte2_data : + av_ld_extend ? {8 {av_fill_bit}} : + d_readdata[15 : 8]; + + assign av_ld_byte2_data_nxt = av_ld_rshift8 ? av_ld_byte3_data : + av_ld_extend ? {8 {av_fill_bit}} : + d_readdata[23 : 16]; + + assign av_ld_byte3_data_nxt = av_ld_rshift8 ? av_ld_byte3_data : + av_ld_extend ? {8 {av_fill_bit}} : + d_readdata[31 : 24]; + + assign av_ld_byte1_data_en = ~(av_ld_extend & D_mem16 & ~av_ld_rshift8); + assign av_ld_data_aligned_unfiltered = {av_ld_byte3_data, av_ld_byte2_data, + av_ld_byte1_data, av_ld_byte0_data}; + + assign av_sign_bit = D_mem16 ? av_ld_byte1_data[7] : av_ld_byte0_data[7]; + assign av_fill_bit = av_sign_bit & R_ctrl_ld_signed; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_align_cycle <= 0; + else + av_ld_align_cycle <= av_ld_align_cycle_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_waiting_for_data <= 0; + else + av_ld_waiting_for_data <= av_ld_waiting_for_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_aligning_data <= 0; + else + av_ld_aligning_data <= av_ld_aligning_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte0_data <= 0; + else + av_ld_byte0_data <= av_ld_byte0_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte1_data <= 0; + else if (av_ld_byte1_data_en) + av_ld_byte1_data <= av_ld_byte1_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte2_data <= 0; + else + av_ld_byte2_data <= av_ld_byte2_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte3_data <= 0; + else + av_ld_byte3_data <= av_ld_byte3_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_valid <= 0; + else + W_valid <= E_valid & ~E_stall; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_control_rd_data <= 0; + else + W_control_rd_data <= E_control_rd_data; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_cmp_result <= 0; + else + W_cmp_result <= E_cmp_result; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_alu_result <= 0; + else + W_alu_result <= E_alu_result; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_status_reg_pie <= 0; + else + W_status_reg_pie <= W_status_reg_pie_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_estatus_reg <= 0; + else + W_estatus_reg <= W_estatus_reg_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_bstatus_reg <= 0; + else + W_bstatus_reg <= W_bstatus_reg_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_ienable_reg <= 0; + else + W_ienable_reg <= W_ienable_reg_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_ipending_reg <= 0; + else + W_ipending_reg <= W_ipending_reg_nxt; + end + + + assign W_wr_data_non_zero = R_ctrl_br_cmp ? W_cmp_result : + R_ctrl_rdctl_inst ? W_control_rd_data : + W_alu_result[31 : 0]; + + assign W_wr_data = W_wr_data_non_zero; + assign W_br_taken = R_ctrl_br & W_cmp_result; + assign W_mem_baddr = W_alu_result[18 : 0]; + assign W_status_reg = W_status_reg_pie; + assign E_wrctl_status = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd0); + + assign E_wrctl_estatus = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd1); + + assign E_wrctl_bstatus = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd2); + + assign E_wrctl_ienable = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd3); + + assign W_status_reg_pie_inst_nxt = (R_ctrl_exception | R_ctrl_break | R_ctrl_crst) ? 1'b0 : + (D_op_eret) ? W_estatus_reg : + (D_op_bret) ? W_bstatus_reg : + (E_wrctl_status) ? E_src1[0] : + W_status_reg_pie; + + assign W_status_reg_pie_nxt = E_valid ? W_status_reg_pie_inst_nxt : W_status_reg_pie; + assign W_estatus_reg_inst_nxt = (R_ctrl_crst) ? 0 : + (R_ctrl_exception) ? W_status_reg : + (E_wrctl_estatus) ? E_src1[0] : + W_estatus_reg; + + assign W_estatus_reg_nxt = E_valid ? W_estatus_reg_inst_nxt : W_estatus_reg; + assign W_bstatus_reg_inst_nxt = (R_ctrl_break) ? W_status_reg : + (E_wrctl_bstatus) ? E_src1[0] : + W_bstatus_reg; + + assign W_bstatus_reg_nxt = E_valid ? W_bstatus_reg_inst_nxt : W_bstatus_reg; + assign W_ienable_reg_nxt = ((E_wrctl_ienable & E_valid) ? + E_src1[31 : 0] : W_ienable_reg) & 32'b00000000000000000000000000100000; + + assign W_ipending_reg_nxt = iactive & W_ienable_reg & oci_ienable & 32'b00000000000000000000000000100000; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + hbreak_enabled <= 1'b1; + else if (E_valid) + hbreak_enabled <= R_ctrl_break ? 1'b0 : D_op_bret ? 1'b1 : hbreak_enabled; + end + + + nios_system_nios2_processor_nios2_oci the_nios_system_nios2_processor_nios2_oci + ( + .D_valid (D_valid), + .E_st_data (E_st_data), + .E_valid (E_valid), + .F_pc (F_pc), + .address_nxt (jtag_debug_module_address), + .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered), + .byteenable_nxt (jtag_debug_module_byteenable), + .clk (jtag_debug_module_clk), + .d_address (d_address), + .d_read (d_read), + .d_waitrequest (d_waitrequest), + .d_write (d_write), + .debugaccess_nxt (jtag_debug_module_debugaccess), + .hbreak_enabled (hbreak_enabled), + .jtag_debug_module_debugaccess_to_roms (jtag_debug_module_debugaccess_to_roms), + .oci_hbreak_req (oci_hbreak_req), + .oci_ienable (oci_ienable), + .oci_single_step_mode (oci_single_step_mode), + .read_nxt (jtag_debug_module_read), + .readdata (jtag_debug_module_readdata), + .reset (jtag_debug_module_reset), + .reset_n (reset_n), + .resetrequest (jtag_debug_module_resetrequest), + .test_ending (test_ending), + .test_has_ended (test_has_ended), + .waitrequest (jtag_debug_module_waitrequest), + .write_nxt (jtag_debug_module_write), + .writedata_nxt (jtag_debug_module_writedata) + ); + + //jtag_debug_module, which is an e_avalon_slave + assign jtag_debug_module_clk = clk; + assign jtag_debug_module_reset = ~reset_n; + assign D_ctrl_custom = 1'b0; + assign R_ctrl_custom_nxt = D_ctrl_custom; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_custom <= 0; + else if (R_en) + R_ctrl_custom <= R_ctrl_custom_nxt; + end + + + assign D_ctrl_custom_multi = 1'b0; + assign R_ctrl_custom_multi_nxt = D_ctrl_custom_multi; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_custom_multi <= 0; + else if (R_en) + R_ctrl_custom_multi <= R_ctrl_custom_multi_nxt; + end + + + assign D_ctrl_jmp_indirect = D_op_eret| + D_op_bret| + D_op_rsvx17| + D_op_rsvx25| + D_op_ret| + D_op_jmp| + D_op_rsvx21| + D_op_callr; + + assign R_ctrl_jmp_indirect_nxt = D_ctrl_jmp_indirect; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_jmp_indirect <= 0; + else if (R_en) + R_ctrl_jmp_indirect <= R_ctrl_jmp_indirect_nxt; + end + + + assign D_ctrl_jmp_direct = D_op_call|D_op_jmpi; + assign R_ctrl_jmp_direct_nxt = D_ctrl_jmp_direct; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_jmp_direct <= 0; + else if (R_en) + R_ctrl_jmp_direct <= R_ctrl_jmp_direct_nxt; + end + + + assign D_ctrl_implicit_dst_retaddr = D_op_call|D_op_rsv02; + assign R_ctrl_implicit_dst_retaddr_nxt = D_ctrl_implicit_dst_retaddr; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_implicit_dst_retaddr <= 0; + else if (R_en) + R_ctrl_implicit_dst_retaddr <= R_ctrl_implicit_dst_retaddr_nxt; + end + + + assign D_ctrl_implicit_dst_eretaddr = D_op_div|D_op_divu|D_op_mul|D_op_muli|D_op_mulxss|D_op_mulxsu|D_op_mulxuu; + assign R_ctrl_implicit_dst_eretaddr_nxt = D_ctrl_implicit_dst_eretaddr; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_implicit_dst_eretaddr <= 0; + else if (R_en) + R_ctrl_implicit_dst_eretaddr <= R_ctrl_implicit_dst_eretaddr_nxt; + end + + + assign D_ctrl_exception = D_op_trap| + D_op_rsvx44| + D_op_div| + D_op_divu| + D_op_mul| + D_op_muli| + D_op_mulxss| + D_op_mulxsu| + D_op_mulxuu| + D_op_intr| + D_op_rsvx60; + + assign R_ctrl_exception_nxt = D_ctrl_exception; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_exception <= 0; + else if (R_en) + R_ctrl_exception <= R_ctrl_exception_nxt; + end + + + assign D_ctrl_break = D_op_break|D_op_hbreak; + assign R_ctrl_break_nxt = D_ctrl_break; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_break <= 0; + else if (R_en) + R_ctrl_break <= R_ctrl_break_nxt; + end + + + assign D_ctrl_crst = D_op_crst|D_op_rsvx63; + assign R_ctrl_crst_nxt = D_ctrl_crst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_crst <= 0; + else if (R_en) + R_ctrl_crst <= R_ctrl_crst_nxt; + end + + + assign D_ctrl_uncond_cti_non_br = D_op_call| + D_op_jmpi| + D_op_eret| + D_op_bret| + D_op_rsvx17| + D_op_rsvx25| + D_op_ret| + D_op_jmp| + D_op_rsvx21| + D_op_callr; + + assign R_ctrl_uncond_cti_non_br_nxt = D_ctrl_uncond_cti_non_br; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_uncond_cti_non_br <= 0; + else if (R_en) + R_ctrl_uncond_cti_non_br <= R_ctrl_uncond_cti_non_br_nxt; + end + + + assign D_ctrl_retaddr = D_op_call| + D_op_rsv02| + D_op_nextpc| + D_op_callr| + D_op_trap| + D_op_rsvx44| + D_op_div| + D_op_divu| + D_op_mul| + D_op_muli| + D_op_mulxss| + D_op_mulxsu| + D_op_mulxuu| + D_op_intr| + D_op_rsvx60| + D_op_break| + D_op_hbreak; + + assign R_ctrl_retaddr_nxt = D_ctrl_retaddr; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_retaddr <= 0; + else if (R_en) + R_ctrl_retaddr <= R_ctrl_retaddr_nxt; + end + + + assign D_ctrl_shift_logical = D_op_slli|D_op_sll|D_op_srli|D_op_srl; + assign R_ctrl_shift_logical_nxt = D_ctrl_shift_logical; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_logical <= 0; + else if (R_en) + R_ctrl_shift_logical <= R_ctrl_shift_logical_nxt; + end + + + assign D_ctrl_shift_right_arith = D_op_srai|D_op_sra; + assign R_ctrl_shift_right_arith_nxt = D_ctrl_shift_right_arith; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_right_arith <= 0; + else if (R_en) + R_ctrl_shift_right_arith <= R_ctrl_shift_right_arith_nxt; + end + + + assign D_ctrl_rot_right = D_op_rsvx10|D_op_ror|D_op_rsvx42|D_op_rsvx43; + assign R_ctrl_rot_right_nxt = D_ctrl_rot_right; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_rot_right <= 0; + else if (R_en) + R_ctrl_rot_right <= R_ctrl_rot_right_nxt; + end + + + assign D_ctrl_shift_rot_right = D_op_srli| + D_op_srl| + D_op_srai| + D_op_sra| + D_op_rsvx10| + D_op_ror| + D_op_rsvx42| + D_op_rsvx43; + + assign R_ctrl_shift_rot_right_nxt = D_ctrl_shift_rot_right; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_rot_right <= 0; + else if (R_en) + R_ctrl_shift_rot_right <= R_ctrl_shift_rot_right_nxt; + end + + + assign D_ctrl_shift_rot = D_op_slli| + D_op_rsvx50| + D_op_sll| + D_op_rsvx51| + D_op_roli| + D_op_rsvx34| + D_op_rol| + D_op_rsvx35| + D_op_srli| + D_op_srl| + D_op_srai| + D_op_sra| + D_op_rsvx10| + D_op_ror| + D_op_rsvx42| + D_op_rsvx43; + + assign R_ctrl_shift_rot_nxt = D_ctrl_shift_rot; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_rot <= 0; + else if (R_en) + R_ctrl_shift_rot <= R_ctrl_shift_rot_nxt; + end + + + assign D_ctrl_logic = D_op_and| + D_op_or| + D_op_xor| + D_op_nor| + D_op_andhi| + D_op_orhi| + D_op_xorhi| + D_op_andi| + D_op_ori| + D_op_xori; + + assign R_ctrl_logic_nxt = D_ctrl_logic; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_logic <= 0; + else if (R_en) + R_ctrl_logic <= R_ctrl_logic_nxt; + end + + + assign D_ctrl_hi_imm16 = D_op_andhi|D_op_orhi|D_op_xorhi; + assign R_ctrl_hi_imm16_nxt = D_ctrl_hi_imm16; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_hi_imm16 <= 0; + else if (R_en) + R_ctrl_hi_imm16 <= R_ctrl_hi_imm16_nxt; + end + + + assign D_ctrl_unsigned_lo_imm16 = D_op_cmpgeui| + D_op_cmpltui| + D_op_andi| + D_op_ori| + D_op_xori| + D_op_roli| + D_op_rsvx10| + D_op_slli| + D_op_srli| + D_op_rsvx34| + D_op_rsvx42| + D_op_rsvx50| + D_op_srai; + + assign R_ctrl_unsigned_lo_imm16_nxt = D_ctrl_unsigned_lo_imm16; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_unsigned_lo_imm16 <= 0; + else if (R_en) + R_ctrl_unsigned_lo_imm16 <= R_ctrl_unsigned_lo_imm16_nxt; + end + + + assign D_ctrl_br_uncond = D_op_br|D_op_rsv02; + assign R_ctrl_br_uncond_nxt = D_ctrl_br_uncond; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_br_uncond <= 0; + else if (R_en) + R_ctrl_br_uncond <= R_ctrl_br_uncond_nxt; + end + + + assign D_ctrl_br = D_op_br| + D_op_bge| + D_op_blt| + D_op_bne| + D_op_beq| + D_op_bgeu| + D_op_bltu| + D_op_rsv62; + + assign R_ctrl_br_nxt = D_ctrl_br; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_br <= 0; + else if (R_en) + R_ctrl_br <= R_ctrl_br_nxt; + end + + + assign D_ctrl_alu_subtract = D_op_sub| + D_op_rsvx25| + D_op_cmplti| + D_op_cmpltui| + D_op_cmplt| + D_op_cmpltu| + D_op_blt| + D_op_bltu| + D_op_cmpgei| + D_op_cmpgeui| + D_op_cmpge| + D_op_cmpgeu| + D_op_bge| + D_op_rsv10| + D_op_bgeu| + D_op_rsv42; + + assign R_ctrl_alu_subtract_nxt = D_ctrl_alu_subtract; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_alu_subtract <= 0; + else if (R_en) + R_ctrl_alu_subtract <= R_ctrl_alu_subtract_nxt; + end + + + assign D_ctrl_alu_signed_comparison = D_op_cmpge|D_op_cmpgei|D_op_cmplt|D_op_cmplti|D_op_bge|D_op_blt; + assign R_ctrl_alu_signed_comparison_nxt = D_ctrl_alu_signed_comparison; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_alu_signed_comparison <= 0; + else if (R_en) + R_ctrl_alu_signed_comparison <= R_ctrl_alu_signed_comparison_nxt; + end + + + assign D_ctrl_br_cmp = D_op_br| + D_op_bge| + D_op_blt| + D_op_bne| + D_op_beq| + D_op_bgeu| + D_op_bltu| + D_op_rsv62| + D_op_cmpgei| + D_op_cmplti| + D_op_cmpnei| + D_op_cmpgeui| + D_op_cmpltui| + D_op_cmpeqi| + D_op_rsvx00| + D_op_cmpge| + D_op_cmplt| + D_op_cmpne| + D_op_cmpgeu| + D_op_cmpltu| + D_op_cmpeq| + D_op_rsvx56; + + assign R_ctrl_br_cmp_nxt = D_ctrl_br_cmp; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_br_cmp <= 0; + else if (R_en) + R_ctrl_br_cmp <= R_ctrl_br_cmp_nxt; + end + + + assign D_ctrl_ld_signed = D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63; + + assign R_ctrl_ld_signed_nxt = D_ctrl_ld_signed; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld_signed <= 0; + else if (R_en) + R_ctrl_ld_signed <= R_ctrl_ld_signed_nxt; + end + + + assign D_ctrl_ld = D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63| + D_op_ldbu| + D_op_ldhu| + D_op_ldbuio| + D_op_ldhuio; + + assign R_ctrl_ld_nxt = D_ctrl_ld; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld <= 0; + else if (R_en) + R_ctrl_ld <= R_ctrl_ld_nxt; + end + + + assign D_ctrl_ld_non_io = D_op_ldbu|D_op_ldhu|D_op_ldb|D_op_ldh|D_op_ldw|D_op_ldl; + assign R_ctrl_ld_non_io_nxt = D_ctrl_ld_non_io; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld_non_io <= 0; + else if (R_en) + R_ctrl_ld_non_io <= R_ctrl_ld_non_io_nxt; + end + + + assign D_ctrl_st = D_op_stb| + D_op_sth| + D_op_stw| + D_op_stc| + D_op_stbio| + D_op_sthio| + D_op_stwio| + D_op_rsv61; + + assign R_ctrl_st_nxt = D_ctrl_st; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_st <= 0; + else if (R_en) + R_ctrl_st <= R_ctrl_st_nxt; + end + + + assign D_ctrl_ld_io = D_op_ldbuio|D_op_ldhuio|D_op_ldbio|D_op_ldhio|D_op_ldwio|D_op_rsv63; + assign R_ctrl_ld_io_nxt = D_ctrl_ld_io; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld_io <= 0; + else if (R_en) + R_ctrl_ld_io <= R_ctrl_ld_io_nxt; + end + + + assign D_ctrl_b_is_dst = D_op_addi| + D_op_andhi| + D_op_orhi| + D_op_xorhi| + D_op_andi| + D_op_ori| + D_op_xori| + D_op_call| + D_op_rdprs| + D_op_cmpgei| + D_op_cmplti| + D_op_cmpnei| + D_op_cmpgeui| + D_op_cmpltui| + D_op_cmpeqi| + D_op_jmpi| + D_op_rsv09| + D_op_rsv17| + D_op_rsv25| + D_op_rsv33| + D_op_rsv41| + D_op_rsv49| + D_op_rsv57| + D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63| + D_op_ldbu| + D_op_ldhu| + D_op_ldbuio| + D_op_ldhuio| + D_op_initd| + D_op_initda| + D_op_flushd| + D_op_flushda; + + assign R_ctrl_b_is_dst_nxt = D_ctrl_b_is_dst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_b_is_dst <= 0; + else if (R_en) + R_ctrl_b_is_dst <= R_ctrl_b_is_dst_nxt; + end + + + assign D_ctrl_ignore_dst = D_op_br| + D_op_bge| + D_op_blt| + D_op_bne| + D_op_beq| + D_op_bgeu| + D_op_bltu| + D_op_rsv62| + D_op_stb| + D_op_sth| + D_op_stw| + D_op_stc| + D_op_stbio| + D_op_sthio| + D_op_stwio| + D_op_rsv61| + D_op_jmpi| + D_op_rsv09| + D_op_rsv17| + D_op_rsv25| + D_op_rsv33| + D_op_rsv41| + D_op_rsv49| + D_op_rsv57; + + assign R_ctrl_ignore_dst_nxt = D_ctrl_ignore_dst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ignore_dst <= 0; + else if (R_en) + R_ctrl_ignore_dst <= R_ctrl_ignore_dst_nxt; + end + + + assign D_ctrl_src2_choose_imm = D_op_addi| + D_op_andhi| + D_op_orhi| + D_op_xorhi| + D_op_andi| + D_op_ori| + D_op_xori| + D_op_call| + D_op_rdprs| + D_op_cmpgei| + D_op_cmplti| + D_op_cmpnei| + D_op_cmpgeui| + D_op_cmpltui| + D_op_cmpeqi| + D_op_jmpi| + D_op_rsv09| + D_op_rsv17| + D_op_rsv25| + D_op_rsv33| + D_op_rsv41| + D_op_rsv49| + D_op_rsv57| + D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63| + D_op_ldbu| + D_op_ldhu| + D_op_ldbuio| + D_op_ldhuio| + D_op_initd| + D_op_initda| + D_op_flushd| + D_op_flushda| + D_op_stb| + D_op_sth| + D_op_stw| + D_op_stc| + D_op_stbio| + D_op_sthio| + D_op_stwio| + D_op_rsv61| + D_op_roli| + D_op_rsvx10| + D_op_slli| + D_op_srli| + D_op_rsvx34| + D_op_rsvx42| + D_op_rsvx50| + D_op_srai; + + assign R_ctrl_src2_choose_imm_nxt = D_ctrl_src2_choose_imm; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_src2_choose_imm <= 0; + else if (R_en) + R_ctrl_src2_choose_imm <= R_ctrl_src2_choose_imm_nxt; + end + + + assign D_ctrl_wrctl_inst = D_op_wrctl; + assign R_ctrl_wrctl_inst_nxt = D_ctrl_wrctl_inst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_wrctl_inst <= 0; + else if (R_en) + R_ctrl_wrctl_inst <= R_ctrl_wrctl_inst_nxt; + end + + + assign D_ctrl_rdctl_inst = D_op_rdctl; + assign R_ctrl_rdctl_inst_nxt = D_ctrl_rdctl_inst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_rdctl_inst <= 0; + else if (R_en) + R_ctrl_rdctl_inst <= R_ctrl_rdctl_inst_nxt; + end + + + assign D_ctrl_force_src2_zero = D_op_call| + D_op_rsv02| + D_op_nextpc| + D_op_callr| + D_op_trap| + D_op_rsvx44| + D_op_intr| + D_op_rsvx60| + D_op_break| + D_op_hbreak| + D_op_eret| + D_op_bret| + D_op_rsvx17| + D_op_rsvx25| + D_op_ret| + D_op_jmp| + D_op_rsvx21| + D_op_jmpi; + + assign R_ctrl_force_src2_zero_nxt = D_ctrl_force_src2_zero; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_force_src2_zero <= 0; + else if (R_en) + R_ctrl_force_src2_zero <= R_ctrl_force_src2_zero_nxt; + end + + + assign D_ctrl_alu_force_xor = D_op_cmpgei| + D_op_cmpgeui| + D_op_cmpeqi| + D_op_cmpge| + D_op_cmpgeu| + D_op_cmpeq| + D_op_cmpnei| + D_op_cmpne| + D_op_bge| + D_op_rsv10| + D_op_bgeu| + D_op_rsv42| + D_op_beq| + D_op_rsv34| + D_op_bne| + D_op_rsv62| + D_op_br| + D_op_rsv02; + + assign R_ctrl_alu_force_xor_nxt = D_ctrl_alu_force_xor; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_alu_force_xor <= 0; + else if (R_en) + R_ctrl_alu_force_xor <= R_ctrl_alu_force_xor_nxt; + end + + + //data_master, which is an e_avalon_master + //instruction_master, which is an e_avalon_master + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + assign F_inst = (F_op_call)? 56'h20202063616c6c : + (F_op_jmpi)? 56'h2020206a6d7069 : + (F_op_ldbu)? 56'h2020206c646275 : + (F_op_addi)? 56'h20202061646469 : + (F_op_stb)? 56'h20202020737462 : + (F_op_br)? 56'h20202020206272 : + (F_op_ldb)? 56'h202020206c6462 : + (F_op_cmpgei)? 56'h20636d70676569 : + (F_op_ldhu)? 56'h2020206c646875 : + (F_op_andi)? 56'h202020616e6469 : + (F_op_sth)? 56'h20202020737468 : + (F_op_bge)? 56'h20202020626765 : + (F_op_ldh)? 56'h202020206c6468 : + (F_op_cmplti)? 56'h20636d706c7469 : + (F_op_initda)? 56'h20696e69746461 : + (F_op_ori)? 56'h202020206f7269 : + (F_op_stw)? 56'h20202020737477 : + (F_op_blt)? 56'h20202020626c74 : + (F_op_ldw)? 56'h202020206c6477 : + (F_op_cmpnei)? 56'h20636d706e6569 : + (F_op_flushda)? 56'h666c7573686461 : + (F_op_xori)? 56'h202020786f7269 : + (F_op_bne)? 56'h20202020626e65 : + (F_op_cmpeqi)? 56'h20636d70657169 : + (F_op_ldbuio)? 56'h206c646275696f : + (F_op_muli)? 56'h2020206d756c69 : + (F_op_stbio)? 56'h2020737462696f : + (F_op_beq)? 56'h20202020626571 : + (F_op_ldbio)? 56'h20206c6462696f : + (F_op_cmpgeui)? 56'h636d7067657569 : + (F_op_ldhuio)? 56'h206c646875696f : + (F_op_andhi)? 56'h2020616e646869 : + (F_op_sthio)? 56'h2020737468696f : + (F_op_bgeu)? 56'h20202062676575 : + (F_op_ldhio)? 56'h20206c6468696f : + (F_op_cmpltui)? 56'h636d706c747569 : + (F_op_initd)? 56'h2020696e697464 : + (F_op_orhi)? 56'h2020206f726869 : + (F_op_stwio)? 56'h2020737477696f : + (F_op_bltu)? 56'h202020626c7475 : + (F_op_ldwio)? 56'h20206c6477696f : + (F_op_flushd)? 56'h20666c75736864 : + (F_op_xorhi)? 56'h2020786f726869 : + (F_op_eret)? 56'h20202065726574 : + (F_op_roli)? 56'h202020726f6c69 : + (F_op_rol)? 56'h20202020726f6c : + (F_op_flushp)? 56'h20666c75736870 : + (F_op_ret)? 56'h20202020726574 : + (F_op_nor)? 56'h202020206e6f72 : + (F_op_mulxuu)? 56'h206d756c787575 : + (F_op_cmpge)? 56'h2020636d706765 : + (F_op_bret)? 56'h20202062726574 : + (F_op_ror)? 56'h20202020726f72 : + (F_op_flushi)? 56'h20666c75736869 : + (F_op_jmp)? 56'h202020206a6d70 : + (F_op_and)? 56'h20202020616e64 : + (F_op_cmplt)? 56'h2020636d706c74 : + (F_op_slli)? 56'h202020736c6c69 : + (F_op_sll)? 56'h20202020736c6c : + (F_op_or)? 56'h20202020206f72 : + (F_op_mulxsu)? 56'h206d756c787375 : + (F_op_cmpne)? 56'h2020636d706e65 : + (F_op_srli)? 56'h20202073726c69 : + (F_op_srl)? 56'h2020202073726c : + (F_op_nextpc)? 56'h206e6578747063 : + (F_op_callr)? 56'h202063616c6c72 : + (F_op_xor)? 56'h20202020786f72 : + (F_op_mulxss)? 56'h206d756c787373 : + (F_op_cmpeq)? 56'h2020636d706571 : + (F_op_divu)? 56'h20202064697675 : + (F_op_div)? 56'h20202020646976 : + (F_op_rdctl)? 56'h2020726463746c : + (F_op_mul)? 56'h202020206d756c : + (F_op_cmpgeu)? 56'h20636d70676575 : + (F_op_initi)? 56'h2020696e697469 : + (F_op_trap)? 56'h20202074726170 : + (F_op_wrctl)? 56'h2020777263746c : + (F_op_cmpltu)? 56'h20636d706c7475 : + (F_op_add)? 56'h20202020616464 : + (F_op_break)? 56'h2020627265616b : + (F_op_hbreak)? 56'h2068627265616b : + (F_op_sync)? 56'h20202073796e63 : + (F_op_sub)? 56'h20202020737562 : + (F_op_srai)? 56'h20202073726169 : + (F_op_sra)? 56'h20202020737261 : + (F_op_intr)? 56'h202020696e7472 : + 56'h20202020424144; + + assign D_inst = (D_op_call)? 56'h20202063616c6c : + (D_op_jmpi)? 56'h2020206a6d7069 : + (D_op_ldbu)? 56'h2020206c646275 : + (D_op_addi)? 56'h20202061646469 : + (D_op_stb)? 56'h20202020737462 : + (D_op_br)? 56'h20202020206272 : + (D_op_ldb)? 56'h202020206c6462 : + (D_op_cmpgei)? 56'h20636d70676569 : + (D_op_ldhu)? 56'h2020206c646875 : + (D_op_andi)? 56'h202020616e6469 : + (D_op_sth)? 56'h20202020737468 : + (D_op_bge)? 56'h20202020626765 : + (D_op_ldh)? 56'h202020206c6468 : + (D_op_cmplti)? 56'h20636d706c7469 : + (D_op_initda)? 56'h20696e69746461 : + (D_op_ori)? 56'h202020206f7269 : + (D_op_stw)? 56'h20202020737477 : + (D_op_blt)? 56'h20202020626c74 : + (D_op_ldw)? 56'h202020206c6477 : + (D_op_cmpnei)? 56'h20636d706e6569 : + (D_op_flushda)? 56'h666c7573686461 : + (D_op_xori)? 56'h202020786f7269 : + (D_op_bne)? 56'h20202020626e65 : + (D_op_cmpeqi)? 56'h20636d70657169 : + (D_op_ldbuio)? 56'h206c646275696f : + (D_op_muli)? 56'h2020206d756c69 : + (D_op_stbio)? 56'h2020737462696f : + (D_op_beq)? 56'h20202020626571 : + (D_op_ldbio)? 56'h20206c6462696f : + (D_op_cmpgeui)? 56'h636d7067657569 : + (D_op_ldhuio)? 56'h206c646875696f : + (D_op_andhi)? 56'h2020616e646869 : + (D_op_sthio)? 56'h2020737468696f : + (D_op_bgeu)? 56'h20202062676575 : + (D_op_ldhio)? 56'h20206c6468696f : + (D_op_cmpltui)? 56'h636d706c747569 : + (D_op_initd)? 56'h2020696e697464 : + (D_op_orhi)? 56'h2020206f726869 : + (D_op_stwio)? 56'h2020737477696f : + (D_op_bltu)? 56'h202020626c7475 : + (D_op_ldwio)? 56'h20206c6477696f : + (D_op_flushd)? 56'h20666c75736864 : + (D_op_xorhi)? 56'h2020786f726869 : + (D_op_eret)? 56'h20202065726574 : + (D_op_roli)? 56'h202020726f6c69 : + (D_op_rol)? 56'h20202020726f6c : + (D_op_flushp)? 56'h20666c75736870 : + (D_op_ret)? 56'h20202020726574 : + (D_op_nor)? 56'h202020206e6f72 : + (D_op_mulxuu)? 56'h206d756c787575 : + (D_op_cmpge)? 56'h2020636d706765 : + (D_op_bret)? 56'h20202062726574 : + (D_op_ror)? 56'h20202020726f72 : + (D_op_flushi)? 56'h20666c75736869 : + (D_op_jmp)? 56'h202020206a6d70 : + (D_op_and)? 56'h20202020616e64 : + (D_op_cmplt)? 56'h2020636d706c74 : + (D_op_slli)? 56'h202020736c6c69 : + (D_op_sll)? 56'h20202020736c6c : + (D_op_or)? 56'h20202020206f72 : + (D_op_mulxsu)? 56'h206d756c787375 : + (D_op_cmpne)? 56'h2020636d706e65 : + (D_op_srli)? 56'h20202073726c69 : + (D_op_srl)? 56'h2020202073726c : + (D_op_nextpc)? 56'h206e6578747063 : + (D_op_callr)? 56'h202063616c6c72 : + (D_op_xor)? 56'h20202020786f72 : + (D_op_mulxss)? 56'h206d756c787373 : + (D_op_cmpeq)? 56'h2020636d706571 : + (D_op_divu)? 56'h20202064697675 : + (D_op_div)? 56'h20202020646976 : + (D_op_rdctl)? 56'h2020726463746c : + (D_op_mul)? 56'h202020206d756c : + (D_op_cmpgeu)? 56'h20636d70676575 : + (D_op_initi)? 56'h2020696e697469 : + (D_op_trap)? 56'h20202074726170 : + (D_op_wrctl)? 56'h2020777263746c : + (D_op_cmpltu)? 56'h20636d706c7475 : + (D_op_add)? 56'h20202020616464 : + (D_op_break)? 56'h2020627265616b : + (D_op_hbreak)? 56'h2068627265616b : + (D_op_sync)? 56'h20202073796e63 : + (D_op_sub)? 56'h20202020737562 : + (D_op_srai)? 56'h20202073726169 : + (D_op_sra)? 56'h20202020737261 : + (D_op_intr)? 56'h202020696e7472 : + 56'h20202020424144; + + assign F_vinst = F_valid ? F_inst : {7{8'h2d}}; + assign D_vinst = D_valid ? D_inst : {7{8'h2d}}; + assign R_vinst = R_valid ? D_inst : {7{8'h2d}}; + assign E_vinst = E_valid ? D_inst : {7{8'h2d}}; + assign W_vinst = W_valid ? D_inst : {7{8'h2d}}; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v b/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v new file mode 100644 index 0000000..cd39a05 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v @@ -0,0 +1,181 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_jtag_debug_module_sysclk ( + // inputs: + clk, + ir_in, + sr, + vs_udr, + vs_uir, + + // outputs: + jdo, + take_action_break_a, + take_action_break_b, + take_action_break_c, + take_action_ocimem_a, + take_action_ocimem_b, + take_action_tracectrl, + take_action_tracemem_a, + take_action_tracemem_b, + take_no_action_break_a, + take_no_action_break_b, + take_no_action_break_c, + take_no_action_ocimem_a, + take_no_action_tracemem_a + ) +; + + output [ 37: 0] jdo; + output take_action_break_a; + output take_action_break_b; + output take_action_break_c; + output take_action_ocimem_a; + output take_action_ocimem_b; + output take_action_tracectrl; + output take_action_tracemem_a; + output take_action_tracemem_b; + output take_no_action_break_a; + output take_no_action_break_b; + output take_no_action_break_c; + output take_no_action_ocimem_a; + output take_no_action_tracemem_a; + input clk; + input [ 1: 0] ir_in; + input [ 37: 0] sr; + input vs_udr; + input vs_uir; + + reg enable_action_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + reg [ 1: 0] ir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 37: 0] jdo /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg jxuir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + reg sync2_udr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + reg sync2_uir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + wire sync_udr; + wire sync_uir; + wire take_action_break_a; + wire take_action_break_b; + wire take_action_break_c; + wire take_action_ocimem_a; + wire take_action_ocimem_b; + wire take_action_tracectrl; + wire take_action_tracemem_a; + wire take_action_tracemem_b; + wire take_no_action_break_a; + wire take_no_action_break_b; + wire take_no_action_break_c; + wire take_no_action_ocimem_a; + wire take_no_action_tracemem_a; + wire unxunused_resetxx3; + wire unxunused_resetxx4; + reg update_jdo_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + assign unxunused_resetxx3 = 1'b1; + altera_std_synchronizer the_altera_std_synchronizer3 + ( + .clk (clk), + .din (vs_udr), + .dout (sync_udr), + .reset_n (unxunused_resetxx3) + ); + + defparam the_altera_std_synchronizer3.depth = 2; + + assign unxunused_resetxx4 = 1'b1; + altera_std_synchronizer the_altera_std_synchronizer4 + ( + .clk (clk), + .din (vs_uir), + .dout (sync_uir), + .reset_n (unxunused_resetxx4) + ); + + defparam the_altera_std_synchronizer4.depth = 2; + + always @(posedge clk) + begin + sync2_udr <= sync_udr; + update_jdo_strobe <= sync_udr & ~sync2_udr; + enable_action_strobe <= update_jdo_strobe; + sync2_uir <= sync_uir; + jxuir <= sync_uir & ~sync2_uir; + end + + + assign take_action_ocimem_a = enable_action_strobe && (ir == 2'b00) && + ~jdo[35] && jdo[34]; + + assign take_no_action_ocimem_a = enable_action_strobe && (ir == 2'b00) && + ~jdo[35] && ~jdo[34]; + + assign take_action_ocimem_b = enable_action_strobe && (ir == 2'b00) && + jdo[35]; + + assign take_action_tracemem_a = enable_action_strobe && (ir == 2'b01) && + ~jdo[37] && + jdo[36]; + + assign take_no_action_tracemem_a = enable_action_strobe && (ir == 2'b01) && + ~jdo[37] && + ~jdo[36]; + + assign take_action_tracemem_b = enable_action_strobe && (ir == 2'b01) && + jdo[37]; + + assign take_action_break_a = enable_action_strobe && (ir == 2'b10) && + ~jdo[36] && + jdo[37]; + + assign take_no_action_break_a = enable_action_strobe && (ir == 2'b10) && + ~jdo[36] && + ~jdo[37]; + + assign take_action_break_b = enable_action_strobe && (ir == 2'b10) && + jdo[36] && ~jdo[35] && + jdo[37]; + + assign take_no_action_break_b = enable_action_strobe && (ir == 2'b10) && + jdo[36] && ~jdo[35] && + ~jdo[37]; + + assign take_action_break_c = enable_action_strobe && (ir == 2'b10) && + jdo[36] && jdo[35] && + jdo[37]; + + assign take_no_action_break_c = enable_action_strobe && (ir == 2'b10) && + jdo[36] && jdo[35] && + ~jdo[37]; + + assign take_action_tracectrl = enable_action_strobe && (ir == 2'b11) && + jdo[15]; + + always @(posedge clk) + begin + if (jxuir) + ir <= ir_in; + if (update_jdo_strobe) + jdo <= sr; + end + + + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_tck.v b/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_tck.v new file mode 100644 index 0000000..e208645 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_tck.v @@ -0,0 +1,239 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_jtag_debug_module_tck ( + // inputs: + MonDReg, + break_readreg, + dbrk_hit0_latch, + dbrk_hit1_latch, + dbrk_hit2_latch, + dbrk_hit3_latch, + debugack, + ir_in, + jtag_state_rti, + monitor_error, + monitor_ready, + reset_n, + resetlatch, + tck, + tdi, + tracemem_on, + tracemem_trcdata, + tracemem_tw, + trc_im_addr, + trc_on, + trc_wrap, + trigbrktype, + trigger_state_1, + vs_cdr, + vs_sdr, + vs_uir, + + // outputs: + ir_out, + jrst_n, + sr, + st_ready_test_idle, + tdo + ) +; + + output [ 1: 0] ir_out; + output jrst_n; + output [ 37: 0] sr; + output st_ready_test_idle; + output tdo; + input [ 31: 0] MonDReg; + input [ 31: 0] break_readreg; + input dbrk_hit0_latch; + input dbrk_hit1_latch; + input dbrk_hit2_latch; + input dbrk_hit3_latch; + input debugack; + input [ 1: 0] ir_in; + input jtag_state_rti; + input monitor_error; + input monitor_ready; + input reset_n; + input resetlatch; + input tck; + input tdi; + input tracemem_on; + input [ 35: 0] tracemem_trcdata; + input tracemem_tw; + input [ 6: 0] trc_im_addr; + input trc_on; + input trc_wrap; + input trigbrktype; + input trigger_state_1; + input vs_cdr; + input vs_sdr; + input vs_uir; + + reg [ 2: 0] DRsize /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire debugack_sync; + reg [ 1: 0] ir_out; + wire jrst_n; + wire monitor_ready_sync; + reg [ 37: 0] sr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire st_ready_test_idle; + wire tdo; + wire unxcomplemented_resetxx1; + wire unxcomplemented_resetxx2; + always @(posedge tck) + begin + if (vs_cdr) + case (ir_in) + + 2'b00: begin + sr[35] <= debugack_sync; + sr[34] <= monitor_error; + sr[33] <= resetlatch; + sr[32 : 1] <= MonDReg; + sr[0] <= monitor_ready_sync; + end // 2'b00 + + 2'b01: begin + sr[35 : 0] <= tracemem_trcdata; + sr[37] <= tracemem_tw; + sr[36] <= tracemem_on; + end // 2'b01 + + 2'b10: begin + sr[37] <= trigger_state_1; + sr[36] <= dbrk_hit3_latch; + sr[35] <= dbrk_hit2_latch; + sr[34] <= dbrk_hit1_latch; + sr[33] <= dbrk_hit0_latch; + sr[32 : 1] <= break_readreg; + sr[0] <= trigbrktype; + end // 2'b10 + + 2'b11: begin + sr[15 : 12] <= 1'b0; + sr[11 : 2] <= trc_im_addr; + sr[1] <= trc_wrap; + sr[0] <= trc_on; + end // 2'b11 + + endcase // ir_in + if (vs_sdr) + case (DRsize) + + 3'b000: begin + sr <= {tdi, sr[37 : 2], tdi}; + end // 3'b000 + + 3'b001: begin + sr <= {tdi, sr[37 : 9], tdi, sr[7 : 1]}; + end // 3'b001 + + 3'b010: begin + sr <= {tdi, sr[37 : 17], tdi, sr[15 : 1]}; + end // 3'b010 + + 3'b011: begin + sr <= {tdi, sr[37 : 33], tdi, sr[31 : 1]}; + end // 3'b011 + + 3'b100: begin + sr <= {tdi, sr[37], tdi, sr[35 : 1]}; + end // 3'b100 + + 3'b101: begin + sr <= {tdi, sr[37 : 1]}; + end // 3'b101 + + default: begin + sr <= {tdi, sr[37 : 2], tdi}; + end // default + + endcase // DRsize + if (vs_uir) + case (ir_in) + + 2'b00: begin + DRsize <= 3'b100; + end // 2'b00 + + 2'b01: begin + DRsize <= 3'b101; + end // 2'b01 + + 2'b10: begin + DRsize <= 3'b101; + end // 2'b10 + + 2'b11: begin + DRsize <= 3'b010; + end // 2'b11 + + endcase // ir_in + end + + + assign tdo = sr[0]; + assign st_ready_test_idle = jtag_state_rti; + assign unxcomplemented_resetxx1 = jrst_n; + altera_std_synchronizer the_altera_std_synchronizer1 + ( + .clk (tck), + .din (debugack), + .dout (debugack_sync), + .reset_n (unxcomplemented_resetxx1) + ); + + defparam the_altera_std_synchronizer1.depth = 2; + + assign unxcomplemented_resetxx2 = jrst_n; + altera_std_synchronizer the_altera_std_synchronizer2 + ( + .clk (tck), + .din (monitor_ready), + .dout (monitor_ready_sync), + .reset_n (unxcomplemented_resetxx2) + ); + + defparam the_altera_std_synchronizer2.depth = 2; + + always @(posedge tck or negedge jrst_n) + begin + if (jrst_n == 0) + ir_out <= 2'b0; + else + ir_out <= {debugack_sync, monitor_ready_sync}; + end + + + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + assign jrst_n = reset_n; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// assign jrst_n = 1; +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v b/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v new file mode 100644 index 0000000..059d4ab --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v @@ -0,0 +1,233 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_jtag_debug_module_wrapper ( + // inputs: + MonDReg, + break_readreg, + clk, + dbrk_hit0_latch, + dbrk_hit1_latch, + dbrk_hit2_latch, + dbrk_hit3_latch, + debugack, + monitor_error, + monitor_ready, + reset_n, + resetlatch, + tracemem_on, + tracemem_trcdata, + tracemem_tw, + trc_im_addr, + trc_on, + trc_wrap, + trigbrktype, + trigger_state_1, + + // outputs: + jdo, + jrst_n, + st_ready_test_idle, + take_action_break_a, + take_action_break_b, + take_action_break_c, + take_action_ocimem_a, + take_action_ocimem_b, + take_action_tracectrl, + take_action_tracemem_a, + take_action_tracemem_b, + take_no_action_break_a, + take_no_action_break_b, + take_no_action_break_c, + take_no_action_ocimem_a, + take_no_action_tracemem_a + ) +; + + output [ 37: 0] jdo; + output jrst_n; + output st_ready_test_idle; + output take_action_break_a; + output take_action_break_b; + output take_action_break_c; + output take_action_ocimem_a; + output take_action_ocimem_b; + output take_action_tracectrl; + output take_action_tracemem_a; + output take_action_tracemem_b; + output take_no_action_break_a; + output take_no_action_break_b; + output take_no_action_break_c; + output take_no_action_ocimem_a; + output take_no_action_tracemem_a; + input [ 31: 0] MonDReg; + input [ 31: 0] break_readreg; + input clk; + input dbrk_hit0_latch; + input dbrk_hit1_latch; + input dbrk_hit2_latch; + input dbrk_hit3_latch; + input debugack; + input monitor_error; + input monitor_ready; + input reset_n; + input resetlatch; + input tracemem_on; + input [ 35: 0] tracemem_trcdata; + input tracemem_tw; + input [ 6: 0] trc_im_addr; + input trc_on; + input trc_wrap; + input trigbrktype; + input trigger_state_1; + + wire [ 37: 0] jdo; + wire jrst_n; + wire [ 37: 0] sr; + wire st_ready_test_idle; + wire take_action_break_a; + wire take_action_break_b; + wire take_action_break_c; + wire take_action_ocimem_a; + wire take_action_ocimem_b; + wire take_action_tracectrl; + wire take_action_tracemem_a; + wire take_action_tracemem_b; + wire take_no_action_break_a; + wire take_no_action_break_b; + wire take_no_action_break_c; + wire take_no_action_ocimem_a; + wire take_no_action_tracemem_a; + wire vji_cdr; + wire [ 1: 0] vji_ir_in; + wire [ 1: 0] vji_ir_out; + wire vji_rti; + wire vji_sdr; + wire vji_tck; + wire vji_tdi; + wire vji_tdo; + wire vji_udr; + wire vji_uir; + //Change the sld_virtual_jtag_basic's defparams to + //switch between a regular Nios II or an internally embedded Nios II. + //For a regular Nios II, sld_mfg_id = 70, sld_type_id = 34. + //For an internally embedded Nios II, slf_mfg_id = 110, sld_type_id = 135. + nios_system_nios2_processor_jtag_debug_module_tck the_nios_system_nios2_processor_jtag_debug_module_tck + ( + .MonDReg (MonDReg), + .break_readreg (break_readreg), + .dbrk_hit0_latch (dbrk_hit0_latch), + .dbrk_hit1_latch (dbrk_hit1_latch), + .dbrk_hit2_latch (dbrk_hit2_latch), + .dbrk_hit3_latch (dbrk_hit3_latch), + .debugack (debugack), + .ir_in (vji_ir_in), + .ir_out (vji_ir_out), + .jrst_n (jrst_n), + .jtag_state_rti (vji_rti), + .monitor_error (monitor_error), + .monitor_ready (monitor_ready), + .reset_n (reset_n), + .resetlatch (resetlatch), + .sr (sr), + .st_ready_test_idle (st_ready_test_idle), + .tck (vji_tck), + .tdi (vji_tdi), + .tdo (vji_tdo), + .tracemem_on (tracemem_on), + .tracemem_trcdata (tracemem_trcdata), + .tracemem_tw (tracemem_tw), + .trc_im_addr (trc_im_addr), + .trc_on (trc_on), + .trc_wrap (trc_wrap), + .trigbrktype (trigbrktype), + .trigger_state_1 (trigger_state_1), + .vs_cdr (vji_cdr), + .vs_sdr (vji_sdr), + .vs_uir (vji_uir) + ); + + nios_system_nios2_processor_jtag_debug_module_sysclk the_nios_system_nios2_processor_jtag_debug_module_sysclk + ( + .clk (clk), + .ir_in (vji_ir_in), + .jdo (jdo), + .sr (sr), + .take_action_break_a (take_action_break_a), + .take_action_break_b (take_action_break_b), + .take_action_break_c (take_action_break_c), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocimem_b (take_action_ocimem_b), + .take_action_tracectrl (take_action_tracectrl), + .take_action_tracemem_a (take_action_tracemem_a), + .take_action_tracemem_b (take_action_tracemem_b), + .take_no_action_break_a (take_no_action_break_a), + .take_no_action_break_b (take_no_action_break_b), + .take_no_action_break_c (take_no_action_break_c), + .take_no_action_ocimem_a (take_no_action_ocimem_a), + .take_no_action_tracemem_a (take_no_action_tracemem_a), + .vs_udr (vji_udr), + .vs_uir (vji_uir) + ); + + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + assign vji_tck = 1'b0; + assign vji_tdi = 1'b0; + assign vji_sdr = 1'b0; + assign vji_cdr = 1'b0; + assign vji_rti = 1'b0; + assign vji_uir = 1'b0; + assign vji_udr = 1'b0; + assign vji_ir_in = 2'b0; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// sld_virtual_jtag_basic nios_system_nios2_processor_jtag_debug_module_phy +// ( +// .ir_in (vji_ir_in), +// .ir_out (vji_ir_out), +// .jtag_state_rti (vji_rti), +// .tck (vji_tck), +// .tdi (vji_tdi), +// .tdo (vji_tdo), +// .virtual_state_cdr (vji_cdr), +// .virtual_state_sdr (vji_sdr), +// .virtual_state_udr (vji_udr), +// .virtual_state_uir (vji_uir) +// ); +// +// defparam nios_system_nios2_processor_jtag_debug_module_phy.sld_auto_instance_index = "YES", +// nios_system_nios2_processor_jtag_debug_module_phy.sld_instance_index = 0, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_ir_width = 2, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_mfg_id = 70, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_sim_action = "", +// nios_system_nios2_processor_jtag_debug_module_phy.sld_sim_n_scan = 0, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_sim_total_length = 0, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_type_id = 34, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_version = 3; +// +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_oci_test_bench.v b/db/ip/nios_system/submodules/nios_system_nios2_processor_oci_test_bench.v new file mode 100644 index 0000000..17ed4d4 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_oci_test_bench.v @@ -0,0 +1,37 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_oci_test_bench ( + // inputs: + dct_buffer, + dct_count, + test_ending, + test_has_ended + ) +; + + input [ 29: 0] dct_buffer; + input [ 3: 0] dct_count; + input test_ending; + input test_has_ended; + + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_ociram_default_contents.mif b/db/ip/nios_system/submodules/nios_system_nios2_processor_ociram_default_contents.mif new file mode 100644 index 0000000..44481aa --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_ociram_default_contents.mif @@ -0,0 +1,267 @@ +-- Contents are randomly generated during RTL generation. +WIDTH=32; +DEPTH=256; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : 88997af9; +01 : abaae595; +02 : 32fd14d1; +03 : b66193c4; +04 : c6a6aa09; +05 : 0b43de5b; +06 : d1d93028; +07 : bcd08e2a; +08 : 1c8bae85; +09 : b11dad63; +0a : 864ddf62; +0b : 68301486; +0c : 51a3d8d0; +0d : 7af7d39e; +0e : 4761b503; +0f : 2a976e14; +10 : 98141041; +11 : 4c1f6471; +12 : 41dc0a35; +13 : 7d484ae3; +14 : 2a1329f3; +15 : 44ecf499; +16 : dccdd125; +17 : 240142e9; +18 : 3b7e4b05; +19 : bb92e762; +1a : 4594a3c5; +1b : ea0d940f; +1c : 66525d7c; +1d : 0f552242; +1e : 452bd52d; +1f : d1f4ed11; +20 : 5d590422; +21 : c8016b5f; +22 : 9ab94f07; +23 : 16bac9b4; +24 : fe569ae3; +25 : c6e1e6e7; +26 : 2ff19932; +27 : feb058ad; +28 : 1dcce651; +29 : e18b9bfb; +2a : e2f4fc64; +2b : 05d34847; +2c : 077a8143; +2d : 2ce4207f; +2e : 3f3e5113; +2f : c24d2803; +30 : e289b503; +31 : d16bcd4e; +32 : 57a841cf; +33 : 1194f754; +34 : 5c925a31; +35 : 40fd6946; +36 : e397e5d7; +37 : eada7553; +38 : eba8ec01; +39 : f5b39d0b; +3a : 88af39a3; +3b : 5b7f243e; +3c : 4f2bb4ba; +3d : 9451a234; +3e : 10fd984d; +3f : ad4ef4f7; +40 : 7fe97f8b; +41 : 08ea614d; +42 : 9f2c5cf4; +43 : 3f90b7a2; +44 : 8c2bc774; +45 : 45dd63a5; +46 : 3204329c; +47 : 9909be0d; +48 : be65c97b; +49 : 78f3d4a4; +4a : 3ee8b71c; +4b : 9e9a0de4; +4c : 56db426b; +4d : e6869d81; +4e : 20ab0652; +4f : 05d247ed; +50 : 1edccf12; +51 : 1e483b5a; +52 : 8e48ef1e; +53 : f19aefbf; +54 : 98335d23; +55 : 954ac923; +56 : 4679ced6; +57 : ae18d9b8; +58 : be57db48; +59 : 2af933e3; +5a : 3f04e244; +5b : 5d11c958; +5c : 65bda8cb; +5d : c53fe664; +5e : 797ceac8; +5f : aaa406e5; +60 : f785e24e; +61 : 95510077; +62 : 5b6f55a3; +63 : 2a3c749a; +64 : a92e6ae6; +65 : b2117fb0; +66 : 262a254e; +67 : b8c4da74; +68 : f69070ee; +69 : 9e7f80b8; +6a : 834528b4; +6b : 4aaf6d98; +6c : 96023372; +6d : d11663ed; +6e : 33a3c007; +6f : 0e7f06ee; +70 : 34385787; +71 : 2edfd7b0; +72 : 00d60e4b; +73 : 49535c30; +74 : e83f5c14; +75 : 5e0c4c59; +76 : 1d7b944a; +77 : 6ae69731; +78 : bf8414e4; +79 : 7451c212; +7a : 74ede6d2; +7b : 080eafa5; +7c : f21052d8; +7d : cc0819fb; +7e : 8993e5b6; +7f : e20f2df6; +80 : 0f267a65; +81 : 7a8e8407; +82 : e7be656d; +83 : 01ba4ca3; +84 : 7f998e44; +85 : 29d83420; +86 : 149f9a73; +87 : 643ae51e; +88 : 125714d3; +89 : 6e49dc21; +8a : 0b227946; +8b : 360a837d; +8c : b2187074; +8d : 17b0bdbd; +8e : 938fc73d; +8f : e73f501e; +90 : 70b5b87e; +91 : 2a2aed8a; +92 : f96cc881; +93 : 021b49e1; +94 : 8691600d; +95 : b45e1d12; +96 : 64d9644e; +97 : 486cbaf9; +98 : 386acf20; +99 : 0d1384d4; +9a : 62455f77; +9b : 866fde20; +9c : 006fecec; +9d : 94e84514; +9e : 7babc333; +9f : afaa8445; +a0 : b1175e3a; +a1 : e08de629; +a2 : 7f12a52d; +a3 : 0e322909; +a4 : 18784dc6; +a5 : b23bcc20; +a6 : 266c9e34; +a7 : c857eaf3; +a8 : 2ae3b164; +a9 : 038acf2a; +aa : c1abc60d; +ab : 8af787bd; +ac : 043723a9; +ad : c37c952d; +ae : 693a361f; +af : da4b8e99; +b0 : fb8fdb10; +b1 : 4d6365f2; +b2 : 712358e9; +b3 : 85dae0fa; +b4 : 7e82a418; +b5 : d3493768; +b6 : 739c65ec; +b7 : 73b66b19; +b8 : 22142816; +b9 : ff498322; +ba : 3266495e; +bb : e26e8214; +bc : c8c47131; +bd : 660793d8; +be : 689f8d69; +bf : faae340b; +c0 : 37518ba7; +c1 : f2865fe5; +c2 : 1bb44f3d; +c3 : 3bce44c5; +c4 : aff2d188; +c5 : 985442da; +c6 : 85bb58bd; +c7 : 0c53135d; +c8 : 495f80bc; +c9 : 853c95dc; +ca : dde937f1; +cb : 418f9452; +cc : 7669641c; +cd : 0e752434; +ce : b0fe17a7; +cf : d1be9b88; +d0 : cfbfeb76; +d1 : 80b48a11; +d2 : 9327c69e; +d3 : beca5a88; +d4 : e71d428f; +d5 : b318d275; +d6 : 56fea35e; +d7 : 140cd6bd; +d8 : b8c937ce; +d9 : 540eea36; +da : ee58fc7f; +db : 5615c389; +dc : 46692ad0; +dd : 5c713e51; +de : 6ba95f60; +df : 0e166732; +e0 : ac0e49f5; +e1 : c9a5ea76; +e2 : 05b04d86; +e3 : b29ac712; +e4 : 4e344493; +e5 : d45ede48; +e6 : 3da7e426; +e7 : 4d6a8937; +e8 : 99b59bd4; +e9 : 1f8a5751; +ea : 8b07e64e; +eb : b4dcd496; +ec : 42f84fe6; +ed : f1d5952f; +ee : a2e5a42d; +ef : 15b1af16; +f0 : 168012bc; +f1 : 2e276612; +f2 : 89913eaa; +f3 : c607a1a2; +f4 : fd8b544d; +f5 : aec31a53; +f6 : 25f958ad; +f7 : 365903ec; +f8 : 14761865; +f9 : 568cc23b; +fa : b0386305; +fb : fb9ebd8a; +fc : a25911d4; +fd : 806e3fbb; +fe : 9df35264; +ff : d62b3814; + +END; diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_rf_ram_a.mif b/db/ip/nios_system/submodules/nios_system_nios2_processor_rf_ram_a.mif new file mode 100644 index 0000000..7969f10 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_rf_ram_a.mif @@ -0,0 +1,42 @@ +WIDTH=32; +DEPTH=32; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : deadbeef; +01 : deadbeef; +02 : deadbeef; +03 : deadbeef; +04 : deadbeef; +05 : deadbeef; +06 : deadbeef; +07 : deadbeef; +08 : deadbeef; +09 : deadbeef; +0a : deadbeef; +0b : deadbeef; +0c : deadbeef; +0d : deadbeef; +0e : deadbeef; +0f : deadbeef; +10 : deadbeef; +11 : deadbeef; +12 : deadbeef; +13 : deadbeef; +14 : deadbeef; +15 : deadbeef; +16 : deadbeef; +17 : deadbeef; +18 : deadbeef; +19 : deadbeef; +1a : deadbeef; +1b : deadbeef; +1c : deadbeef; +1d : deadbeef; +1e : deadbeef; +1f : deadbeef; + +END; diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_rf_ram_b.mif b/db/ip/nios_system/submodules/nios_system_nios2_processor_rf_ram_b.mif new file mode 100644 index 0000000..7969f10 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_rf_ram_b.mif @@ -0,0 +1,42 @@ +WIDTH=32; +DEPTH=32; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : deadbeef; +01 : deadbeef; +02 : deadbeef; +03 : deadbeef; +04 : deadbeef; +05 : deadbeef; +06 : deadbeef; +07 : deadbeef; +08 : deadbeef; +09 : deadbeef; +0a : deadbeef; +0b : deadbeef; +0c : deadbeef; +0d : deadbeef; +0e : deadbeef; +0f : deadbeef; +10 : deadbeef; +11 : deadbeef; +12 : deadbeef; +13 : deadbeef; +14 : deadbeef; +15 : deadbeef; +16 : deadbeef; +17 : deadbeef; +18 : deadbeef; +19 : deadbeef; +1a : deadbeef; +1b : deadbeef; +1c : deadbeef; +1d : deadbeef; +1e : deadbeef; +1f : deadbeef; + +END; diff --git a/db/ip/nios_system/submodules/nios_system_nios2_processor_test_bench.v b/db/ip/nios_system/submodules/nios_system_nios2_processor_test_bench.v new file mode 100644 index 0000000..49e9050 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_nios2_processor_test_bench.v @@ -0,0 +1,667 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_test_bench ( + // inputs: + D_iw, + D_iw_op, + D_iw_opx, + D_valid, + E_valid, + F_pcb, + F_valid, + R_ctrl_ld, + R_ctrl_ld_non_io, + R_dst_regnum, + R_wr_dst_reg, + W_valid, + W_vinst, + W_wr_data, + av_ld_data_aligned_unfiltered, + clk, + d_address, + d_byteenable, + d_read, + d_write_nxt, + i_address, + i_read, + i_readdata, + i_waitrequest, + reset_n, + + // outputs: + av_ld_data_aligned_filtered, + d_write, + test_has_ended + ) +; + + output [ 31: 0] av_ld_data_aligned_filtered; + output d_write; + output test_has_ended; + input [ 31: 0] D_iw; + input [ 5: 0] D_iw_op; + input [ 5: 0] D_iw_opx; + input D_valid; + input E_valid; + input [ 18: 0] F_pcb; + input F_valid; + input R_ctrl_ld; + input R_ctrl_ld_non_io; + input [ 4: 0] R_dst_regnum; + input R_wr_dst_reg; + input W_valid; + input [ 55: 0] W_vinst; + input [ 31: 0] W_wr_data; + input [ 31: 0] av_ld_data_aligned_unfiltered; + input clk; + input [ 18: 0] d_address; + input [ 3: 0] d_byteenable; + input d_read; + input d_write_nxt; + input [ 18: 0] i_address; + input i_read; + input [ 31: 0] i_readdata; + input i_waitrequest; + input reset_n; + + wire D_op_add; + wire D_op_addi; + wire D_op_and; + wire D_op_andhi; + wire D_op_andi; + wire D_op_beq; + wire D_op_bge; + wire D_op_bgeu; + wire D_op_blt; + wire D_op_bltu; + wire D_op_bne; + wire D_op_br; + wire D_op_break; + wire D_op_bret; + wire D_op_call; + wire D_op_callr; + wire D_op_cmpeq; + wire D_op_cmpeqi; + wire D_op_cmpge; + wire D_op_cmpgei; + wire D_op_cmpgeu; + wire D_op_cmpgeui; + wire D_op_cmplt; + wire D_op_cmplti; + wire D_op_cmpltu; + wire D_op_cmpltui; + wire D_op_cmpne; + wire D_op_cmpnei; + wire D_op_crst; + wire D_op_custom; + wire D_op_div; + wire D_op_divu; + wire D_op_eret; + wire D_op_flushd; + wire D_op_flushda; + wire D_op_flushi; + wire D_op_flushp; + wire D_op_hbreak; + wire D_op_initd; + wire D_op_initda; + wire D_op_initi; + wire D_op_intr; + wire D_op_jmp; + wire D_op_jmpi; + wire D_op_ldb; + wire D_op_ldbio; + wire D_op_ldbu; + wire D_op_ldbuio; + wire D_op_ldh; + wire D_op_ldhio; + wire D_op_ldhu; + wire D_op_ldhuio; + wire D_op_ldl; + wire D_op_ldw; + wire D_op_ldwio; + wire D_op_mul; + wire D_op_muli; + wire D_op_mulxss; + wire D_op_mulxsu; + wire D_op_mulxuu; + wire D_op_nextpc; + wire D_op_nor; + wire D_op_opx; + wire D_op_or; + wire D_op_orhi; + wire D_op_ori; + wire D_op_rdctl; + wire D_op_rdprs; + wire D_op_ret; + wire D_op_rol; + wire D_op_roli; + wire D_op_ror; + wire D_op_rsv02; + wire D_op_rsv09; + wire D_op_rsv10; + wire D_op_rsv17; + wire D_op_rsv18; + wire D_op_rsv25; + wire D_op_rsv26; + wire D_op_rsv33; + wire D_op_rsv34; + wire D_op_rsv41; + wire D_op_rsv42; + wire D_op_rsv49; + wire D_op_rsv57; + wire D_op_rsv61; + wire D_op_rsv62; + wire D_op_rsv63; + wire D_op_rsvx00; + wire D_op_rsvx10; + wire D_op_rsvx15; + wire D_op_rsvx17; + wire D_op_rsvx21; + wire D_op_rsvx25; + wire D_op_rsvx33; + wire D_op_rsvx34; + wire D_op_rsvx35; + wire D_op_rsvx42; + wire D_op_rsvx43; + wire D_op_rsvx44; + wire D_op_rsvx47; + wire D_op_rsvx50; + wire D_op_rsvx51; + wire D_op_rsvx55; + wire D_op_rsvx56; + wire D_op_rsvx60; + wire D_op_rsvx63; + wire D_op_sll; + wire D_op_slli; + wire D_op_sra; + wire D_op_srai; + wire D_op_srl; + wire D_op_srli; + wire D_op_stb; + wire D_op_stbio; + wire D_op_stc; + wire D_op_sth; + wire D_op_sthio; + wire D_op_stw; + wire D_op_stwio; + wire D_op_sub; + wire D_op_sync; + wire D_op_trap; + wire D_op_wrctl; + wire D_op_wrprs; + wire D_op_xor; + wire D_op_xorhi; + wire D_op_xori; + wire [ 31: 0] av_ld_data_aligned_filtered; + wire av_ld_data_aligned_unfiltered_0_is_x; + wire av_ld_data_aligned_unfiltered_10_is_x; + wire av_ld_data_aligned_unfiltered_11_is_x; + wire av_ld_data_aligned_unfiltered_12_is_x; + wire av_ld_data_aligned_unfiltered_13_is_x; + wire av_ld_data_aligned_unfiltered_14_is_x; + wire av_ld_data_aligned_unfiltered_15_is_x; + wire av_ld_data_aligned_unfiltered_16_is_x; + wire av_ld_data_aligned_unfiltered_17_is_x; + wire av_ld_data_aligned_unfiltered_18_is_x; + wire av_ld_data_aligned_unfiltered_19_is_x; + wire av_ld_data_aligned_unfiltered_1_is_x; + wire av_ld_data_aligned_unfiltered_20_is_x; + wire av_ld_data_aligned_unfiltered_21_is_x; + wire av_ld_data_aligned_unfiltered_22_is_x; + wire av_ld_data_aligned_unfiltered_23_is_x; + wire av_ld_data_aligned_unfiltered_24_is_x; + wire av_ld_data_aligned_unfiltered_25_is_x; + wire av_ld_data_aligned_unfiltered_26_is_x; + wire av_ld_data_aligned_unfiltered_27_is_x; + wire av_ld_data_aligned_unfiltered_28_is_x; + wire av_ld_data_aligned_unfiltered_29_is_x; + wire av_ld_data_aligned_unfiltered_2_is_x; + wire av_ld_data_aligned_unfiltered_30_is_x; + wire av_ld_data_aligned_unfiltered_31_is_x; + wire av_ld_data_aligned_unfiltered_3_is_x; + wire av_ld_data_aligned_unfiltered_4_is_x; + wire av_ld_data_aligned_unfiltered_5_is_x; + wire av_ld_data_aligned_unfiltered_6_is_x; + wire av_ld_data_aligned_unfiltered_7_is_x; + wire av_ld_data_aligned_unfiltered_8_is_x; + wire av_ld_data_aligned_unfiltered_9_is_x; + reg d_write; + wire test_has_ended; + assign D_op_call = D_iw_op == 0; + assign D_op_jmpi = D_iw_op == 1; + assign D_op_ldbu = D_iw_op == 3; + assign D_op_addi = D_iw_op == 4; + assign D_op_stb = D_iw_op == 5; + assign D_op_br = D_iw_op == 6; + assign D_op_ldb = D_iw_op == 7; + assign D_op_cmpgei = D_iw_op == 8; + assign D_op_ldhu = D_iw_op == 11; + assign D_op_andi = D_iw_op == 12; + assign D_op_sth = D_iw_op == 13; + assign D_op_bge = D_iw_op == 14; + assign D_op_ldh = D_iw_op == 15; + assign D_op_cmplti = D_iw_op == 16; + assign D_op_initda = D_iw_op == 19; + assign D_op_ori = D_iw_op == 20; + assign D_op_stw = D_iw_op == 21; + assign D_op_blt = D_iw_op == 22; + assign D_op_ldw = D_iw_op == 23; + assign D_op_cmpnei = D_iw_op == 24; + assign D_op_flushda = D_iw_op == 27; + assign D_op_xori = D_iw_op == 28; + assign D_op_stc = D_iw_op == 29; + assign D_op_bne = D_iw_op == 30; + assign D_op_ldl = D_iw_op == 31; + assign D_op_cmpeqi = D_iw_op == 32; + assign D_op_ldbuio = D_iw_op == 35; + assign D_op_muli = D_iw_op == 36; + assign D_op_stbio = D_iw_op == 37; + assign D_op_beq = D_iw_op == 38; + assign D_op_ldbio = D_iw_op == 39; + assign D_op_cmpgeui = D_iw_op == 40; + assign D_op_ldhuio = D_iw_op == 43; + assign D_op_andhi = D_iw_op == 44; + assign D_op_sthio = D_iw_op == 45; + assign D_op_bgeu = D_iw_op == 46; + assign D_op_ldhio = D_iw_op == 47; + assign D_op_cmpltui = D_iw_op == 48; + assign D_op_initd = D_iw_op == 51; + assign D_op_orhi = D_iw_op == 52; + assign D_op_stwio = D_iw_op == 53; + assign D_op_bltu = D_iw_op == 54; + assign D_op_ldwio = D_iw_op == 55; + assign D_op_rdprs = D_iw_op == 56; + assign D_op_flushd = D_iw_op == 59; + assign D_op_xorhi = D_iw_op == 60; + assign D_op_rsv02 = D_iw_op == 2; + assign D_op_rsv09 = D_iw_op == 9; + assign D_op_rsv10 = D_iw_op == 10; + assign D_op_rsv17 = D_iw_op == 17; + assign D_op_rsv18 = D_iw_op == 18; + assign D_op_rsv25 = D_iw_op == 25; + assign D_op_rsv26 = D_iw_op == 26; + assign D_op_rsv33 = D_iw_op == 33; + assign D_op_rsv34 = D_iw_op == 34; + assign D_op_rsv41 = D_iw_op == 41; + assign D_op_rsv42 = D_iw_op == 42; + assign D_op_rsv49 = D_iw_op == 49; + assign D_op_rsv57 = D_iw_op == 57; + assign D_op_rsv61 = D_iw_op == 61; + assign D_op_rsv62 = D_iw_op == 62; + assign D_op_rsv63 = D_iw_op == 63; + assign D_op_eret = D_op_opx & (D_iw_opx == 1); + assign D_op_roli = D_op_opx & (D_iw_opx == 2); + assign D_op_rol = D_op_opx & (D_iw_opx == 3); + assign D_op_flushp = D_op_opx & (D_iw_opx == 4); + assign D_op_ret = D_op_opx & (D_iw_opx == 5); + assign D_op_nor = D_op_opx & (D_iw_opx == 6); + assign D_op_mulxuu = D_op_opx & (D_iw_opx == 7); + assign D_op_cmpge = D_op_opx & (D_iw_opx == 8); + assign D_op_bret = D_op_opx & (D_iw_opx == 9); + assign D_op_ror = D_op_opx & (D_iw_opx == 11); + assign D_op_flushi = D_op_opx & (D_iw_opx == 12); + assign D_op_jmp = D_op_opx & (D_iw_opx == 13); + assign D_op_and = D_op_opx & (D_iw_opx == 14); + assign D_op_cmplt = D_op_opx & (D_iw_opx == 16); + assign D_op_slli = D_op_opx & (D_iw_opx == 18); + assign D_op_sll = D_op_opx & (D_iw_opx == 19); + assign D_op_wrprs = D_op_opx & (D_iw_opx == 20); + assign D_op_or = D_op_opx & (D_iw_opx == 22); + assign D_op_mulxsu = D_op_opx & (D_iw_opx == 23); + assign D_op_cmpne = D_op_opx & (D_iw_opx == 24); + assign D_op_srli = D_op_opx & (D_iw_opx == 26); + assign D_op_srl = D_op_opx & (D_iw_opx == 27); + assign D_op_nextpc = D_op_opx & (D_iw_opx == 28); + assign D_op_callr = D_op_opx & (D_iw_opx == 29); + assign D_op_xor = D_op_opx & (D_iw_opx == 30); + assign D_op_mulxss = D_op_opx & (D_iw_opx == 31); + assign D_op_cmpeq = D_op_opx & (D_iw_opx == 32); + assign D_op_divu = D_op_opx & (D_iw_opx == 36); + assign D_op_div = D_op_opx & (D_iw_opx == 37); + assign D_op_rdctl = D_op_opx & (D_iw_opx == 38); + assign D_op_mul = D_op_opx & (D_iw_opx == 39); + assign D_op_cmpgeu = D_op_opx & (D_iw_opx == 40); + assign D_op_initi = D_op_opx & (D_iw_opx == 41); + assign D_op_trap = D_op_opx & (D_iw_opx == 45); + assign D_op_wrctl = D_op_opx & (D_iw_opx == 46); + assign D_op_cmpltu = D_op_opx & (D_iw_opx == 48); + assign D_op_add = D_op_opx & (D_iw_opx == 49); + assign D_op_break = D_op_opx & (D_iw_opx == 52); + assign D_op_hbreak = D_op_opx & (D_iw_opx == 53); + assign D_op_sync = D_op_opx & (D_iw_opx == 54); + assign D_op_sub = D_op_opx & (D_iw_opx == 57); + assign D_op_srai = D_op_opx & (D_iw_opx == 58); + assign D_op_sra = D_op_opx & (D_iw_opx == 59); + assign D_op_intr = D_op_opx & (D_iw_opx == 61); + assign D_op_crst = D_op_opx & (D_iw_opx == 62); + assign D_op_rsvx00 = D_op_opx & (D_iw_opx == 0); + assign D_op_rsvx10 = D_op_opx & (D_iw_opx == 10); + assign D_op_rsvx15 = D_op_opx & (D_iw_opx == 15); + assign D_op_rsvx17 = D_op_opx & (D_iw_opx == 17); + assign D_op_rsvx21 = D_op_opx & (D_iw_opx == 21); + assign D_op_rsvx25 = D_op_opx & (D_iw_opx == 25); + assign D_op_rsvx33 = D_op_opx & (D_iw_opx == 33); + assign D_op_rsvx34 = D_op_opx & (D_iw_opx == 34); + assign D_op_rsvx35 = D_op_opx & (D_iw_opx == 35); + assign D_op_rsvx42 = D_op_opx & (D_iw_opx == 42); + assign D_op_rsvx43 = D_op_opx & (D_iw_opx == 43); + assign D_op_rsvx44 = D_op_opx & (D_iw_opx == 44); + assign D_op_rsvx47 = D_op_opx & (D_iw_opx == 47); + assign D_op_rsvx50 = D_op_opx & (D_iw_opx == 50); + assign D_op_rsvx51 = D_op_opx & (D_iw_opx == 51); + assign D_op_rsvx55 = D_op_opx & (D_iw_opx == 55); + assign D_op_rsvx56 = D_op_opx & (D_iw_opx == 56); + assign D_op_rsvx60 = D_op_opx & (D_iw_opx == 60); + assign D_op_rsvx63 = D_op_opx & (D_iw_opx == 63); + assign D_op_opx = D_iw_op == 58; + assign D_op_custom = D_iw_op == 50; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_write <= 0; + else + d_write <= d_write_nxt; + end + + + assign test_has_ended = 1'b0; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + //Clearing 'X' data bits + assign av_ld_data_aligned_unfiltered_0_is_x = ^(av_ld_data_aligned_unfiltered[0]) === 1'bx; + + assign av_ld_data_aligned_filtered[0] = (av_ld_data_aligned_unfiltered_0_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[0]; + assign av_ld_data_aligned_unfiltered_1_is_x = ^(av_ld_data_aligned_unfiltered[1]) === 1'bx; + assign av_ld_data_aligned_filtered[1] = (av_ld_data_aligned_unfiltered_1_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[1]; + assign av_ld_data_aligned_unfiltered_2_is_x = ^(av_ld_data_aligned_unfiltered[2]) === 1'bx; + assign av_ld_data_aligned_filtered[2] = (av_ld_data_aligned_unfiltered_2_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[2]; + assign av_ld_data_aligned_unfiltered_3_is_x = ^(av_ld_data_aligned_unfiltered[3]) === 1'bx; + assign av_ld_data_aligned_filtered[3] = (av_ld_data_aligned_unfiltered_3_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[3]; + assign av_ld_data_aligned_unfiltered_4_is_x = ^(av_ld_data_aligned_unfiltered[4]) === 1'bx; + assign av_ld_data_aligned_filtered[4] = (av_ld_data_aligned_unfiltered_4_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[4]; + assign av_ld_data_aligned_unfiltered_5_is_x = ^(av_ld_data_aligned_unfiltered[5]) === 1'bx; + assign av_ld_data_aligned_filtered[5] = (av_ld_data_aligned_unfiltered_5_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[5]; + assign av_ld_data_aligned_unfiltered_6_is_x = ^(av_ld_data_aligned_unfiltered[6]) === 1'bx; + assign av_ld_data_aligned_filtered[6] = (av_ld_data_aligned_unfiltered_6_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[6]; + assign av_ld_data_aligned_unfiltered_7_is_x = ^(av_ld_data_aligned_unfiltered[7]) === 1'bx; + assign av_ld_data_aligned_filtered[7] = (av_ld_data_aligned_unfiltered_7_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[7]; + assign av_ld_data_aligned_unfiltered_8_is_x = ^(av_ld_data_aligned_unfiltered[8]) === 1'bx; + assign av_ld_data_aligned_filtered[8] = (av_ld_data_aligned_unfiltered_8_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[8]; + assign av_ld_data_aligned_unfiltered_9_is_x = ^(av_ld_data_aligned_unfiltered[9]) === 1'bx; + assign av_ld_data_aligned_filtered[9] = (av_ld_data_aligned_unfiltered_9_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[9]; + assign av_ld_data_aligned_unfiltered_10_is_x = ^(av_ld_data_aligned_unfiltered[10]) === 1'bx; + assign av_ld_data_aligned_filtered[10] = (av_ld_data_aligned_unfiltered_10_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[10]; + assign av_ld_data_aligned_unfiltered_11_is_x = ^(av_ld_data_aligned_unfiltered[11]) === 1'bx; + assign av_ld_data_aligned_filtered[11] = (av_ld_data_aligned_unfiltered_11_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[11]; + assign av_ld_data_aligned_unfiltered_12_is_x = ^(av_ld_data_aligned_unfiltered[12]) === 1'bx; + assign av_ld_data_aligned_filtered[12] = (av_ld_data_aligned_unfiltered_12_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[12]; + assign av_ld_data_aligned_unfiltered_13_is_x = ^(av_ld_data_aligned_unfiltered[13]) === 1'bx; + assign av_ld_data_aligned_filtered[13] = (av_ld_data_aligned_unfiltered_13_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[13]; + assign av_ld_data_aligned_unfiltered_14_is_x = ^(av_ld_data_aligned_unfiltered[14]) === 1'bx; + assign av_ld_data_aligned_filtered[14] = (av_ld_data_aligned_unfiltered_14_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[14]; + assign av_ld_data_aligned_unfiltered_15_is_x = ^(av_ld_data_aligned_unfiltered[15]) === 1'bx; + assign av_ld_data_aligned_filtered[15] = (av_ld_data_aligned_unfiltered_15_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[15]; + assign av_ld_data_aligned_unfiltered_16_is_x = ^(av_ld_data_aligned_unfiltered[16]) === 1'bx; + assign av_ld_data_aligned_filtered[16] = (av_ld_data_aligned_unfiltered_16_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[16]; + assign av_ld_data_aligned_unfiltered_17_is_x = ^(av_ld_data_aligned_unfiltered[17]) === 1'bx; + assign av_ld_data_aligned_filtered[17] = (av_ld_data_aligned_unfiltered_17_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[17]; + assign av_ld_data_aligned_unfiltered_18_is_x = ^(av_ld_data_aligned_unfiltered[18]) === 1'bx; + assign av_ld_data_aligned_filtered[18] = (av_ld_data_aligned_unfiltered_18_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[18]; + assign av_ld_data_aligned_unfiltered_19_is_x = ^(av_ld_data_aligned_unfiltered[19]) === 1'bx; + assign av_ld_data_aligned_filtered[19] = (av_ld_data_aligned_unfiltered_19_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[19]; + assign av_ld_data_aligned_unfiltered_20_is_x = ^(av_ld_data_aligned_unfiltered[20]) === 1'bx; + assign av_ld_data_aligned_filtered[20] = (av_ld_data_aligned_unfiltered_20_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[20]; + assign av_ld_data_aligned_unfiltered_21_is_x = ^(av_ld_data_aligned_unfiltered[21]) === 1'bx; + assign av_ld_data_aligned_filtered[21] = (av_ld_data_aligned_unfiltered_21_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[21]; + assign av_ld_data_aligned_unfiltered_22_is_x = ^(av_ld_data_aligned_unfiltered[22]) === 1'bx; + assign av_ld_data_aligned_filtered[22] = (av_ld_data_aligned_unfiltered_22_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[22]; + assign av_ld_data_aligned_unfiltered_23_is_x = ^(av_ld_data_aligned_unfiltered[23]) === 1'bx; + assign av_ld_data_aligned_filtered[23] = (av_ld_data_aligned_unfiltered_23_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[23]; + assign av_ld_data_aligned_unfiltered_24_is_x = ^(av_ld_data_aligned_unfiltered[24]) === 1'bx; + assign av_ld_data_aligned_filtered[24] = (av_ld_data_aligned_unfiltered_24_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[24]; + assign av_ld_data_aligned_unfiltered_25_is_x = ^(av_ld_data_aligned_unfiltered[25]) === 1'bx; + assign av_ld_data_aligned_filtered[25] = (av_ld_data_aligned_unfiltered_25_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[25]; + assign av_ld_data_aligned_unfiltered_26_is_x = ^(av_ld_data_aligned_unfiltered[26]) === 1'bx; + assign av_ld_data_aligned_filtered[26] = (av_ld_data_aligned_unfiltered_26_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[26]; + assign av_ld_data_aligned_unfiltered_27_is_x = ^(av_ld_data_aligned_unfiltered[27]) === 1'bx; + assign av_ld_data_aligned_filtered[27] = (av_ld_data_aligned_unfiltered_27_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[27]; + assign av_ld_data_aligned_unfiltered_28_is_x = ^(av_ld_data_aligned_unfiltered[28]) === 1'bx; + assign av_ld_data_aligned_filtered[28] = (av_ld_data_aligned_unfiltered_28_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[28]; + assign av_ld_data_aligned_unfiltered_29_is_x = ^(av_ld_data_aligned_unfiltered[29]) === 1'bx; + assign av_ld_data_aligned_filtered[29] = (av_ld_data_aligned_unfiltered_29_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[29]; + assign av_ld_data_aligned_unfiltered_30_is_x = ^(av_ld_data_aligned_unfiltered[30]) === 1'bx; + assign av_ld_data_aligned_filtered[30] = (av_ld_data_aligned_unfiltered_30_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[30]; + assign av_ld_data_aligned_unfiltered_31_is_x = ^(av_ld_data_aligned_unfiltered[31]) === 1'bx; + assign av_ld_data_aligned_filtered[31] = (av_ld_data_aligned_unfiltered_31_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[31]; + always @(posedge clk) + begin + if (reset_n) + if (^(F_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/F_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(D_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/D_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(E_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/E_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(W_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/W_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid) + if (^(R_wr_dst_reg) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/R_wr_dst_reg is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_wr_dst_reg) + if (^(W_wr_data) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/W_wr_data is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_wr_dst_reg) + if (^(R_dst_regnum) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/R_dst_regnum is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(d_write) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_write is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (d_write) + if (^(d_byteenable) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_byteenable is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (d_write | d_read) + if (^(d_address) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_address is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(d_read) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_read is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(i_read) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/i_read is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (i_read) + if (^(i_address) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/i_address is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (i_read & ~i_waitrequest) + if (^(i_readdata) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/i_readdata is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_ctrl_ld) + if (^(av_ld_data_aligned_unfiltered) === 1'bx) + begin + $write("%0d ns: WARNING: nios_system_nios2_processor_test_bench/av_ld_data_aligned_unfiltered is 'x'\n", $time); + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_wr_dst_reg) + if (^(W_wr_data) === 1'bx) + begin + $write("%0d ns: WARNING: nios_system_nios2_processor_test_bench/W_wr_data is 'x'\n", $time); + end + end + + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// +// assign av_ld_data_aligned_filtered = av_ld_data_aligned_unfiltered; +// +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_onchip_memory.hex b/db/ip/nios_system/submodules/nios_system_onchip_memory.hex new file mode 100644 index 0000000..e265501 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_onchip_memory.hex @@ -0,0 +1,51201 @@ +:0400000000000000FC +:0400010000000000FB +:0400020000000000FA +:0400030000000000F9 +:0400040000000000F8 +:0400050000000000F7 +:0400060000000000F6 +:0400070000000000F5 +:0400080000000000F4 +:0400090000000000F3 +:04000A0000000000F2 +:04000B0000000000F1 +:04000C0000000000F0 +:04000D0000000000EF +:04000E0000000000EE +:04000F0000000000ED +:0400100000000000EC +:0400110000000000EB +:0400120000000000EA +:0400130000000000E9 +:0400140000000000E8 +:0400150000000000E7 +:0400160000000000E6 +:0400170000000000E5 +:0400180000000000E4 +:0400190000000000E3 +:04001A0000000000E2 +:04001B0000000000E1 +:04001C0000000000E0 +:04001D0000000000DF +:04001E0000000000DE +:04001F0000000000DD +:0400200000000000DC +:0400210000000000DB +:0400220000000000DA +:0400230000000000D9 +:0400240000000000D8 +:0400250000000000D7 +:0400260000000000D6 +:0400270000000000D5 +:0400280000000000D4 +:0400290000000000D3 +:04002A0000000000D2 +:04002B0000000000D1 +:04002C0000000000D0 +:04002D0000000000CF +:04002E0000000000CE +:04002F0000000000CD +:0400300000000000CC +:0400310000000000CB +:0400320000000000CA +:0400330000000000C9 +:0400340000000000C8 +:0400350000000000C7 +:0400360000000000C6 +:0400370000000000C5 +:0400380000000000C4 +:0400390000000000C3 +:04003A0000000000C2 +:04003B0000000000C1 +:04003C0000000000C0 +:04003D0000000000BF +:04003E0000000000BE +:04003F0000000000BD +:0400400000000000BC +:0400410000000000BB +:0400420000000000BA +:0400430000000000B9 +:0400440000000000B8 +:0400450000000000B7 +:0400460000000000B6 +:0400470000000000B5 +:0400480000000000B4 +:0400490000000000B3 +:04004A0000000000B2 +:04004B0000000000B1 +:04004C0000000000B0 +:04004D0000000000AF +:04004E0000000000AE +:04004F0000000000AD +:0400500000000000AC +:0400510000000000AB +:0400520000000000AA +:0400530000000000A9 +:0400540000000000A8 +:0400550000000000A7 +:0400560000000000A6 +:0400570000000000A5 +:0400580000000000A4 +:0400590000000000A3 +:04005A0000000000A2 +:04005B0000000000A1 +:04005C0000000000A0 +:04005D00000000009F +:04005E00000000009E +:04005F00000000009D +:04006000000000009C +:04006100000000009B +:04006200000000009A +:040063000000000099 +:040064000000000098 +:040065000000000097 +:040066000000000096 +:040067000000000095 +:040068000000000094 +:040069000000000093 +:04006A000000000092 +:04006B000000000091 +:04006C000000000090 +:04006D00000000008F +:04006E00000000008E +:04006F00000000008D +:04007000000000008C +:04007100000000008B +:04007200000000008A +:040073000000000089 +:040074000000000088 +:040075000000000087 +:040076000000000086 +:040077000000000085 +:040078000000000084 +:040079000000000083 +:04007A000000000082 +:04007B000000000081 +:04007C000000000080 +:04007D00000000007F +:04007E00000000007E +:04007F00000000007D +:04008000000000007C +:04008100000000007B +:04008200000000007A +:040083000000000079 +:040084000000000078 +:040085000000000077 +:040086000000000076 +:040087000000000075 +:040088000000000074 +:040089000000000073 +:04008A000000000072 +:04008B000000000071 +:04008C000000000070 +:04008D00000000006F +:04008E00000000006E +:04008F00000000006D +:04009000000000006C +:04009100000000006B +:04009200000000006A +:040093000000000069 +:040094000000000068 +:040095000000000067 +:040096000000000066 +:040097000000000065 +:040098000000000064 +:040099000000000063 +:04009A000000000062 +:04009B000000000061 +:04009C000000000060 +:04009D00000000005F +:04009E00000000005E +:04009F00000000005D +:0400A000000000005C +:0400A100000000005B +:0400A200000000005A +:0400A3000000000059 +:0400A4000000000058 +:0400A5000000000057 +:0400A6000000000056 +:0400A7000000000055 +:0400A8000000000054 +:0400A9000000000053 +:0400AA000000000052 +:0400AB000000000051 +:0400AC000000000050 +:0400AD00000000004F +:0400AE00000000004E +:0400AF00000000004D +:0400B000000000004C +:0400B100000000004B +:0400B200000000004A +:0400B3000000000049 +:0400B4000000000048 +:0400B5000000000047 +:0400B6000000000046 +:0400B7000000000045 +:0400B8000000000044 +:0400B9000000000043 +:0400BA000000000042 +:0400BB000000000041 +:0400BC000000000040 +:0400BD00000000003F +:0400BE00000000003E +:0400BF00000000003D +:0400C000000000003C +:0400C100000000003B +:0400C200000000003A +:0400C3000000000039 +:0400C4000000000038 +:0400C5000000000037 +:0400C6000000000036 +:0400C7000000000035 +:0400C8000000000034 +:0400C9000000000033 +:0400CA000000000032 +:0400CB000000000031 +:0400CC000000000030 +:0400CD00000000002F +:0400CE00000000002E +:0400CF00000000002D +:0400D000000000002C +:0400D100000000002B +:0400D200000000002A +:0400D3000000000029 +:0400D4000000000028 +:0400D5000000000027 +:0400D6000000000026 +:0400D7000000000025 +:0400D8000000000024 +:0400D9000000000023 +:0400DA000000000022 +:0400DB000000000021 +:0400DC000000000020 +:0400DD00000000001F +:0400DE00000000001E +:0400DF00000000001D +:0400E000000000001C +:0400E100000000001B +:0400E200000000001A +:0400E3000000000019 +:0400E4000000000018 +:0400E5000000000017 +:0400E6000000000016 +:0400E7000000000015 +:0400E8000000000014 +:0400E9000000000013 +:0400EA000000000012 +:0400EB000000000011 +:0400EC000000000010 +:0400ED00000000000F +:0400EE00000000000E +:0400EF00000000000D +:0400F000000000000C +:0400F100000000000B +:0400F200000000000A +:0400F3000000000009 +:0400F4000000000008 +:0400F5000000000007 +:0400F6000000000006 +:0400F7000000000005 +:0400F8000000000004 +:0400F9000000000003 +:0400FA000000000002 +:0400FB000000000001 +:0400FC000000000000 +:0400FD0000000000FF +:0400FE0000000000FE +:0400FF0000000000FD +:0401000000000000FB +:0401010000000000FA +:0401020000000000F9 +:0401030000000000F8 +:0401040000000000F7 +:0401050000000000F6 +:0401060000000000F5 +:0401070000000000F4 +:0401080000000000F3 +:0401090000000000F2 +:04010A0000000000F1 +:04010B0000000000F0 +:04010C0000000000EF +:04010D0000000000EE +:04010E0000000000ED +:04010F0000000000EC +:0401100000000000EB +:0401110000000000EA +:0401120000000000E9 +:0401130000000000E8 +:0401140000000000E7 +:0401150000000000E6 +:0401160000000000E5 +:0401170000000000E4 +:0401180000000000E3 +:0401190000000000E2 +:04011A0000000000E1 +:04011B0000000000E0 +:04011C0000000000DF +:04011D0000000000DE +:04011E0000000000DD +:04011F0000000000DC +:0401200000000000DB +:0401210000000000DA +:0401220000000000D9 +:0401230000000000D8 +:0401240000000000D7 +:0401250000000000D6 +:0401260000000000D5 +:0401270000000000D4 +:0401280000000000D3 +:0401290000000000D2 +:04012A0000000000D1 +:04012B0000000000D0 +:04012C0000000000CF +:04012D0000000000CE +:04012E0000000000CD +:04012F0000000000CC +:0401300000000000CB +:0401310000000000CA +:0401320000000000C9 +:0401330000000000C8 +:0401340000000000C7 +:0401350000000000C6 +:0401360000000000C5 +:0401370000000000C4 +:0401380000000000C3 +:0401390000000000C2 +:04013A0000000000C1 +:04013B0000000000C0 +:04013C0000000000BF +:04013D0000000000BE +:04013E0000000000BD +:04013F0000000000BC +:0401400000000000BB +:0401410000000000BA +:0401420000000000B9 +:0401430000000000B8 +:0401440000000000B7 +:0401450000000000B6 +:0401460000000000B5 +:0401470000000000B4 +:0401480000000000B3 +:0401490000000000B2 +:04014A0000000000B1 +:04014B0000000000B0 +:04014C0000000000AF +:04014D0000000000AE +:04014E0000000000AD +:04014F0000000000AC +:0401500000000000AB +:0401510000000000AA +:0401520000000000A9 +:0401530000000000A8 +:0401540000000000A7 +:0401550000000000A6 +:0401560000000000A5 +:0401570000000000A4 +:0401580000000000A3 +:0401590000000000A2 +:04015A0000000000A1 +:04015B0000000000A0 +:04015C00000000009F +:04015D00000000009E +:04015E00000000009D +:04015F00000000009C +:04016000000000009B +:04016100000000009A +:040162000000000099 +:040163000000000098 +:040164000000000097 +:040165000000000096 +:040166000000000095 +:040167000000000094 +:040168000000000093 +:040169000000000092 +:04016A000000000091 +:04016B000000000090 +:04016C00000000008F +:04016D00000000008E +:04016E00000000008D +:04016F00000000008C +:04017000000000008B +:04017100000000008A +:040172000000000089 +:040173000000000088 +:040174000000000087 +:040175000000000086 +:040176000000000085 +:040177000000000084 +:040178000000000083 +:040179000000000082 +:04017A000000000081 +:04017B000000000080 +:04017C00000000007F +:04017D00000000007E +:04017E00000000007D +:04017F00000000007C +:04018000000000007B +:04018100000000007A +:040182000000000079 +:040183000000000078 +:040184000000000077 +:040185000000000076 +:040186000000000075 +:040187000000000074 +:040188000000000073 +:040189000000000072 +:04018A000000000071 +:04018B000000000070 +:04018C00000000006F +:04018D00000000006E +:04018E00000000006D +:04018F00000000006C +:04019000000000006B +:04019100000000006A +:040192000000000069 +:040193000000000068 +:040194000000000067 +:040195000000000066 +:040196000000000065 +:040197000000000064 +:040198000000000063 +:040199000000000062 +:04019A000000000061 +:04019B000000000060 +:04019C00000000005F +:04019D00000000005E +:04019E00000000005D +:04019F00000000005C +:0401A000000000005B +:0401A100000000005A +:0401A2000000000059 +:0401A3000000000058 +:0401A4000000000057 +:0401A5000000000056 +:0401A6000000000055 +:0401A7000000000054 +:0401A8000000000053 +:0401A9000000000052 +:0401AA000000000051 +:0401AB000000000050 +:0401AC00000000004F +:0401AD00000000004E +:0401AE00000000004D +:0401AF00000000004C +:0401B000000000004B +:0401B100000000004A +:0401B2000000000049 +:0401B3000000000048 +:0401B4000000000047 +:0401B5000000000046 +:0401B6000000000045 +:0401B7000000000044 +:0401B8000000000043 +:0401B9000000000042 +:0401BA000000000041 +:0401BB000000000040 +:0401BC00000000003F +:0401BD00000000003E +:0401BE00000000003D +:0401BF00000000003C +:0401C000000000003B +:0401C100000000003A +:0401C2000000000039 +:0401C3000000000038 +:0401C4000000000037 +:0401C5000000000036 +:0401C6000000000035 +:0401C7000000000034 +:0401C8000000000033 +:0401C9000000000032 +:0401CA000000000031 +:0401CB000000000030 +:0401CC00000000002F +:0401CD00000000002E +:0401CE00000000002D +:0401CF00000000002C +:0401D000000000002B +:0401D100000000002A +:0401D2000000000029 +:0401D3000000000028 +:0401D4000000000027 +:0401D5000000000026 +:0401D6000000000025 +:0401D7000000000024 +:0401D8000000000023 +:0401D9000000000022 +:0401DA000000000021 +:0401DB000000000020 +:0401DC00000000001F +:0401DD00000000001E +:0401DE00000000001D +:0401DF00000000001C +:0401E000000000001B +:0401E100000000001A +:0401E2000000000019 +:0401E3000000000018 +:0401E4000000000017 +:0401E5000000000016 +:0401E6000000000015 +:0401E7000000000014 +:0401E8000000000013 +:0401E9000000000012 +:0401EA000000000011 +:0401EB000000000010 +:0401EC00000000000F +:0401ED00000000000E +:0401EE00000000000D +:0401EF00000000000C +:0401F000000000000B +:0401F100000000000A +:0401F2000000000009 +:0401F3000000000008 +:0401F4000000000007 +:0401F5000000000006 +:0401F6000000000005 +:0401F7000000000004 +:0401F8000000000003 +:0401F9000000000002 +:0401FA000000000001 +:0401FB000000000000 +:0401FC0000000000FF +:0401FD0000000000FE +:0401FE0000000000FD +:0401FF0000000000FC +:0402000000000000FA +:0402010000000000F9 +:0402020000000000F8 +:0402030000000000F7 +:0402040000000000F6 +:0402050000000000F5 +:0402060000000000F4 +:0402070000000000F3 +:0402080000000000F2 +:0402090000000000F1 +:04020A0000000000F0 +:04020B0000000000EF +:04020C0000000000EE +:04020D0000000000ED +:04020E0000000000EC +:04020F0000000000EB +:0402100000000000EA +:0402110000000000E9 +:0402120000000000E8 +:0402130000000000E7 +:0402140000000000E6 +:0402150000000000E5 +:0402160000000000E4 +:0402170000000000E3 +:0402180000000000E2 +:0402190000000000E1 +:04021A0000000000E0 +:04021B0000000000DF +:04021C0000000000DE +:04021D0000000000DD +:04021E0000000000DC +:04021F0000000000DB +:0402200000000000DA +:0402210000000000D9 +:0402220000000000D8 +:0402230000000000D7 +:0402240000000000D6 +:0402250000000000D5 +:0402260000000000D4 +:0402270000000000D3 +:0402280000000000D2 +:0402290000000000D1 +:04022A0000000000D0 +:04022B0000000000CF +:04022C0000000000CE +:04022D0000000000CD +:04022E0000000000CC +:04022F0000000000CB +:0402300000000000CA +:0402310000000000C9 +:0402320000000000C8 +:0402330000000000C7 +:0402340000000000C6 +:0402350000000000C5 +:0402360000000000C4 +:0402370000000000C3 +:0402380000000000C2 +:0402390000000000C1 +:04023A0000000000C0 +:04023B0000000000BF +:04023C0000000000BE +:04023D0000000000BD +:04023E0000000000BC +:04023F0000000000BB +:0402400000000000BA +:0402410000000000B9 +:0402420000000000B8 +:0402430000000000B7 +:0402440000000000B6 +:0402450000000000B5 +:0402460000000000B4 +:0402470000000000B3 +:0402480000000000B2 +:0402490000000000B1 +:04024A0000000000B0 +:04024B0000000000AF +:04024C0000000000AE +:04024D0000000000AD +:04024E0000000000AC +:04024F0000000000AB +:0402500000000000AA +:0402510000000000A9 +:0402520000000000A8 +:0402530000000000A7 +:0402540000000000A6 +:0402550000000000A5 +:0402560000000000A4 +:0402570000000000A3 +:0402580000000000A2 +:0402590000000000A1 +:04025A0000000000A0 +:04025B00000000009F +:04025C00000000009E +:04025D00000000009D +:04025E00000000009C +:04025F00000000009B +:04026000000000009A +:040261000000000099 +:040262000000000098 +:040263000000000097 +:040264000000000096 +:040265000000000095 +:040266000000000094 +:040267000000000093 +:040268000000000092 +:040269000000000091 +:04026A000000000090 +:04026B00000000008F +:04026C00000000008E +:04026D00000000008D +:04026E00000000008C +:04026F00000000008B +:04027000000000008A +:040271000000000089 +:040272000000000088 +:040273000000000087 +:040274000000000086 +:040275000000000085 +:040276000000000084 +:040277000000000083 +:040278000000000082 +:040279000000000081 +:04027A000000000080 +:04027B00000000007F +:04027C00000000007E +:04027D00000000007D +:04027E00000000007C +:04027F00000000007B +:04028000000000007A +:040281000000000079 +:040282000000000078 +:040283000000000077 +:040284000000000076 +:040285000000000075 +:040286000000000074 +:040287000000000073 +:040288000000000072 +:040289000000000071 +:04028A000000000070 +:04028B00000000006F +:04028C00000000006E +:04028D00000000006D +:04028E00000000006C +:04028F00000000006B +:04029000000000006A +:040291000000000069 +:040292000000000068 +:040293000000000067 +:040294000000000066 +:040295000000000065 +:040296000000000064 +:040297000000000063 +:040298000000000062 +:040299000000000061 +:04029A000000000060 +:04029B00000000005F +:04029C00000000005E +:04029D00000000005D +:04029E00000000005C +:04029F00000000005B +:0402A000000000005A +:0402A1000000000059 +:0402A2000000000058 +:0402A3000000000057 +:0402A4000000000056 +:0402A5000000000055 +:0402A6000000000054 +:0402A7000000000053 +:0402A8000000000052 +:0402A9000000000051 +:0402AA000000000050 +:0402AB00000000004F +:0402AC00000000004E +:0402AD00000000004D +:0402AE00000000004C +:0402AF00000000004B +:0402B000000000004A +:0402B1000000000049 +:0402B2000000000048 +:0402B3000000000047 +:0402B4000000000046 +:0402B5000000000045 +:0402B6000000000044 +:0402B7000000000043 +:0402B8000000000042 +:0402B9000000000041 +:0402BA000000000040 +:0402BB00000000003F +:0402BC00000000003E +:0402BD00000000003D +:0402BE00000000003C +:0402BF00000000003B +:0402C000000000003A +:0402C1000000000039 +:0402C2000000000038 +:0402C3000000000037 +:0402C4000000000036 +:0402C5000000000035 +:0402C6000000000034 +:0402C7000000000033 +:0402C8000000000032 +:0402C9000000000031 +:0402CA000000000030 +:0402CB00000000002F +:0402CC00000000002E +:0402CD00000000002D +:0402CE00000000002C +:0402CF00000000002B +:0402D000000000002A +:0402D1000000000029 +:0402D2000000000028 +:0402D3000000000027 +:0402D4000000000026 +:0402D5000000000025 +:0402D6000000000024 +:0402D7000000000023 +:0402D8000000000022 +:0402D9000000000021 +:0402DA000000000020 +:0402DB00000000001F +:0402DC00000000001E +:0402DD00000000001D +:0402DE00000000001C +:0402DF00000000001B +:0402E000000000001A +:0402E1000000000019 +:0402E2000000000018 +:0402E3000000000017 +:0402E4000000000016 +:0402E5000000000015 +:0402E6000000000014 +:0402E7000000000013 +:0402E8000000000012 +:0402E9000000000011 +:0402EA000000000010 +:0402EB00000000000F +:0402EC00000000000E +:0402ED00000000000D +:0402EE00000000000C +:0402EF00000000000B +:0402F000000000000A +:0402F1000000000009 +:0402F2000000000008 +:0402F3000000000007 +:0402F4000000000006 +:0402F5000000000005 +:0402F6000000000004 +:0402F7000000000003 +:0402F8000000000002 +:0402F9000000000001 +:0402FA000000000000 +:0402FB0000000000FF +:0402FC0000000000FE +:0402FD0000000000FD +:0402FE0000000000FC +:0402FF0000000000FB +:0403000000000000F9 +:0403010000000000F8 +:0403020000000000F7 +:0403030000000000F6 +:0403040000000000F5 +:0403050000000000F4 +:0403060000000000F3 +:0403070000000000F2 +:0403080000000000F1 +:0403090000000000F0 +:04030A0000000000EF +:04030B0000000000EE +:04030C0000000000ED +:04030D0000000000EC +:04030E0000000000EB +:04030F0000000000EA +:0403100000000000E9 +:0403110000000000E8 +:0403120000000000E7 +:0403130000000000E6 +:0403140000000000E5 +:0403150000000000E4 +:0403160000000000E3 +:0403170000000000E2 +:0403180000000000E1 +:0403190000000000E0 +:04031A0000000000DF +:04031B0000000000DE +:04031C0000000000DD +:04031D0000000000DC +:04031E0000000000DB +:04031F0000000000DA +:0403200000000000D9 +:0403210000000000D8 +:0403220000000000D7 +:0403230000000000D6 +:0403240000000000D5 +:0403250000000000D4 +:0403260000000000D3 +:0403270000000000D2 +:0403280000000000D1 +:0403290000000000D0 +:04032A0000000000CF +:04032B0000000000CE +:04032C0000000000CD +:04032D0000000000CC +:04032E0000000000CB +:04032F0000000000CA +:0403300000000000C9 +:0403310000000000C8 +:0403320000000000C7 +:0403330000000000C6 +:0403340000000000C5 +:0403350000000000C4 +:0403360000000000C3 +:0403370000000000C2 +:0403380000000000C1 +:0403390000000000C0 +:04033A0000000000BF +:04033B0000000000BE +:04033C0000000000BD +:04033D0000000000BC +:04033E0000000000BB +:04033F0000000000BA +:0403400000000000B9 +:0403410000000000B8 +:0403420000000000B7 +:0403430000000000B6 +:0403440000000000B5 +:0403450000000000B4 +:0403460000000000B3 +:0403470000000000B2 +:0403480000000000B1 +:0403490000000000B0 +:04034A0000000000AF +:04034B0000000000AE +:04034C0000000000AD +:04034D0000000000AC +:04034E0000000000AB +:04034F0000000000AA +:0403500000000000A9 +:0403510000000000A8 +:0403520000000000A7 +:0403530000000000A6 +:0403540000000000A5 +:0403550000000000A4 +:0403560000000000A3 +:0403570000000000A2 +:0403580000000000A1 +:0403590000000000A0 +:04035A00000000009F +:04035B00000000009E +:04035C00000000009D +:04035D00000000009C +:04035E00000000009B +:04035F00000000009A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000000091 +:040369000000000090 +:04036A00000000008F +:04036B00000000008E +:04036C00000000008D +:04036D00000000008C +:04036E00000000008B +:04036F00000000008A +:040370000000000089 +:040371000000000088 +:040372000000000087 +:040373000000000086 +:040374000000000085 +:040375000000000084 +:040376000000000083 +:040377000000000082 +:040378000000000081 +:040379000000000080 +:04037A00000000007F +:04037B00000000007E +:04037C00000000007D +:04037D00000000007C +:04037E00000000007B +:04037F00000000007A +:040380000000000079 +:040381000000000078 +:040382000000000077 +:040383000000000076 +:040384000000000075 +:040385000000000074 +:040386000000000073 +:040387000000000072 +:040388000000000071 +:040389000000000070 +:04038A00000000006F +:04038B00000000006E +:04038C00000000006D +:04038D00000000006C +:04038E00000000006B +:04038F00000000006A +:040390000000000069 +:040391000000000068 +:040392000000000067 +:040393000000000066 +:040394000000000065 +:040395000000000064 +:040396000000000063 +:040397000000000062 +:040398000000000061 +:040399000000000060 +:04039A00000000005F +:04039B00000000005E +:04039C00000000005D +:04039D00000000005C +:04039E00000000005B +:04039F00000000005A +:0403A0000000000059 +:0403A1000000000058 +:0403A2000000000057 +:0403A3000000000056 +:0403A4000000000055 +:0403A5000000000054 +:0403A6000000000053 +:0403A7000000000052 +:0403A8000000000051 +:0403A9000000000050 +:0403AA00000000004F +:0403AB00000000004E +:0403AC00000000004D +:0403AD00000000004C +:0403AE00000000004B +:0403AF00000000004A +:0403B0000000000049 +:0403B1000000000048 +:0403B2000000000047 +:0403B3000000000046 +:0403B4000000000045 +:0403B5000000000044 +:0403B6000000000043 +:0403B7000000000042 +:0403B8000000000041 +:0403B9000000000040 +:0403BA00000000003F +:0403BB00000000003E +:0403BC00000000003D +:0403BD00000000003C +:0403BE00000000003B +:0403BF00000000003A +:0403C0000000000039 +:0403C1000000000038 +:0403C2000000000037 +:0403C3000000000036 +:0403C4000000000035 +:0403C5000000000034 +:0403C6000000000033 +:0403C7000000000032 +:0403C8000000000031 +:0403C9000000000030 +:0403CA00000000002F +:0403CB00000000002E +:0403CC00000000002D +:0403CD00000000002C +:0403CE00000000002B +:0403CF00000000002A +:0403D0000000000029 +:0403D1000000000028 +:0403D2000000000027 +:0403D3000000000026 +:0403D4000000000025 +:0403D5000000000024 +:0403D6000000000023 +:0403D7000000000022 +:0403D8000000000021 +:0403D9000000000020 +:0403DA00000000001F +:0403DB00000000001E +:0403DC00000000001D +:0403DD00000000001C +:0403DE00000000001B +:0403DF00000000001A +:0403E0000000000019 +:0403E1000000000018 +:0403E2000000000017 +:0403E3000000000016 +:0403E4000000000015 +:0403E5000000000014 +:0403E6000000000013 +:0403E7000000000012 +:0403E8000000000011 +:0403E9000000000010 +:0403EA00000000000F +:0403EB00000000000E +:0403EC00000000000D +:0403ED00000000000C +:0403EE00000000000B +:0403EF00000000000A +:0403F0000000000009 +:0403F1000000000008 +:0403F2000000000007 +:0403F3000000000006 +:0403F4000000000005 +:0403F5000000000004 +:0403F6000000000003 +:0403F7000000000002 +:0403F8000000000001 +:0403F9000000000000 +:0403FA0000000000FF +:0403FB0000000000FE +:0403FC0000000000FD +:0403FD0000000000FC +:0403FE0000000000FB +:0403FF0000000000FA +:0404000000000000F8 +:0404010000000000F7 +:0404020000000000F6 +:0404030000000000F5 +:0404040000000000F4 +:0404050000000000F3 +:0404060000000000F2 +:0404070000000000F1 +:0404080000000000F0 +:0404090000000000EF +:04040A0000000000EE +:04040B0000000000ED +:04040C0000000000EC +:04040D0000000000EB +:04040E0000000000EA +:04040F0000000000E9 +:0404100000000000E8 +:0404110000000000E7 +:0404120000000000E6 +:0404130000000000E5 +:0404140000000000E4 +:0404150000000000E3 +:0404160000000000E2 +:0404170000000000E1 +:0404180000000000E0 +:0404190000000000DF +:04041A0000000000DE +:04041B0000000000DD +:04041C0000000000DC +:04041D0000000000DB +:04041E0000000000DA +:04041F0000000000D9 +:0404200000000000D8 +:0404210000000000D7 +:0404220000000000D6 +:0404230000000000D5 +:0404240000000000D4 +:0404250000000000D3 +:0404260000000000D2 +:0404270000000000D1 +:0404280000000000D0 +:0404290000000000CF +:04042A0000000000CE +:04042B0000000000CD +:04042C0000000000CC +:04042D0000000000CB +:04042E0000000000CA +:04042F0000000000C9 +:0404300000000000C8 +:0404310000000000C7 +:0404320000000000C6 +:0404330000000000C5 +:0404340000000000C4 +:0404350000000000C3 +:0404360000000000C2 +:0404370000000000C1 +:0404380000000000C0 +:0404390000000000BF +:04043A0000000000BE +:04043B0000000000BD +:04043C0000000000BC +:04043D0000000000BB +:04043E0000000000BA +:04043F0000000000B9 +:0404400000000000B8 +:0404410000000000B7 +:0404420000000000B6 +:0404430000000000B5 +:0404440000000000B4 +:0404450000000000B3 +:0404460000000000B2 +:0404470000000000B1 +:0404480000000000B0 +:0404490000000000AF +:04044A0000000000AE +:04044B0000000000AD +:04044C0000000000AC +:04044D0000000000AB +:04044E0000000000AA +:04044F0000000000A9 +:0404500000000000A8 +:0404510000000000A7 +:0404520000000000A6 +:0404530000000000A5 +:0404540000000000A4 +:0404550000000000A3 +:0404560000000000A2 +:0404570000000000A1 +:0404580000000000A0 +:04045900000000009F +:04045A00000000009E +:04045B00000000009D +:04045C00000000009C +:04045D00000000009B +:04045E00000000009A +:04045F000000000099 +:040460000000000098 +:040461000000000097 +:040462000000000096 +:040463000000000095 +:040464000000000094 +:040465000000000093 +:040466000000000092 +:040467000000000091 +:040468000000000090 +:04046900000000008F +:04046A00000000008E +:04046B00000000008D +:04046C00000000008C +:04046D00000000008B +:04046E00000000008A +:04046F000000000089 +:040470000000000088 +:040471000000000087 +:040472000000000086 +:040473000000000085 +:040474000000000084 +:040475000000000083 +:040476000000000082 +:040477000000000081 +:040478000000000080 +:04047900000000007F +:04047A00000000007E +:04047B00000000007D +:04047C00000000007C +:04047D00000000007B +:04047E00000000007A +:04047F000000000079 +:040480000000000078 +:040481000000000077 +:040482000000000076 +:040483000000000075 +:040484000000000074 +:040485000000000073 +:040486000000000072 +:040487000000000071 +:040488000000000070 +:04048900000000006F +:04048A00000000006E +:04048B00000000006D +:04048C00000000006C +:04048D00000000006B +:04048E00000000006A +:04048F000000000069 +:040490000000000068 +:040491000000000067 +:040492000000000066 +:040493000000000065 +:040494000000000064 +:040495000000000063 +:040496000000000062 +:040497000000000061 +:040498000000000060 +:04049900000000005F +:04049A00000000005E +:04049B00000000005D +:04049C00000000005C +:04049D00000000005B +:04049E00000000005A +:04049F000000000059 +:0404A0000000000058 +:0404A1000000000057 +:0404A2000000000056 +:0404A3000000000055 +:0404A4000000000054 +:0404A5000000000053 +:0404A6000000000052 +:0404A7000000000051 +:0404A8000000000050 +:0404A900000000004F +:0404AA00000000004E +:0404AB00000000004D +:0404AC00000000004C +:0404AD00000000004B +:0404AE00000000004A +:0404AF000000000049 +:0404B0000000000048 +:0404B1000000000047 +:0404B2000000000046 +:0404B3000000000045 +:0404B4000000000044 +:0404B5000000000043 +:0404B6000000000042 +:0404B7000000000041 +:0404B8000000000040 +:0404B900000000003F +:0404BA00000000003E +:0404BB00000000003D +:0404BC00000000003C +:0404BD00000000003B +:0404BE00000000003A +:0404BF000000000039 +:0404C0000000000038 +:0404C1000000000037 +:0404C2000000000036 +:0404C3000000000035 +:0404C4000000000034 +:0404C5000000000033 +:0404C6000000000032 +:0404C7000000000031 +:0404C8000000000030 +:0404C900000000002F +:0404CA00000000002E +:0404CB00000000002D +:0404CC00000000002C +:0404CD00000000002B +:0404CE00000000002A +:0404CF000000000029 +:0404D0000000000028 +:0404D1000000000027 +:0404D2000000000026 +:0404D3000000000025 +:0404D4000000000024 +:0404D5000000000023 +:0404D6000000000022 +:0404D7000000000021 +:0404D8000000000020 +:0404D900000000001F +:0404DA00000000001E +:0404DB00000000001D +:0404DC00000000001C +:0404DD00000000001B +:0404DE00000000001A +:0404DF000000000019 +:0404E0000000000018 +:0404E1000000000017 +:0404E2000000000016 +:0404E3000000000015 +:0404E4000000000014 +:0404E5000000000013 +:0404E6000000000012 +:0404E7000000000011 +:0404E8000000000010 +:0404E900000000000F +:0404EA00000000000E +:0404EB00000000000D +:0404EC00000000000C +:0404ED00000000000B +:0404EE00000000000A +:0404EF000000000009 +:0404F0000000000008 +:0404F1000000000007 +:0404F2000000000006 +:0404F3000000000005 +:0404F4000000000004 +:0404F5000000000003 +:0404F6000000000002 +:0404F7000000000001 +:0404F8000000000000 +:0404F90000000000FF +:0404FA0000000000FE +:0404FB0000000000FD +:0404FC0000000000FC +:0404FD0000000000FB +:0404FE0000000000FA +:0404FF0000000000F9 +:0405000000000000F7 +:0405010000000000F6 +:0405020000000000F5 +:0405030000000000F4 +:0405040000000000F3 +:0405050000000000F2 +:0405060000000000F1 +:0405070000000000F0 +:0405080000000000EF +:0405090000000000EE +:04050A0000000000ED +:04050B0000000000EC +:04050C0000000000EB +:04050D0000000000EA +:04050E0000000000E9 +:04050F0000000000E8 +:0405100000000000E7 +:0405110000000000E6 +:0405120000000000E5 +:0405130000000000E4 +:0405140000000000E3 +:0405150000000000E2 +:0405160000000000E1 +:0405170000000000E0 +:0405180000000000DF +:0405190000000000DE +:04051A0000000000DD +:04051B0000000000DC +:04051C0000000000DB +:04051D0000000000DA +:04051E0000000000D9 +:04051F0000000000D8 +:0405200000000000D7 +:0405210000000000D6 +:0405220000000000D5 +:0405230000000000D4 +:0405240000000000D3 +:0405250000000000D2 +:0405260000000000D1 +:0405270000000000D0 +:0405280000000000CF +:0405290000000000CE +:04052A0000000000CD +:04052B0000000000CC +:04052C0000000000CB +:04052D0000000000CA +:04052E0000000000C9 +:04052F0000000000C8 +:0405300000000000C7 +:0405310000000000C6 +:0405320000000000C5 +:0405330000000000C4 +:0405340000000000C3 +:0405350000000000C2 +:0405360000000000C1 +:0405370000000000C0 +:0405380000000000BF +:0405390000000000BE +:04053A0000000000BD +:04053B0000000000BC +:04053C0000000000BB +:04053D0000000000BA +:04053E0000000000B9 +:04053F0000000000B8 +:0405400000000000B7 +:0405410000000000B6 +:0405420000000000B5 +:0405430000000000B4 +:0405440000000000B3 +:0405450000000000B2 +:0405460000000000B1 +:0405470000000000B0 +:0405480000000000AF +:0405490000000000AE +:04054A0000000000AD +:04054B0000000000AC +:04054C0000000000AB +:04054D0000000000AA +:04054E0000000000A9 +:04054F0000000000A8 +:0405500000000000A7 +:0405510000000000A6 +:0405520000000000A5 +:0405530000000000A4 +:0405540000000000A3 +:0405550000000000A2 +:0405560000000000A1 +:0405570000000000A0 +:04055800000000009F +:04055900000000009E +:04055A00000000009D +:04055B00000000009C +:04055C00000000009B +:04055D00000000009A +:04055E000000000099 +:04055F000000000098 +:040560000000000097 +:040561000000000096 +:040562000000000095 +:040563000000000094 +:040564000000000093 +:040565000000000092 +:040566000000000091 +:040567000000000090 +:04056800000000008F +:04056900000000008E +:04056A00000000008D +:04056B00000000008C +:04056C00000000008B +:04056D00000000008A +:04056E000000000089 +:04056F000000000088 +:040570000000000087 +:040571000000000086 +:040572000000000085 +:040573000000000084 +:040574000000000083 +:040575000000000082 +:040576000000000081 +:040577000000000080 +:04057800000000007F +:04057900000000007E +:04057A00000000007D +:04057B00000000007C +:04057C00000000007B +:04057D00000000007A +:04057E000000000079 +:04057F000000000078 +:040580000000000077 +:040581000000000076 +:040582000000000075 +:040583000000000074 +:040584000000000073 +:040585000000000072 +:040586000000000071 +:040587000000000070 +:04058800000000006F +:04058900000000006E +:04058A00000000006D +:04058B00000000006C +:04058C00000000006B +:04058D00000000006A +:04058E000000000069 +:04058F000000000068 +:040590000000000067 +:040591000000000066 +:040592000000000065 +:040593000000000064 +:040594000000000063 +:040595000000000062 +:040596000000000061 +:040597000000000060 +:04059800000000005F +:04059900000000005E +:04059A00000000005D +:04059B00000000005C +:04059C00000000005B +:04059D00000000005A +:04059E000000000059 +:04059F000000000058 +:0405A0000000000057 +:0405A1000000000056 +:0405A2000000000055 +:0405A3000000000054 +:0405A4000000000053 +:0405A5000000000052 +:0405A6000000000051 +:0405A7000000000050 +:0405A800000000004F +:0405A900000000004E +:0405AA00000000004D +:0405AB00000000004C +:0405AC00000000004B +:0405AD00000000004A +:0405AE000000000049 +:0405AF000000000048 +:0405B0000000000047 +:0405B1000000000046 +:0405B2000000000045 +:0405B3000000000044 +:0405B4000000000043 +:0405B5000000000042 +:0405B6000000000041 +:0405B7000000000040 +:0405B800000000003F +:0405B900000000003E +:0405BA00000000003D +:0405BB00000000003C +:0405BC00000000003B +:0405BD00000000003A +:0405BE000000000039 +:0405BF000000000038 +:0405C0000000000037 +:0405C1000000000036 +:0405C2000000000035 +:0405C3000000000034 +:0405C4000000000033 +:0405C5000000000032 +:0405C6000000000031 +:0405C7000000000030 +:0405C800000000002F +:0405C900000000002E +:0405CA00000000002D +:0405CB00000000002C +:0405CC00000000002B +:0405CD00000000002A +:0405CE000000000029 +:0405CF000000000028 +:0405D0000000000027 +:0405D1000000000026 +:0405D2000000000025 +:0405D3000000000024 +:0405D4000000000023 +:0405D5000000000022 +:0405D6000000000021 +:0405D7000000000020 +:0405D800000000001F +:0405D900000000001E +:0405DA00000000001D +:0405DB00000000001C +:0405DC00000000001B +:0405DD00000000001A +:0405DE000000000019 +:0405DF000000000018 +:0405E0000000000017 +:0405E1000000000016 +:0405E2000000000015 +:0405E3000000000014 +:0405E4000000000013 +:0405E5000000000012 +:0405E6000000000011 +:0405E7000000000010 +:0405E800000000000F +:0405E900000000000E +:0405EA00000000000D +:0405EB00000000000C +:0405EC00000000000B +:0405ED00000000000A +:0405EE000000000009 +:0405EF000000000008 +:0405F0000000000007 +:0405F1000000000006 +:0405F2000000000005 +:0405F3000000000004 +:0405F4000000000003 +:0405F5000000000002 +:0405F6000000000001 +:0405F7000000000000 +:0405F80000000000FF +:0405F90000000000FE +:0405FA0000000000FD +:0405FB0000000000FC +:0405FC0000000000FB +:0405FD0000000000FA +:0405FE0000000000F9 +:0405FF0000000000F8 +:0406000000000000F6 +:0406010000000000F5 +:0406020000000000F4 +:0406030000000000F3 +:0406040000000000F2 +:0406050000000000F1 +:0406060000000000F0 +:0406070000000000EF +:0406080000000000EE +:0406090000000000ED +:04060A0000000000EC +:04060B0000000000EB +:04060C0000000000EA +:04060D0000000000E9 +:04060E0000000000E8 +:04060F0000000000E7 +:0406100000000000E6 +:0406110000000000E5 +:0406120000000000E4 +:0406130000000000E3 +:0406140000000000E2 +:0406150000000000E1 +:0406160000000000E0 +:0406170000000000DF +:0406180000000000DE +:0406190000000000DD +:04061A0000000000DC +:04061B0000000000DB +:04061C0000000000DA +:04061D0000000000D9 +:04061E0000000000D8 +:04061F0000000000D7 +:0406200000000000D6 +:0406210000000000D5 +:0406220000000000D4 +:0406230000000000D3 +:0406240000000000D2 +:0406250000000000D1 +:0406260000000000D0 +:0406270000000000CF +:0406280000000000CE +:0406290000000000CD +:04062A0000000000CC +:04062B0000000000CB +:04062C0000000000CA +:04062D0000000000C9 +:04062E0000000000C8 +:04062F0000000000C7 +:0406300000000000C6 +:0406310000000000C5 +:0406320000000000C4 +:0406330000000000C3 +:0406340000000000C2 +:0406350000000000C1 +:0406360000000000C0 +:0406370000000000BF +:0406380000000000BE +:0406390000000000BD +:04063A0000000000BC +:04063B0000000000BB +:04063C0000000000BA +:04063D0000000000B9 +:04063E0000000000B8 +:04063F0000000000B7 +:0406400000000000B6 +:0406410000000000B5 +:0406420000000000B4 +:0406430000000000B3 +:0406440000000000B2 +:0406450000000000B1 +:0406460000000000B0 +:0406470000000000AF +:0406480000000000AE +:0406490000000000AD +:04064A0000000000AC +:04064B0000000000AB +:04064C0000000000AA +:04064D0000000000A9 +:04064E0000000000A8 +:04064F0000000000A7 +:0406500000000000A6 +:0406510000000000A5 +:0406520000000000A4 +:0406530000000000A3 +:0406540000000000A2 +:0406550000000000A1 +:0406560000000000A0 +:04065700000000009F +:04065800000000009E +:04065900000000009D +:04065A00000000009C +:04065B00000000009B +:04065C00000000009A +:04065D000000000099 +:04065E000000000098 +:04065F000000000097 +:040660000000000096 +:040661000000000095 +:040662000000000094 +:040663000000000093 +:040664000000000092 +:040665000000000091 +:040666000000000090 +:04066700000000008F +:04066800000000008E +:04066900000000008D +:04066A00000000008C +:04066B00000000008B +:04066C00000000008A +:04066D000000000089 +:04066E000000000088 +:04066F000000000087 +:040670000000000086 +:040671000000000085 +:040672000000000084 +:040673000000000083 +:040674000000000082 +:040675000000000081 +:040676000000000080 +:04067700000000007F +:04067800000000007E +:04067900000000007D +:04067A00000000007C +:04067B00000000007B +:04067C00000000007A +:04067D000000000079 +:04067E000000000078 +:04067F000000000077 +:040680000000000076 +:040681000000000075 +:040682000000000074 +:040683000000000073 +:040684000000000072 +:040685000000000071 +:040686000000000070 +:04068700000000006F +:04068800000000006E +:04068900000000006D +:04068A00000000006C +:04068B00000000006B +:04068C00000000006A +:04068D000000000069 +:04068E000000000068 +:04068F000000000067 +:040690000000000066 +:040691000000000065 +:040692000000000064 +:040693000000000063 +:040694000000000062 +:040695000000000061 +:040696000000000060 +:04069700000000005F +:04069800000000005E +:04069900000000005D +:04069A00000000005C +:04069B00000000005B +:04069C00000000005A +:04069D000000000059 +:04069E000000000058 +:04069F000000000057 +:0406A0000000000056 +:0406A1000000000055 +:0406A2000000000054 +:0406A3000000000053 +:0406A4000000000052 +:0406A5000000000051 +:0406A6000000000050 +:0406A700000000004F +:0406A800000000004E +:0406A900000000004D +:0406AA00000000004C +:0406AB00000000004B +:0406AC00000000004A +:0406AD000000000049 +:0406AE000000000048 +:0406AF000000000047 +:0406B0000000000046 +:0406B1000000000045 +:0406B2000000000044 +:0406B3000000000043 +:0406B4000000000042 +:0406B5000000000041 +:0406B6000000000040 +:0406B700000000003F +:0406B800000000003E +:0406B900000000003D +:0406BA00000000003C +:0406BB00000000003B +:0406BC00000000003A +:0406BD000000000039 +:0406BE000000000038 +:0406BF000000000037 +:0406C0000000000036 +:0406C1000000000035 +:0406C2000000000034 +:0406C3000000000033 +:0406C4000000000032 +:0406C5000000000031 +:0406C6000000000030 +:0406C700000000002F +:0406C800000000002E +:0406C900000000002D +:0406CA00000000002C +:0406CB00000000002B +:0406CC00000000002A +:0406CD000000000029 +:0406CE000000000028 +:0406CF000000000027 +:0406D0000000000026 +:0406D1000000000025 +:0406D2000000000024 +:0406D3000000000023 +:0406D4000000000022 +:0406D5000000000021 +:0406D6000000000020 +:0406D700000000001F +:0406D800000000001E +:0406D900000000001D +:0406DA00000000001C +:0406DB00000000001B +:0406DC00000000001A +:0406DD000000000019 +:0406DE000000000018 +:0406DF000000000017 +:0406E0000000000016 +:0406E1000000000015 +:0406E2000000000014 +:0406E3000000000013 +:0406E4000000000012 +:0406E5000000000011 +:0406E6000000000010 +:0406E700000000000F +:0406E800000000000E +:0406E900000000000D +:0406EA00000000000C +:0406EB00000000000B +:0406EC00000000000A +:0406ED000000000009 +:0406EE000000000008 +:0406EF000000000007 +:0406F0000000000006 +:0406F1000000000005 +:0406F2000000000004 +:0406F3000000000003 +:0406F4000000000002 +:0406F5000000000001 +:0406F6000000000000 +:0406F70000000000FF +:0406F80000000000FE +:0406F90000000000FD +:0406FA0000000000FC +:0406FB0000000000FB +:0406FC0000000000FA +:0406FD0000000000F9 +:0406FE0000000000F8 +:0406FF0000000000F7 +:0407000000000000F5 +:0407010000000000F4 +:0407020000000000F3 +:0407030000000000F2 +:0407040000000000F1 +:0407050000000000F0 +:0407060000000000EF +:0407070000000000EE +:0407080000000000ED +:0407090000000000EC +:04070A0000000000EB +:04070B0000000000EA +:04070C0000000000E9 +:04070D0000000000E8 +:04070E0000000000E7 +:04070F0000000000E6 +:0407100000000000E5 +:0407110000000000E4 +:0407120000000000E3 +:0407130000000000E2 +:0407140000000000E1 +:0407150000000000E0 +:0407160000000000DF +:0407170000000000DE +:0407180000000000DD +:0407190000000000DC +:04071A0000000000DB +:04071B0000000000DA +:04071C0000000000D9 +:04071D0000000000D8 +:04071E0000000000D7 +:04071F0000000000D6 +:0407200000000000D5 +:0407210000000000D4 +:0407220000000000D3 +:0407230000000000D2 +:0407240000000000D1 +:0407250000000000D0 +:0407260000000000CF +:0407270000000000CE +:0407280000000000CD +:0407290000000000CC +:04072A0000000000CB +:04072B0000000000CA +:04072C0000000000C9 +:04072D0000000000C8 +:04072E0000000000C7 +:04072F0000000000C6 +:0407300000000000C5 +:0407310000000000C4 +:0407320000000000C3 +:0407330000000000C2 +:0407340000000000C1 +:0407350000000000C0 +:0407360000000000BF +:0407370000000000BE +:0407380000000000BD +:0407390000000000BC +:04073A0000000000BB +:04073B0000000000BA +:04073C0000000000B9 +:04073D0000000000B8 +:04073E0000000000B7 +:04073F0000000000B6 +:0407400000000000B5 +:0407410000000000B4 +:0407420000000000B3 +:0407430000000000B2 +:0407440000000000B1 +:0407450000000000B0 +:0407460000000000AF +:0407470000000000AE +:0407480000000000AD +:0407490000000000AC +:04074A0000000000AB +:04074B0000000000AA +:04074C0000000000A9 +:04074D0000000000A8 +:04074E0000000000A7 +:04074F0000000000A6 +:0407500000000000A5 +:0407510000000000A4 +:0407520000000000A3 +:0407530000000000A2 +:0407540000000000A1 +:0407550000000000A0 +:04075600000000009F +:04075700000000009E +:04075800000000009D +:04075900000000009C +:04075A00000000009B +:04075B00000000009A +:04075C000000000099 +:04075D000000000098 +:04075E000000000097 +:04075F000000000096 +:040760000000000095 +:040761000000000094 +:040762000000000093 +:040763000000000092 +:040764000000000091 +:040765000000000090 +:04076600000000008F +:04076700000000008E +:04076800000000008D +:04076900000000008C +:04076A00000000008B +:04076B00000000008A +:04076C000000000089 +:04076D000000000088 +:04076E000000000087 +:04076F000000000086 +:040770000000000085 +:040771000000000084 +:040772000000000083 +:040773000000000082 +:040774000000000081 +:040775000000000080 +:04077600000000007F +:04077700000000007E +:04077800000000007D +:04077900000000007C +:04077A00000000007B +:04077B00000000007A +:04077C000000000079 +:04077D000000000078 +:04077E000000000077 +:04077F000000000076 +:040780000000000075 +:040781000000000074 +:040782000000000073 +:040783000000000072 +:040784000000000071 +:040785000000000070 +:04078600000000006F +:04078700000000006E +:04078800000000006D +:04078900000000006C +:04078A00000000006B +:04078B00000000006A +:04078C000000000069 +:04078D000000000068 +:04078E000000000067 +:04078F000000000066 +:040790000000000065 +:040791000000000064 +:040792000000000063 +:040793000000000062 +:040794000000000061 +:040795000000000060 +:04079600000000005F +:04079700000000005E +:04079800000000005D +:04079900000000005C +:04079A00000000005B +:04079B00000000005A +:04079C000000000059 +:04079D000000000058 +:04079E000000000057 +:04079F000000000056 +:0407A0000000000055 +:0407A1000000000054 +:0407A2000000000053 +:0407A3000000000052 +:0407A4000000000051 +:0407A5000000000050 +:0407A600000000004F +:0407A700000000004E +:0407A800000000004D +:0407A900000000004C +:0407AA00000000004B +:0407AB00000000004A +:0407AC000000000049 +:0407AD000000000048 +:0407AE000000000047 +:0407AF000000000046 +:0407B0000000000045 +:0407B1000000000044 +:0407B2000000000043 +:0407B3000000000042 +:0407B4000000000041 +:0407B5000000000040 +:0407B600000000003F +:0407B700000000003E +:0407B800000000003D +:0407B900000000003C +:0407BA00000000003B +:0407BB00000000003A +:0407BC000000000039 +:0407BD000000000038 +:0407BE000000000037 +:0407BF000000000036 +:0407C0000000000035 +:0407C1000000000034 +:0407C2000000000033 +:0407C3000000000032 +:0407C4000000000031 +:0407C5000000000030 +:0407C600000000002F +:0407C700000000002E +:0407C800000000002D +:0407C900000000002C +:0407CA00000000002B +:0407CB00000000002A +:0407CC000000000029 +:0407CD000000000028 +:0407CE000000000027 +:0407CF000000000026 +:0407D0000000000025 +:0407D1000000000024 +:0407D2000000000023 +:0407D3000000000022 +:0407D4000000000021 +:0407D5000000000020 +:0407D600000000001F +:0407D700000000001E +:0407D800000000001D +:0407D900000000001C +:0407DA00000000001B +:0407DB00000000001A +:0407DC000000000019 +:0407DD000000000018 +:0407DE000000000017 +:0407DF000000000016 +:0407E0000000000015 +:0407E1000000000014 +:0407E2000000000013 +:0407E3000000000012 +:0407E4000000000011 +:0407E5000000000010 +:0407E600000000000F +:0407E700000000000E +:0407E800000000000D +:0407E900000000000C +:0407EA00000000000B +:0407EB00000000000A +:0407EC000000000009 +:0407ED000000000008 +:0407EE000000000007 +:0407EF000000000006 +:0407F0000000000005 +:0407F1000000000004 +:0407F2000000000003 +:0407F3000000000002 +:0407F4000000000001 +:0407F5000000000000 +:0407F60000000000FF +:0407F70000000000FE +:0407F80000000000FD +:0407F90000000000FC +:0407FA0000000000FB +:0407FB0000000000FA +:0407FC0000000000F9 +:0407FD0000000000F8 +:0407FE0000000000F7 +:0407FF0000000000F6 +:0408000000000000F4 +:0408010000000000F3 +:0408020000000000F2 +:0408030000000000F1 +:0408040000000000F0 +:0408050000000000EF +:0408060000000000EE +:0408070000000000ED +:0408080000000000EC +:0408090000000000EB +:04080A0000000000EA +:04080B0000000000E9 +:04080C0000000000E8 +:04080D0000000000E7 +:04080E0000000000E6 +:04080F0000000000E5 +:0408100000000000E4 +:0408110000000000E3 +:0408120000000000E2 +:0408130000000000E1 +:0408140000000000E0 +:0408150000000000DF +:0408160000000000DE +:0408170000000000DD +:0408180000000000DC +:0408190000000000DB +:04081A0000000000DA +:04081B0000000000D9 +:04081C0000000000D8 +:04081D0000000000D7 +:04081E0000000000D6 +:04081F0000000000D5 +:0408200000000000D4 +:0408210000000000D3 +:0408220000000000D2 +:0408230000000000D1 +:0408240000000000D0 +:0408250000000000CF +:0408260000000000CE +:0408270000000000CD +:0408280000000000CC +:0408290000000000CB +:04082A0000000000CA +:04082B0000000000C9 +:04082C0000000000C8 +:04082D0000000000C7 +:04082E0000000000C6 +:04082F0000000000C5 +:0408300000000000C4 +:0408310000000000C3 +:0408320000000000C2 +:0408330000000000C1 +:0408340000000000C0 +:0408350000000000BF +:0408360000000000BE +:0408370000000000BD +:0408380000000000BC +:0408390000000000BB +:04083A0000000000BA +:04083B0000000000B9 +:04083C0000000000B8 +:04083D0000000000B7 +:04083E0000000000B6 +:04083F0000000000B5 +:0408400000000000B4 +:0408410000000000B3 +:0408420000000000B2 +:0408430000000000B1 +:0408440000000000B0 +:0408450000000000AF +:0408460000000000AE +:0408470000000000AD +:0408480000000000AC +:0408490000000000AB +:04084A0000000000AA +:04084B0000000000A9 +:04084C0000000000A8 +:04084D0000000000A7 +:04084E0000000000A6 +:04084F0000000000A5 +:0408500000000000A4 +:0408510000000000A3 +:0408520000000000A2 +:0408530000000000A1 +:0408540000000000A0 +:04085500000000009F +:04085600000000009E +:04085700000000009D +:04085800000000009C +:04085900000000009B +:04085A00000000009A +:04085B000000000099 +:04085C000000000098 +:04085D000000000097 +:04085E000000000096 +:04085F000000000095 +:040860000000000094 +:040861000000000093 +:040862000000000092 +:040863000000000091 +:040864000000000090 +:04086500000000008F +:04086600000000008E +:04086700000000008D +:04086800000000008C +:04086900000000008B +:04086A00000000008A +:04086B000000000089 +:04086C000000000088 +:04086D000000000087 +:04086E000000000086 +:04086F000000000085 +:040870000000000084 +:040871000000000083 +:040872000000000082 +:040873000000000081 +:040874000000000080 +:04087500000000007F +:04087600000000007E +:04087700000000007D +:04087800000000007C +:04087900000000007B +:04087A00000000007A +:04087B000000000079 +:04087C000000000078 +:04087D000000000077 +:04087E000000000076 +:04087F000000000075 +:040880000000000074 +:040881000000000073 +:040882000000000072 +:040883000000000071 +:040884000000000070 +:04088500000000006F +:04088600000000006E +:04088700000000006D +:04088800000000006C +:04088900000000006B +:04088A00000000006A +:04088B000000000069 +:04088C000000000068 +:04088D000000000067 +:04088E000000000066 +:04088F000000000065 +:040890000000000064 +:040891000000000063 +:040892000000000062 +:040893000000000061 +:040894000000000060 +:04089500000000005F +:04089600000000005E +:04089700000000005D +:04089800000000005C +:04089900000000005B +:04089A00000000005A +:04089B000000000059 +:04089C000000000058 +:04089D000000000057 +:04089E000000000056 +:04089F000000000055 +:0408A0000000000054 +:0408A1000000000053 +:0408A2000000000052 +:0408A3000000000051 +:0408A4000000000050 +:0408A500000000004F +:0408A600000000004E +:0408A700000000004D +:0408A800000000004C +:0408A900000000004B +:0408AA00000000004A +:0408AB000000000049 +:0408AC000000000048 +:0408AD000000000047 +:0408AE000000000046 +:0408AF000000000045 +:0408B0000000000044 +:0408B1000000000043 +:0408B2000000000042 +:0408B3000000000041 +:0408B4000000000040 +:0408B500000000003F +:0408B600000000003E +:0408B700000000003D +:0408B800000000003C +:0408B900000000003B +:0408BA00000000003A +:0408BB000000000039 +:0408BC000000000038 +:0408BD000000000037 +:0408BE000000000036 +:0408BF000000000035 +:0408C0000000000034 +:0408C1000000000033 +:0408C2000000000032 +:0408C3000000000031 +:0408C4000000000030 +:0408C500000000002F +:0408C600000000002E +:0408C700000000002D +:0408C800000000002C +:0408C900000000002B +:0408CA00000000002A +:0408CB000000000029 +:0408CC000000000028 +:0408CD000000000027 +:0408CE000000000026 +:0408CF000000000025 +:0408D0000000000024 +:0408D1000000000023 +:0408D2000000000022 +:0408D3000000000021 +:0408D4000000000020 +:0408D500000000001F +:0408D600000000001E +:0408D700000000001D +:0408D800000000001C +:0408D900000000001B +:0408DA00000000001A +:0408DB000000000019 +:0408DC000000000018 +:0408DD000000000017 +:0408DE000000000016 +:0408DF000000000015 +:0408E0000000000014 +:0408E1000000000013 +:0408E2000000000012 +:0408E3000000000011 +:0408E4000000000010 +:0408E500000000000F +:0408E600000000000E +:0408E700000000000D +:0408E800000000000C +:0408E900000000000B +:0408EA00000000000A +:0408EB000000000009 +:0408EC000000000008 +:0408ED000000000007 +:0408EE000000000006 +:0408EF000000000005 +:0408F0000000000004 +:0408F1000000000003 +:0408F2000000000002 +:0408F3000000000001 +:0408F4000000000000 +:0408F50000000000FF +:0408F60000000000FE +:0408F70000000000FD +:0408F80000000000FC +:0408F90000000000FB +:0408FA0000000000FA +:0408FB0000000000F9 +:0408FC0000000000F8 +:0408FD0000000000F7 +:0408FE0000000000F6 +:0408FF0000000000F5 +:0409000000000000F3 +:0409010000000000F2 +:0409020000000000F1 +:0409030000000000F0 +:0409040000000000EF +:0409050000000000EE +:0409060000000000ED +:0409070000000000EC +:0409080000000000EB +:0409090000000000EA +:04090A0000000000E9 +:04090B0000000000E8 +:04090C0000000000E7 +:04090D0000000000E6 +:04090E0000000000E5 +:04090F0000000000E4 +:0409100000000000E3 +:0409110000000000E2 +:0409120000000000E1 +:0409130000000000E0 +:0409140000000000DF +:0409150000000000DE +:0409160000000000DD +:0409170000000000DC +:0409180000000000DB +:0409190000000000DA +:04091A0000000000D9 +:04091B0000000000D8 +:04091C0000000000D7 +:04091D0000000000D6 +:04091E0000000000D5 +:04091F0000000000D4 +:0409200000000000D3 +:0409210000000000D2 +:0409220000000000D1 +:0409230000000000D0 +:0409240000000000CF +:0409250000000000CE +:0409260000000000CD +:0409270000000000CC +:0409280000000000CB +:0409290000000000CA +:04092A0000000000C9 +:04092B0000000000C8 +:04092C0000000000C7 +:04092D0000000000C6 +:04092E0000000000C5 +:04092F0000000000C4 +:0409300000000000C3 +:0409310000000000C2 +:0409320000000000C1 +:0409330000000000C0 +:0409340000000000BF +:0409350000000000BE +:0409360000000000BD +:0409370000000000BC +:0409380000000000BB +:0409390000000000BA +:04093A0000000000B9 +:04093B0000000000B8 +:04093C0000000000B7 +:04093D0000000000B6 +:04093E0000000000B5 +:04093F0000000000B4 +:0409400000000000B3 +:0409410000000000B2 +:0409420000000000B1 +:0409430000000000B0 +:0409440000000000AF +:0409450000000000AE +:0409460000000000AD +:0409470000000000AC +:0409480000000000AB +:0409490000000000AA +:04094A0000000000A9 +:04094B0000000000A8 +:04094C0000000000A7 +:04094D0000000000A6 +:04094E0000000000A5 +:04094F0000000000A4 +:0409500000000000A3 +:0409510000000000A2 +:0409520000000000A1 +:0409530000000000A0 +:04095400000000009F +:04095500000000009E +:04095600000000009D +:04095700000000009C +:04095800000000009B +:04095900000000009A +:04095A000000000099 +:04095B000000000098 +:04095C000000000097 +:04095D000000000096 +:04095E000000000095 +:04095F000000000094 +:040960000000000093 +:040961000000000092 +:040962000000000091 +:040963000000000090 +:04096400000000008F +:04096500000000008E +:04096600000000008D +:04096700000000008C +:04096800000000008B +:04096900000000008A +:04096A000000000089 +:04096B000000000088 +:04096C000000000087 +:04096D000000000086 +:04096E000000000085 +:04096F000000000084 +:040970000000000083 +:040971000000000082 +:040972000000000081 +:040973000000000080 +:04097400000000007F +:04097500000000007E +:04097600000000007D +:04097700000000007C +:04097800000000007B +:04097900000000007A +:04097A000000000079 +:04097B000000000078 +:04097C000000000077 +:04097D000000000076 +:04097E000000000075 +:04097F000000000074 +:040980000000000073 +:040981000000000072 +:040982000000000071 +:040983000000000070 +:04098400000000006F +:04098500000000006E +:04098600000000006D +:04098700000000006C +:04098800000000006B +:04098900000000006A +:04098A000000000069 +:04098B000000000068 +:04098C000000000067 +:04098D000000000066 +:04098E000000000065 +:04098F000000000064 +:040990000000000063 +:040991000000000062 +:040992000000000061 +:040993000000000060 +:04099400000000005F +:04099500000000005E +:04099600000000005D +:04099700000000005C +:04099800000000005B +:04099900000000005A +:04099A000000000059 +:04099B000000000058 +:04099C000000000057 +:04099D000000000056 +:04099E000000000055 +:04099F000000000054 +:0409A0000000000053 +:0409A1000000000052 +:0409A2000000000051 +:0409A3000000000050 +:0409A400000000004F +:0409A500000000004E +:0409A600000000004D +:0409A700000000004C +:0409A800000000004B +:0409A900000000004A +:0409AA000000000049 +:0409AB000000000048 +:0409AC000000000047 +:0409AD000000000046 +:0409AE000000000045 +:0409AF000000000044 +:0409B0000000000043 +:0409B1000000000042 +:0409B2000000000041 +:0409B3000000000040 +:0409B400000000003F +:0409B500000000003E +:0409B600000000003D +:0409B700000000003C +:0409B800000000003B +:0409B900000000003A +:0409BA000000000039 +:0409BB000000000038 +:0409BC000000000037 +:0409BD000000000036 +:0409BE000000000035 +:0409BF000000000034 +:0409C0000000000033 +:0409C1000000000032 +:0409C2000000000031 +:0409C3000000000030 +:0409C400000000002F +:0409C500000000002E +:0409C600000000002D +:0409C700000000002C +:0409C800000000002B +:0409C900000000002A +:0409CA000000000029 +:0409CB000000000028 +:0409CC000000000027 +:0409CD000000000026 +:0409CE000000000025 +:0409CF000000000024 +:0409D0000000000023 +:0409D1000000000022 +:0409D2000000000021 +:0409D3000000000020 +:0409D400000000001F +:0409D500000000001E +:0409D600000000001D +:0409D700000000001C +:0409D800000000001B +:0409D900000000001A +:0409DA000000000019 +:0409DB000000000018 +:0409DC000000000017 +:0409DD000000000016 +:0409DE000000000015 +:0409DF000000000014 +:0409E0000000000013 +:0409E1000000000012 +:0409E2000000000011 +:0409E3000000000010 +:0409E400000000000F +:0409E500000000000E +:0409E600000000000D +:0409E700000000000C +:0409E800000000000B +:0409E900000000000A +:0409EA000000000009 +:0409EB000000000008 +:0409EC000000000007 +:0409ED000000000006 +:0409EE000000000005 +:0409EF000000000004 +:0409F0000000000003 +:0409F1000000000002 +:0409F2000000000001 +:0409F3000000000000 +:0409F40000000000FF +:0409F50000000000FE +:0409F60000000000FD +:0409F70000000000FC +:0409F80000000000FB +:0409F90000000000FA +:0409FA0000000000F9 +:0409FB0000000000F8 +:0409FC0000000000F7 +:0409FD0000000000F6 +:0409FE0000000000F5 +:0409FF0000000000F4 +:040A000000000000F2 +:040A010000000000F1 +:040A020000000000F0 +:040A030000000000EF +:040A040000000000EE +:040A050000000000ED +:040A060000000000EC +:040A070000000000EB +:040A080000000000EA +:040A090000000000E9 +:040A0A0000000000E8 +:040A0B0000000000E7 +:040A0C0000000000E6 +:040A0D0000000000E5 +:040A0E0000000000E4 +:040A0F0000000000E3 +:040A100000000000E2 +:040A110000000000E1 +:040A120000000000E0 +:040A130000000000DF +:040A140000000000DE +:040A150000000000DD +:040A160000000000DC +:040A170000000000DB +:040A180000000000DA +:040A190000000000D9 +:040A1A0000000000D8 +:040A1B0000000000D7 +:040A1C0000000000D6 +:040A1D0000000000D5 +:040A1E0000000000D4 +:040A1F0000000000D3 +:040A200000000000D2 +:040A210000000000D1 +:040A220000000000D0 +:040A230000000000CF +:040A240000000000CE +:040A250000000000CD +:040A260000000000CC +:040A270000000000CB +:040A280000000000CA +:040A290000000000C9 +:040A2A0000000000C8 +:040A2B0000000000C7 +:040A2C0000000000C6 +:040A2D0000000000C5 +:040A2E0000000000C4 +:040A2F0000000000C3 +:040A300000000000C2 +:040A310000000000C1 +:040A320000000000C0 +:040A330000000000BF +:040A340000000000BE +:040A350000000000BD +:040A360000000000BC +:040A370000000000BB +:040A380000000000BA +:040A390000000000B9 +:040A3A0000000000B8 +:040A3B0000000000B7 +:040A3C0000000000B6 +:040A3D0000000000B5 +:040A3E0000000000B4 +:040A3F0000000000B3 +:040A400000000000B2 +:040A410000000000B1 +:040A420000000000B0 +:040A430000000000AF +:040A440000000000AE +:040A450000000000AD +:040A460000000000AC +:040A470000000000AB +:040A480000000000AA +:040A490000000000A9 +:040A4A0000000000A8 +:040A4B0000000000A7 +:040A4C0000000000A6 +:040A4D0000000000A5 +:040A4E0000000000A4 +:040A4F0000000000A3 +:040A500000000000A2 +:040A510000000000A1 +:040A520000000000A0 +:040A5300000000009F +:040A5400000000009E +:040A5500000000009D +:040A5600000000009C +:040A5700000000009B +:040A5800000000009A +:040A59000000000099 +:040A5A000000000098 +:040A5B000000000097 +:040A5C000000000096 +:040A5D000000000095 +:040A5E000000000094 +:040A5F000000000093 +:040A60000000000092 +:040A61000000000091 +:040A62000000000090 +:040A6300000000008F +:040A6400000000008E +:040A6500000000008D +:040A6600000000008C +:040A6700000000008B +:040A6800000000008A +:040A69000000000089 +:040A6A000000000088 +:040A6B000000000087 +:040A6C000000000086 +:040A6D000000000085 +:040A6E000000000084 +:040A6F000000000083 +:040A70000000000082 +:040A71000000000081 +:040A72000000000080 +:040A7300000000007F +:040A7400000000007E +:040A7500000000007D +:040A7600000000007C +:040A7700000000007B +:040A7800000000007A +:040A79000000000079 +:040A7A000000000078 +:040A7B000000000077 +:040A7C000000000076 +:040A7D000000000075 +:040A7E000000000074 +:040A7F000000000073 +:040A80000000000072 +:040A81000000000071 +:040A82000000000070 +:040A8300000000006F +:040A8400000000006E +:040A8500000000006D +:040A8600000000006C +:040A8700000000006B +:040A8800000000006A +:040A89000000000069 +:040A8A000000000068 +:040A8B000000000067 +:040A8C000000000066 +:040A8D000000000065 +:040A8E000000000064 +:040A8F000000000063 +:040A90000000000062 +:040A91000000000061 +:040A92000000000060 +:040A9300000000005F +:040A9400000000005E +:040A9500000000005D +:040A9600000000005C +:040A9700000000005B +:040A9800000000005A +:040A99000000000059 +:040A9A000000000058 +:040A9B000000000057 +:040A9C000000000056 +:040A9D000000000055 +:040A9E000000000054 +:040A9F000000000053 +:040AA0000000000052 +:040AA1000000000051 +:040AA2000000000050 +:040AA300000000004F +:040AA400000000004E +:040AA500000000004D +:040AA600000000004C +:040AA700000000004B +:040AA800000000004A +:040AA9000000000049 +:040AAA000000000048 +:040AAB000000000047 +:040AAC000000000046 +:040AAD000000000045 +:040AAE000000000044 +:040AAF000000000043 +:040AB0000000000042 +:040AB1000000000041 +:040AB2000000000040 +:040AB300000000003F +:040AB400000000003E +:040AB500000000003D +:040AB600000000003C +:040AB700000000003B +:040AB800000000003A +:040AB9000000000039 +:040ABA000000000038 +:040ABB000000000037 +:040ABC000000000036 +:040ABD000000000035 +:040ABE000000000034 +:040ABF000000000033 +:040AC0000000000032 +:040AC1000000000031 +:040AC2000000000030 +:040AC300000000002F +:040AC400000000002E +:040AC500000000002D +:040AC600000000002C +:040AC700000000002B +:040AC800000000002A +:040AC9000000000029 +:040ACA000000000028 +:040ACB000000000027 +:040ACC000000000026 +:040ACD000000000025 +:040ACE000000000024 +:040ACF000000000023 +:040AD0000000000022 +:040AD1000000000021 +:040AD2000000000020 +:040AD300000000001F +:040AD400000000001E +:040AD500000000001D +:040AD600000000001C +:040AD700000000001B +:040AD800000000001A +:040AD9000000000019 +:040ADA000000000018 +:040ADB000000000017 +:040ADC000000000016 +:040ADD000000000015 +:040ADE000000000014 +:040ADF000000000013 +:040AE0000000000012 +:040AE1000000000011 +:040AE2000000000010 +:040AE300000000000F +:040AE400000000000E +:040AE500000000000D +:040AE600000000000C +:040AE700000000000B +:040AE800000000000A +:040AE9000000000009 +:040AEA000000000008 +:040AEB000000000007 +:040AEC000000000006 +:040AED000000000005 +:040AEE000000000004 +:040AEF000000000003 +:040AF0000000000002 +:040AF1000000000001 +:040AF2000000000000 +:040AF30000000000FF +:040AF40000000000FE +:040AF50000000000FD +:040AF60000000000FC +:040AF70000000000FB +:040AF80000000000FA +:040AF90000000000F9 +:040AFA0000000000F8 +:040AFB0000000000F7 +:040AFC0000000000F6 +:040AFD0000000000F5 +:040AFE0000000000F4 +:040AFF0000000000F3 +:040B000000000000F1 +:040B010000000000F0 +:040B020000000000EF +:040B030000000000EE +:040B040000000000ED +:040B050000000000EC +:040B060000000000EB +:040B070000000000EA +:040B080000000000E9 +:040B090000000000E8 +:040B0A0000000000E7 +:040B0B0000000000E6 +:040B0C0000000000E5 +:040B0D0000000000E4 +:040B0E0000000000E3 +:040B0F0000000000E2 +:040B100000000000E1 +:040B110000000000E0 +:040B120000000000DF +:040B130000000000DE +:040B140000000000DD +:040B150000000000DC +:040B160000000000DB +:040B170000000000DA +:040B180000000000D9 +:040B190000000000D8 +:040B1A0000000000D7 +:040B1B0000000000D6 +:040B1C0000000000D5 +:040B1D0000000000D4 +:040B1E0000000000D3 +:040B1F0000000000D2 +:040B200000000000D1 +:040B210000000000D0 +:040B220000000000CF +:040B230000000000CE +:040B240000000000CD +:040B250000000000CC +:040B260000000000CB +:040B270000000000CA +:040B280000000000C9 +:040B290000000000C8 +:040B2A0000000000C7 +:040B2B0000000000C6 +:040B2C0000000000C5 +:040B2D0000000000C4 +:040B2E0000000000C3 +:040B2F0000000000C2 +:040B300000000000C1 +:040B310000000000C0 +:040B320000000000BF +:040B330000000000BE +:040B340000000000BD +:040B350000000000BC +:040B360000000000BB +:040B370000000000BA +:040B380000000000B9 +:040B390000000000B8 +:040B3A0000000000B7 +:040B3B0000000000B6 +:040B3C0000000000B5 +:040B3D0000000000B4 +:040B3E0000000000B3 +:040B3F0000000000B2 +:040B400000000000B1 +:040B410000000000B0 +:040B420000000000AF +:040B430000000000AE +:040B440000000000AD +:040B450000000000AC +:040B460000000000AB +:040B470000000000AA +:040B480000000000A9 +:040B490000000000A8 +:040B4A0000000000A7 +:040B4B0000000000A6 +:040B4C0000000000A5 +:040B4D0000000000A4 +:040B4E0000000000A3 +:040B4F0000000000A2 +:040B500000000000A1 +:040B510000000000A0 +:040B5200000000009F +:040B5300000000009E +:040B5400000000009D +:040B5500000000009C +:040B5600000000009B +:040B5700000000009A +:040B58000000000099 +:040B59000000000098 +:040B5A000000000097 +:040B5B000000000096 +:040B5C000000000095 +:040B5D000000000094 +:040B5E000000000093 +:040B5F000000000092 +:040B60000000000091 +:040B61000000000090 +:040B6200000000008F +:040B6300000000008E +:040B6400000000008D +:040B6500000000008C +:040B6600000000008B +:040B6700000000008A +:040B68000000000089 +:040B69000000000088 +:040B6A000000000087 +:040B6B000000000086 +:040B6C000000000085 +:040B6D000000000084 +:040B6E000000000083 +:040B6F000000000082 +:040B70000000000081 +:040B71000000000080 +:040B7200000000007F +:040B7300000000007E +:040B7400000000007D +:040B7500000000007C +:040B7600000000007B +:040B7700000000007A +:040B78000000000079 +:040B79000000000078 +:040B7A000000000077 +:040B7B000000000076 +:040B7C000000000075 +:040B7D000000000074 +:040B7E000000000073 +:040B7F000000000072 +:040B80000000000071 +:040B81000000000070 +:040B8200000000006F +:040B8300000000006E +:040B8400000000006D +:040B8500000000006C +:040B8600000000006B +:040B8700000000006A +:040B88000000000069 +:040B89000000000068 +:040B8A000000000067 +:040B8B000000000066 +:040B8C000000000065 +:040B8D000000000064 +:040B8E000000000063 +:040B8F000000000062 +:040B90000000000061 +:040B91000000000060 +:040B9200000000005F +:040B9300000000005E +:040B9400000000005D +:040B9500000000005C +:040B9600000000005B +:040B9700000000005A +:040B98000000000059 +:040B99000000000058 +:040B9A000000000057 +:040B9B000000000056 +:040B9C000000000055 +:040B9D000000000054 +:040B9E000000000053 +:040B9F000000000052 +:040BA0000000000051 +:040BA1000000000050 +:040BA200000000004F +:040BA300000000004E +:040BA400000000004D +:040BA500000000004C +:040BA600000000004B +:040BA700000000004A +:040BA8000000000049 +:040BA9000000000048 +:040BAA000000000047 +:040BAB000000000046 +:040BAC000000000045 +:040BAD000000000044 +:040BAE000000000043 +:040BAF000000000042 +:040BB0000000000041 +:040BB1000000000040 +:040BB200000000003F +:040BB300000000003E +:040BB400000000003D +:040BB500000000003C +:040BB600000000003B +:040BB700000000003A +:040BB8000000000039 +:040BB9000000000038 +:040BBA000000000037 +:040BBB000000000036 +:040BBC000000000035 +:040BBD000000000034 +:040BBE000000000033 +:040BBF000000000032 +:040BC0000000000031 +:040BC1000000000030 +:040BC200000000002F +:040BC300000000002E +:040BC400000000002D +:040BC500000000002C +:040BC600000000002B +:040BC700000000002A +:040BC8000000000029 +:040BC9000000000028 +:040BCA000000000027 +:040BCB000000000026 +:040BCC000000000025 +:040BCD000000000024 +:040BCE000000000023 +:040BCF000000000022 +:040BD0000000000021 +:040BD1000000000020 +:040BD200000000001F +:040BD300000000001E +:040BD400000000001D +:040BD500000000001C +:040BD600000000001B +:040BD700000000001A +:040BD8000000000019 +:040BD9000000000018 +:040BDA000000000017 +:040BDB000000000016 +:040BDC000000000015 +:040BDD000000000014 +:040BDE000000000013 +:040BDF000000000012 +:040BE0000000000011 +:040BE1000000000010 +:040BE200000000000F +:040BE300000000000E +:040BE400000000000D +:040BE500000000000C +:040BE600000000000B +:040BE700000000000A +:040BE8000000000009 +:040BE9000000000008 +:040BEA000000000007 +:040BEB000000000006 +:040BEC000000000005 +:040BED000000000004 +:040BEE000000000003 +:040BEF000000000002 +:040BF0000000000001 +:040BF1000000000000 +:040BF20000000000FF +:040BF30000000000FE +:040BF40000000000FD +:040BF50000000000FC +:040BF60000000000FB +:040BF70000000000FA +:040BF80000000000F9 +:040BF90000000000F8 +:040BFA0000000000F7 +:040BFB0000000000F6 +:040BFC0000000000F5 +:040BFD0000000000F4 +:040BFE0000000000F3 +:040BFF0000000000F2 +:040C000000000000F0 +:040C010000000000EF +:040C020000000000EE +:040C030000000000ED +:040C040000000000EC +:040C050000000000EB +:040C060000000000EA +:040C070000000000E9 +:040C080000000000E8 +:040C090000000000E7 +:040C0A0000000000E6 +:040C0B0000000000E5 +:040C0C0000000000E4 +:040C0D0000000000E3 +:040C0E0000000000E2 +:040C0F0000000000E1 +:040C100000000000E0 +:040C110000000000DF +:040C120000000000DE +:040C130000000000DD +:040C140000000000DC +:040C150000000000DB +:040C160000000000DA +:040C170000000000D9 +:040C180000000000D8 +:040C190000000000D7 +:040C1A0000000000D6 +:040C1B0000000000D5 +:040C1C0000000000D4 +:040C1D0000000000D3 +:040C1E0000000000D2 +:040C1F0000000000D1 +:040C200000000000D0 +:040C210000000000CF +:040C220000000000CE +:040C230000000000CD +:040C240000000000CC +:040C250000000000CB +:040C260000000000CA +:040C270000000000C9 +:040C280000000000C8 +:040C290000000000C7 +:040C2A0000000000C6 +:040C2B0000000000C5 +:040C2C0000000000C4 +:040C2D0000000000C3 +:040C2E0000000000C2 +:040C2F0000000000C1 +:040C300000000000C0 +:040C310000000000BF +:040C320000000000BE +:040C330000000000BD +:040C340000000000BC +:040C350000000000BB +:040C360000000000BA +:040C370000000000B9 +:040C380000000000B8 +:040C390000000000B7 +:040C3A0000000000B6 +:040C3B0000000000B5 +:040C3C0000000000B4 +:040C3D0000000000B3 +:040C3E0000000000B2 +:040C3F0000000000B1 +:040C400000000000B0 +:040C410000000000AF +:040C420000000000AE +:040C430000000000AD +:040C440000000000AC +:040C450000000000AB +:040C460000000000AA +:040C470000000000A9 +:040C480000000000A8 +:040C490000000000A7 +:040C4A0000000000A6 +:040C4B0000000000A5 +:040C4C0000000000A4 +:040C4D0000000000A3 +:040C4E0000000000A2 +:040C4F0000000000A1 +:040C500000000000A0 +:040C5100000000009F +:040C5200000000009E +:040C5300000000009D +:040C5400000000009C +:040C5500000000009B +:040C5600000000009A +:040C57000000000099 +:040C58000000000098 +:040C59000000000097 +:040C5A000000000096 +:040C5B000000000095 +:040C5C000000000094 +:040C5D000000000093 +:040C5E000000000092 +:040C5F000000000091 +:040C60000000000090 +:040C6100000000008F +:040C6200000000008E +:040C6300000000008D +:040C6400000000008C +:040C6500000000008B +:040C6600000000008A +:040C67000000000089 +:040C68000000000088 +:040C69000000000087 +:040C6A000000000086 +:040C6B000000000085 +:040C6C000000000084 +:040C6D000000000083 +:040C6E000000000082 +:040C6F000000000081 +:040C70000000000080 +:040C7100000000007F +:040C7200000000007E +:040C7300000000007D +:040C7400000000007C +:040C7500000000007B +:040C7600000000007A +:040C77000000000079 +:040C78000000000078 +:040C79000000000077 +:040C7A000000000076 +:040C7B000000000075 +:040C7C000000000074 +:040C7D000000000073 +:040C7E000000000072 +:040C7F000000000071 +:040C80000000000070 +:040C8100000000006F +:040C8200000000006E +:040C8300000000006D +:040C8400000000006C +:040C8500000000006B +:040C8600000000006A +:040C87000000000069 +:040C88000000000068 +:040C89000000000067 +:040C8A000000000066 +:040C8B000000000065 +:040C8C000000000064 +:040C8D000000000063 +:040C8E000000000062 +:040C8F000000000061 +:040C90000000000060 +:040C9100000000005F +:040C9200000000005E +:040C9300000000005D +:040C9400000000005C +:040C9500000000005B +:040C9600000000005A +:040C97000000000059 +:040C98000000000058 +:040C99000000000057 +:040C9A000000000056 +:040C9B000000000055 +:040C9C000000000054 +:040C9D000000000053 +:040C9E000000000052 +:040C9F000000000051 +:040CA0000000000050 +:040CA100000000004F +:040CA200000000004E +:040CA300000000004D +:040CA400000000004C +:040CA500000000004B +:040CA600000000004A +:040CA7000000000049 +:040CA8000000000048 +:040CA9000000000047 +:040CAA000000000046 +:040CAB000000000045 +:040CAC000000000044 +:040CAD000000000043 +:040CAE000000000042 +:040CAF000000000041 +:040CB0000000000040 +:040CB100000000003F +:040CB200000000003E +:040CB300000000003D +:040CB400000000003C +:040CB500000000003B +:040CB600000000003A +:040CB7000000000039 +:040CB8000000000038 +:040CB9000000000037 +:040CBA000000000036 +:040CBB000000000035 +:040CBC000000000034 +:040CBD000000000033 +:040CBE000000000032 +:040CBF000000000031 +:040CC0000000000030 +:040CC100000000002F +:040CC200000000002E +:040CC300000000002D +:040CC400000000002C +:040CC500000000002B +:040CC600000000002A +:040CC7000000000029 +:040CC8000000000028 +:040CC9000000000027 +:040CCA000000000026 +:040CCB000000000025 +:040CCC000000000024 +:040CCD000000000023 +:040CCE000000000022 +:040CCF000000000021 +:040CD0000000000020 +:040CD100000000001F +:040CD200000000001E +:040CD300000000001D +:040CD400000000001C +:040CD500000000001B +:040CD600000000001A +:040CD7000000000019 +:040CD8000000000018 +:040CD9000000000017 +:040CDA000000000016 +:040CDB000000000015 +:040CDC000000000014 +:040CDD000000000013 +:040CDE000000000012 +:040CDF000000000011 +:040CE0000000000010 +:040CE100000000000F +:040CE200000000000E +:040CE300000000000D +:040CE400000000000C +:040CE500000000000B +:040CE600000000000A +:040CE7000000000009 +:040CE8000000000008 +:040CE9000000000007 +:040CEA000000000006 +:040CEB000000000005 +:040CEC000000000004 +:040CED000000000003 +:040CEE000000000002 +:040CEF000000000001 +:040CF0000000000000 +:040CF10000000000FF +:040CF20000000000FE +:040CF30000000000FD +:040CF40000000000FC +:040CF50000000000FB +:040CF60000000000FA +:040CF70000000000F9 +:040CF80000000000F8 +:040CF90000000000F7 +:040CFA0000000000F6 +:040CFB0000000000F5 +:040CFC0000000000F4 +:040CFD0000000000F3 +:040CFE0000000000F2 +:040CFF0000000000F1 +:040D000000000000EF +:040D010000000000EE +:040D020000000000ED +:040D030000000000EC +:040D040000000000EB +:040D050000000000EA +:040D060000000000E9 +:040D070000000000E8 +:040D080000000000E7 +:040D090000000000E6 +:040D0A0000000000E5 +:040D0B0000000000E4 +:040D0C0000000000E3 +:040D0D0000000000E2 +:040D0E0000000000E1 +:040D0F0000000000E0 +:040D100000000000DF +:040D110000000000DE +:040D120000000000DD +:040D130000000000DC +:040D140000000000DB +:040D150000000000DA +:040D160000000000D9 +:040D170000000000D8 +:040D180000000000D7 +:040D190000000000D6 +:040D1A0000000000D5 +:040D1B0000000000D4 +:040D1C0000000000D3 +:040D1D0000000000D2 +:040D1E0000000000D1 +:040D1F0000000000D0 +:040D200000000000CF +:040D210000000000CE +:040D220000000000CD +:040D230000000000CC +:040D240000000000CB +:040D250000000000CA +:040D260000000000C9 +:040D270000000000C8 +:040D280000000000C7 +:040D290000000000C6 +:040D2A0000000000C5 +:040D2B0000000000C4 +:040D2C0000000000C3 +:040D2D0000000000C2 +:040D2E0000000000C1 +:040D2F0000000000C0 +:040D300000000000BF +:040D310000000000BE +:040D320000000000BD +:040D330000000000BC +:040D340000000000BB +:040D350000000000BA +:040D360000000000B9 +:040D370000000000B8 +:040D380000000000B7 +:040D390000000000B6 +:040D3A0000000000B5 +:040D3B0000000000B4 +:040D3C0000000000B3 +:040D3D0000000000B2 +:040D3E0000000000B1 +:040D3F0000000000B0 +:040D400000000000AF +:040D410000000000AE +:040D420000000000AD +:040D430000000000AC +:040D440000000000AB +:040D450000000000AA +:040D460000000000A9 +:040D470000000000A8 +:040D480000000000A7 +:040D490000000000A6 +:040D4A0000000000A5 +:040D4B0000000000A4 +:040D4C0000000000A3 +:040D4D0000000000A2 +:040D4E0000000000A1 +:040D4F0000000000A0 +:040D5000000000009F +:040D5100000000009E +:040D5200000000009D +:040D5300000000009C +:040D5400000000009B +:040D5500000000009A +:040D56000000000099 +:040D57000000000098 +:040D58000000000097 +:040D59000000000096 +:040D5A000000000095 +:040D5B000000000094 +:040D5C000000000093 +:040D5D000000000092 +:040D5E000000000091 +:040D5F000000000090 +:040D6000000000008F +:040D6100000000008E +:040D6200000000008D +:040D6300000000008C +:040D6400000000008B +:040D6500000000008A +:040D66000000000089 +:040D67000000000088 +:040D68000000000087 +:040D69000000000086 +:040D6A000000000085 +:040D6B000000000084 +:040D6C000000000083 +:040D6D000000000082 +:040D6E000000000081 +:040D6F000000000080 +:040D7000000000007F +:040D7100000000007E +:040D7200000000007D +:040D7300000000007C +:040D7400000000007B +:040D7500000000007A +:040D76000000000079 +:040D77000000000078 +:040D78000000000077 +:040D79000000000076 +:040D7A000000000075 +:040D7B000000000074 +:040D7C000000000073 +:040D7D000000000072 +:040D7E000000000071 +:040D7F000000000070 +:040D8000000000006F +:040D8100000000006E +:040D8200000000006D +:040D8300000000006C +:040D8400000000006B +:040D8500000000006A +:040D86000000000069 +:040D87000000000068 +:040D88000000000067 +:040D89000000000066 +:040D8A000000000065 +:040D8B000000000064 +:040D8C000000000063 +:040D8D000000000062 +:040D8E000000000061 +:040D8F000000000060 +:040D9000000000005F +:040D9100000000005E +:040D9200000000005D +:040D9300000000005C +:040D9400000000005B +:040D9500000000005A +:040D96000000000059 +:040D97000000000058 +:040D98000000000057 +:040D99000000000056 +:040D9A000000000055 +:040D9B000000000054 +:040D9C000000000053 +:040D9D000000000052 +:040D9E000000000051 +:040D9F000000000050 +:040DA000000000004F +:040DA100000000004E +:040DA200000000004D +:040DA300000000004C +:040DA400000000004B +:040DA500000000004A +:040DA6000000000049 +:040DA7000000000048 +:040DA8000000000047 +:040DA9000000000046 +:040DAA000000000045 +:040DAB000000000044 +:040DAC000000000043 +:040DAD000000000042 +:040DAE000000000041 +:040DAF000000000040 +:040DB000000000003F +:040DB100000000003E +:040DB200000000003D +:040DB300000000003C +:040DB400000000003B +:040DB500000000003A +:040DB6000000000039 +:040DB7000000000038 +:040DB8000000000037 +:040DB9000000000036 +:040DBA000000000035 +:040DBB000000000034 +:040DBC000000000033 +:040DBD000000000032 +:040DBE000000000031 +:040DBF000000000030 +:040DC000000000002F +:040DC100000000002E +:040DC200000000002D +:040DC300000000002C +:040DC400000000002B +:040DC500000000002A +:040DC6000000000029 +:040DC7000000000028 +:040DC8000000000027 +:040DC9000000000026 +:040DCA000000000025 +:040DCB000000000024 +:040DCC000000000023 +:040DCD000000000022 +:040DCE000000000021 +:040DCF000000000020 +:040DD000000000001F +:040DD100000000001E +:040DD200000000001D +:040DD300000000001C +:040DD400000000001B +:040DD500000000001A +:040DD6000000000019 +:040DD7000000000018 +:040DD8000000000017 +:040DD9000000000016 +:040DDA000000000015 +:040DDB000000000014 +:040DDC000000000013 +:040DDD000000000012 +:040DDE000000000011 +:040DDF000000000010 +:040DE000000000000F +:040DE100000000000E +:040DE200000000000D +:040DE300000000000C +:040DE400000000000B +:040DE500000000000A +:040DE6000000000009 +:040DE7000000000008 +:040DE8000000000007 +:040DE9000000000006 +:040DEA000000000005 +:040DEB000000000004 +:040DEC000000000003 +:040DED000000000002 +:040DEE000000000001 +:040DEF000000000000 +:040DF00000000000FF +:040DF10000000000FE +:040DF20000000000FD +:040DF30000000000FC +:040DF40000000000FB +:040DF50000000000FA +:040DF60000000000F9 +:040DF70000000000F8 +:040DF80000000000F7 +:040DF90000000000F6 +:040DFA0000000000F5 +:040DFB0000000000F4 +:040DFC0000000000F3 +:040DFD0000000000F2 +:040DFE0000000000F1 +:040DFF0000000000F0 +:040E000000000000EE +:040E010000000000ED +:040E020000000000EC +:040E030000000000EB +:040E040000000000EA +:040E050000000000E9 +:040E060000000000E8 +:040E070000000000E7 +:040E080000000000E6 +:040E090000000000E5 +:040E0A0000000000E4 +:040E0B0000000000E3 +:040E0C0000000000E2 +:040E0D0000000000E1 +:040E0E0000000000E0 +:040E0F0000000000DF +:040E100000000000DE +:040E110000000000DD +:040E120000000000DC +:040E130000000000DB +:040E140000000000DA +:040E150000000000D9 +:040E160000000000D8 +:040E170000000000D7 +:040E180000000000D6 +:040E190000000000D5 +:040E1A0000000000D4 +:040E1B0000000000D3 +:040E1C0000000000D2 +:040E1D0000000000D1 +:040E1E0000000000D0 +:040E1F0000000000CF +:040E200000000000CE +:040E210000000000CD +:040E220000000000CC +:040E230000000000CB +:040E240000000000CA +:040E250000000000C9 +:040E260000000000C8 +:040E270000000000C7 +:040E280000000000C6 +:040E290000000000C5 +:040E2A0000000000C4 +:040E2B0000000000C3 +:040E2C0000000000C2 +:040E2D0000000000C1 +:040E2E0000000000C0 +:040E2F0000000000BF +:040E300000000000BE +:040E310000000000BD +:040E320000000000BC +:040E330000000000BB +:040E340000000000BA +:040E350000000000B9 +:040E360000000000B8 +:040E370000000000B7 +:040E380000000000B6 +:040E390000000000B5 +:040E3A0000000000B4 +:040E3B0000000000B3 +:040E3C0000000000B2 +:040E3D0000000000B1 +:040E3E0000000000B0 +:040E3F0000000000AF +:040E400000000000AE +:040E410000000000AD +:040E420000000000AC +:040E430000000000AB +:040E440000000000AA +:040E450000000000A9 +:040E460000000000A8 +:040E470000000000A7 +:040E480000000000A6 +:040E490000000000A5 +:040E4A0000000000A4 +:040E4B0000000000A3 +:040E4C0000000000A2 +:040E4D0000000000A1 +:040E4E0000000000A0 +:040E4F00000000009F +:040E5000000000009E +:040E5100000000009D +:040E5200000000009C +:040E5300000000009B +:040E5400000000009A +:040E55000000000099 +:040E56000000000098 +:040E57000000000097 +:040E58000000000096 +:040E59000000000095 +:040E5A000000000094 +:040E5B000000000093 +:040E5C000000000092 +:040E5D000000000091 +:040E5E000000000090 +:040E5F00000000008F +:040E6000000000008E +:040E6100000000008D +:040E6200000000008C +:040E6300000000008B +:040E6400000000008A +:040E65000000000089 +:040E66000000000088 +:040E67000000000087 +:040E68000000000086 +:040E69000000000085 +:040E6A000000000084 +:040E6B000000000083 +:040E6C000000000082 +:040E6D000000000081 +:040E6E000000000080 +:040E6F00000000007F +:040E7000000000007E +:040E7100000000007D +:040E7200000000007C +:040E7300000000007B +:040E7400000000007A +:040E75000000000079 +:040E76000000000078 +:040E77000000000077 +:040E78000000000076 +:040E79000000000075 +:040E7A000000000074 +:040E7B000000000073 +:040E7C000000000072 +:040E7D000000000071 +:040E7E000000000070 +:040E7F00000000006F +:040E8000000000006E +:040E8100000000006D +:040E8200000000006C +:040E8300000000006B +:040E8400000000006A +:040E85000000000069 +:040E86000000000068 +:040E87000000000067 +:040E88000000000066 +:040E89000000000065 +:040E8A000000000064 +:040E8B000000000063 +:040E8C000000000062 +:040E8D000000000061 +:040E8E000000000060 +:040E8F00000000005F +:040E9000000000005E +:040E9100000000005D +:040E9200000000005C +:040E9300000000005B +:040E9400000000005A +:040E95000000000059 +:040E96000000000058 +:040E97000000000057 +:040E98000000000056 +:040E99000000000055 +:040E9A000000000054 +:040E9B000000000053 +:040E9C000000000052 +:040E9D000000000051 +:040E9E000000000050 +:040E9F00000000004F +:040EA000000000004E +:040EA100000000004D +:040EA200000000004C +:040EA300000000004B +:040EA400000000004A +:040EA5000000000049 +:040EA6000000000048 +:040EA7000000000047 +:040EA8000000000046 +:040EA9000000000045 +:040EAA000000000044 +:040EAB000000000043 +:040EAC000000000042 +:040EAD000000000041 +:040EAE000000000040 +:040EAF00000000003F +:040EB000000000003E +:040EB100000000003D +:040EB200000000003C +:040EB300000000003B +:040EB400000000003A +:040EB5000000000039 +:040EB6000000000038 +:040EB7000000000037 +:040EB8000000000036 +:040EB9000000000035 +:040EBA000000000034 +:040EBB000000000033 +:040EBC000000000032 +:040EBD000000000031 +:040EBE000000000030 +:040EBF00000000002F +:040EC000000000002E +:040EC100000000002D +:040EC200000000002C +:040EC300000000002B +:040EC400000000002A +:040EC5000000000029 +:040EC6000000000028 +:040EC7000000000027 +:040EC8000000000026 +:040EC9000000000025 +:040ECA000000000024 +:040ECB000000000023 +:040ECC000000000022 +:040ECD000000000021 +:040ECE000000000020 +:040ECF00000000001F +:040ED000000000001E +:040ED100000000001D +:040ED200000000001C +:040ED300000000001B +:040ED400000000001A +:040ED5000000000019 +:040ED6000000000018 +:040ED7000000000017 +:040ED8000000000016 +:040ED9000000000015 +:040EDA000000000014 +:040EDB000000000013 +:040EDC000000000012 +:040EDD000000000011 +:040EDE000000000010 +:040EDF00000000000F +:040EE000000000000E +:040EE100000000000D +:040EE200000000000C +:040EE300000000000B +:040EE400000000000A +:040EE5000000000009 +:040EE6000000000008 +:040EE7000000000007 +:040EE8000000000006 +:040EE9000000000005 +:040EEA000000000004 +:040EEB000000000003 +:040EEC000000000002 +:040EED000000000001 +:040EEE000000000000 +:040EEF0000000000FF +:040EF00000000000FE +:040EF10000000000FD +:040EF20000000000FC +:040EF30000000000FB +:040EF40000000000FA +:040EF50000000000F9 +:040EF60000000000F8 +:040EF70000000000F7 +:040EF80000000000F6 +:040EF90000000000F5 +:040EFA0000000000F4 +:040EFB0000000000F3 +:040EFC0000000000F2 +:040EFD0000000000F1 +:040EFE0000000000F0 +:040EFF0000000000EF +:040F000000000000ED +:040F010000000000EC +:040F020000000000EB +:040F030000000000EA +:040F040000000000E9 +:040F050000000000E8 +:040F060000000000E7 +:040F070000000000E6 +:040F080000000000E5 +:040F090000000000E4 +:040F0A0000000000E3 +:040F0B0000000000E2 +:040F0C0000000000E1 +:040F0D0000000000E0 +:040F0E0000000000DF +:040F0F0000000000DE +:040F100000000000DD +:040F110000000000DC +:040F120000000000DB +:040F130000000000DA +:040F140000000000D9 +:040F150000000000D8 +:040F160000000000D7 +:040F170000000000D6 +:040F180000000000D5 +:040F190000000000D4 +:040F1A0000000000D3 +:040F1B0000000000D2 +:040F1C0000000000D1 +:040F1D0000000000D0 +:040F1E0000000000CF +:040F1F0000000000CE +:040F200000000000CD +:040F210000000000CC +:040F220000000000CB +:040F230000000000CA +:040F240000000000C9 +:040F250000000000C8 +:040F260000000000C7 +:040F270000000000C6 +:040F280000000000C5 +:040F290000000000C4 +:040F2A0000000000C3 +:040F2B0000000000C2 +:040F2C0000000000C1 +:040F2D0000000000C0 +:040F2E0000000000BF +:040F2F0000000000BE +:040F300000000000BD +:040F310000000000BC +:040F320000000000BB +:040F330000000000BA +:040F340000000000B9 +:040F350000000000B8 +:040F360000000000B7 +:040F370000000000B6 +:040F380000000000B5 +:040F390000000000B4 +:040F3A0000000000B3 +:040F3B0000000000B2 +:040F3C0000000000B1 +:040F3D0000000000B0 +:040F3E0000000000AF +:040F3F0000000000AE +:040F400000000000AD +:040F410000000000AC +:040F420000000000AB +:040F430000000000AA +:040F440000000000A9 +:040F450000000000A8 +:040F460000000000A7 +:040F470000000000A6 +:040F480000000000A5 +:040F490000000000A4 +:040F4A0000000000A3 +:040F4B0000000000A2 +:040F4C0000000000A1 +:040F4D0000000000A0 +:040F4E00000000009F +:040F4F00000000009E +:040F5000000000009D +:040F5100000000009C +:040F5200000000009B +:040F5300000000009A +:040F54000000000099 +:040F55000000000098 +:040F56000000000097 +:040F57000000000096 +:040F58000000000095 +:040F59000000000094 +:040F5A000000000093 +:040F5B000000000092 +:040F5C000000000091 +:040F5D000000000090 +:040F5E00000000008F +:040F5F00000000008E +:040F6000000000008D +:040F6100000000008C +:040F6200000000008B +:040F6300000000008A +:040F64000000000089 +:040F65000000000088 +:040F66000000000087 +:040F67000000000086 +:040F68000000000085 +:040F69000000000084 +:040F6A000000000083 +:040F6B000000000082 +:040F6C000000000081 +:040F6D000000000080 +:040F6E00000000007F +:040F6F00000000007E +:040F7000000000007D +:040F7100000000007C +:040F7200000000007B +:040F7300000000007A +:040F74000000000079 +:040F75000000000078 +:040F76000000000077 +:040F77000000000076 +:040F78000000000075 +:040F79000000000074 +:040F7A000000000073 +:040F7B000000000072 +:040F7C000000000071 +:040F7D000000000070 +:040F7E00000000006F +:040F7F00000000006E +:040F8000000000006D +:040F8100000000006C +:040F8200000000006B +:040F8300000000006A +:040F84000000000069 +:040F85000000000068 +:040F86000000000067 +:040F87000000000066 +:040F88000000000065 +:040F89000000000064 +:040F8A000000000063 +:040F8B000000000062 +:040F8C000000000061 +:040F8D000000000060 +:040F8E00000000005F +:040F8F00000000005E +:040F9000000000005D +:040F9100000000005C +:040F9200000000005B +:040F9300000000005A +:040F94000000000059 +:040F95000000000058 +:040F96000000000057 +:040F97000000000056 +:040F98000000000055 +:040F99000000000054 +:040F9A000000000053 +:040F9B000000000052 +:040F9C000000000051 +:040F9D000000000050 +:040F9E00000000004F +:040F9F00000000004E +:040FA000000000004D +:040FA100000000004C +:040FA200000000004B +:040FA300000000004A +:040FA4000000000049 +:040FA5000000000048 +:040FA6000000000047 +:040FA7000000000046 +:040FA8000000000045 +:040FA9000000000044 +:040FAA000000000043 +:040FAB000000000042 +:040FAC000000000041 +:040FAD000000000040 +:040FAE00000000003F +:040FAF00000000003E +:040FB000000000003D +:040FB100000000003C +:040FB200000000003B +:040FB300000000003A +:040FB4000000000039 +:040FB5000000000038 +:040FB6000000000037 +:040FB7000000000036 +:040FB8000000000035 +:040FB9000000000034 +:040FBA000000000033 +:040FBB000000000032 +:040FBC000000000031 +:040FBD000000000030 +:040FBE00000000002F +:040FBF00000000002E +:040FC000000000002D +:040FC100000000002C +:040FC200000000002B +:040FC300000000002A +:040FC4000000000029 +:040FC5000000000028 +:040FC6000000000027 +:040FC7000000000026 +:040FC8000000000025 +:040FC9000000000024 +:040FCA000000000023 +:040FCB000000000022 +:040FCC000000000021 +:040FCD000000000020 +:040FCE00000000001F +:040FCF00000000001E +:040FD000000000001D +:040FD100000000001C +:040FD200000000001B +:040FD300000000001A +:040FD4000000000019 +:040FD5000000000018 +:040FD6000000000017 +:040FD7000000000016 +:040FD8000000000015 +:040FD9000000000014 +:040FDA000000000013 +:040FDB000000000012 +:040FDC000000000011 +:040FDD000000000010 +:040FDE00000000000F +:040FDF00000000000E +:040FE000000000000D +:040FE100000000000C +:040FE200000000000B +:040FE300000000000A +:040FE4000000000009 +:040FE5000000000008 +:040FE6000000000007 +:040FE7000000000006 +:040FE8000000000005 +:040FE9000000000004 +:040FEA000000000003 +:040FEB000000000002 +:040FEC000000000001 +:040FED000000000000 +:040FEE0000000000FF +:040FEF0000000000FE +:040FF00000000000FD +:040FF10000000000FC +:040FF20000000000FB +:040FF30000000000FA +:040FF40000000000F9 +:040FF50000000000F8 +:040FF60000000000F7 +:040FF70000000000F6 +:040FF80000000000F5 +:040FF90000000000F4 +:040FFA0000000000F3 +:040FFB0000000000F2 +:040FFC0000000000F1 +:040FFD0000000000F0 +:040FFE0000000000EF +:040FFF0000000000EE +:0410000000000000EC +:0410010000000000EB +:0410020000000000EA +:0410030000000000E9 +:0410040000000000E8 +:0410050000000000E7 +:0410060000000000E6 +:0410070000000000E5 +:0410080000000000E4 +:0410090000000000E3 +:04100A0000000000E2 +:04100B0000000000E1 +:04100C0000000000E0 +:04100D0000000000DF +:04100E0000000000DE +:04100F0000000000DD +:0410100000000000DC +:0410110000000000DB +:0410120000000000DA +:0410130000000000D9 +:0410140000000000D8 +:0410150000000000D7 +:0410160000000000D6 +:0410170000000000D5 +:0410180000000000D4 +:0410190000000000D3 +:04101A0000000000D2 +:04101B0000000000D1 +:04101C0000000000D0 +:04101D0000000000CF +:04101E0000000000CE +:04101F0000000000CD +:0410200000000000CC +:0410210000000000CB +:0410220000000000CA +:0410230000000000C9 +:0410240000000000C8 +:0410250000000000C7 +:0410260000000000C6 +:0410270000000000C5 +:0410280000000000C4 +:0410290000000000C3 +:04102A0000000000C2 +:04102B0000000000C1 +:04102C0000000000C0 +:04102D0000000000BF +:04102E0000000000BE +:04102F0000000000BD +:0410300000000000BC +:0410310000000000BB +:0410320000000000BA +:0410330000000000B9 +:0410340000000000B8 +:0410350000000000B7 +:0410360000000000B6 +:0410370000000000B5 +:0410380000000000B4 +:0410390000000000B3 +:04103A0000000000B2 +:04103B0000000000B1 +:04103C0000000000B0 +:04103D0000000000AF +:04103E0000000000AE +:04103F0000000000AD +:0410400000000000AC +:0410410000000000AB +:0410420000000000AA +:0410430000000000A9 +:0410440000000000A8 +:0410450000000000A7 +:0410460000000000A6 +:0410470000000000A5 +:0410480000000000A4 +:0410490000000000A3 +:04104A0000000000A2 +:04104B0000000000A1 +:04104C0000000000A0 +:04104D00000000009F +:04104E00000000009E +:04104F00000000009D +:04105000000000009C +:04105100000000009B +:04105200000000009A +:041053000000000099 +:041054000000000098 +:041055000000000097 +:041056000000000096 +:041057000000000095 +:041058000000000094 +:041059000000000093 +:04105A000000000092 +:04105B000000000091 +:04105C000000000090 +:04105D00000000008F +:04105E00000000008E +:04105F00000000008D +:04106000000000008C +:04106100000000008B +:04106200000000008A +:041063000000000089 +:041064000000000088 +:041065000000000087 +:041066000000000086 +:041067000000000085 +:041068000000000084 +:041069000000000083 +:04106A000000000082 +:04106B000000000081 +:04106C000000000080 +:04106D00000000007F +:04106E00000000007E +:04106F00000000007D +:04107000000000007C +:04107100000000007B +:04107200000000007A +:041073000000000079 +:041074000000000078 +:041075000000000077 +:041076000000000076 +:041077000000000075 +:041078000000000074 +:041079000000000073 +:04107A000000000072 +:04107B000000000071 +:04107C000000000070 +:04107D00000000006F +:04107E00000000006E +:04107F00000000006D +:04108000000000006C +:04108100000000006B +:04108200000000006A +:041083000000000069 +:041084000000000068 +:041085000000000067 +:041086000000000066 +:041087000000000065 +:041088000000000064 +:041089000000000063 +:04108A000000000062 +:04108B000000000061 +:04108C000000000060 +:04108D00000000005F +:04108E00000000005E +:04108F00000000005D +:04109000000000005C +:04109100000000005B +:04109200000000005A +:041093000000000059 +:041094000000000058 +:041095000000000057 +:041096000000000056 +:041097000000000055 +:041098000000000054 +:041099000000000053 +:04109A000000000052 +:04109B000000000051 +:04109C000000000050 +:04109D00000000004F +:04109E00000000004E +:04109F00000000004D +:0410A000000000004C +:0410A100000000004B +:0410A200000000004A +:0410A3000000000049 +:0410A4000000000048 +:0410A5000000000047 +:0410A6000000000046 +:0410A7000000000045 +:0410A8000000000044 +:0410A9000000000043 +:0410AA000000000042 +:0410AB000000000041 +:0410AC000000000040 +:0410AD00000000003F +:0410AE00000000003E +:0410AF00000000003D +:0410B000000000003C +:0410B100000000003B +:0410B200000000003A +:0410B3000000000039 +:0410B4000000000038 +:0410B5000000000037 +:0410B6000000000036 +:0410B7000000000035 +:0410B8000000000034 +:0410B9000000000033 +:0410BA000000000032 +:0410BB000000000031 +:0410BC000000000030 +:0410BD00000000002F +:0410BE00000000002E +:0410BF00000000002D +:0410C000000000002C +:0410C100000000002B +:0410C200000000002A +:0410C3000000000029 +:0410C4000000000028 +:0410C5000000000027 +:0410C6000000000026 +:0410C7000000000025 +:0410C8000000000024 +:0410C9000000000023 +:0410CA000000000022 +:0410CB000000000021 +:0410CC000000000020 +:0410CD00000000001F +:0410CE00000000001E +:0410CF00000000001D +:0410D000000000001C +:0410D100000000001B +:0410D200000000001A +:0410D3000000000019 +:0410D4000000000018 +:0410D5000000000017 +:0410D6000000000016 +:0410D7000000000015 +:0410D8000000000014 +:0410D9000000000013 +:0410DA000000000012 +:0410DB000000000011 +:0410DC000000000010 +:0410DD00000000000F +:0410DE00000000000E +:0410DF00000000000D +:0410E000000000000C +:0410E100000000000B +:0410E200000000000A +:0410E3000000000009 +:0410E4000000000008 +:0410E5000000000007 +:0410E6000000000006 +:0410E7000000000005 +:0410E8000000000004 +:0410E9000000000003 +:0410EA000000000002 +:0410EB000000000001 +:0410EC000000000000 +:0410ED0000000000FF +:0410EE0000000000FE +:0410EF0000000000FD +:0410F00000000000FC +:0410F10000000000FB +:0410F20000000000FA +:0410F30000000000F9 +:0410F40000000000F8 +:0410F50000000000F7 +:0410F60000000000F6 +:0410F70000000000F5 +:0410F80000000000F4 +:0410F90000000000F3 +:0410FA0000000000F2 +:0410FB0000000000F1 +:0410FC0000000000F0 +:0410FD0000000000EF +:0410FE0000000000EE +:0410FF0000000000ED +:0411000000000000EB +:0411010000000000EA +:0411020000000000E9 +:0411030000000000E8 +:0411040000000000E7 +:0411050000000000E6 +:0411060000000000E5 +:0411070000000000E4 +:0411080000000000E3 +:0411090000000000E2 +:04110A0000000000E1 +:04110B0000000000E0 +:04110C0000000000DF +:04110D0000000000DE +:04110E0000000000DD +:04110F0000000000DC +:0411100000000000DB +:0411110000000000DA +:0411120000000000D9 +:0411130000000000D8 +:0411140000000000D7 +:0411150000000000D6 +:0411160000000000D5 +:0411170000000000D4 +:0411180000000000D3 +:0411190000000000D2 +:04111A0000000000D1 +:04111B0000000000D0 +:04111C0000000000CF +:04111D0000000000CE +:04111E0000000000CD +:04111F0000000000CC +:0411200000000000CB +:0411210000000000CA +:0411220000000000C9 +:0411230000000000C8 +:0411240000000000C7 +:0411250000000000C6 +:0411260000000000C5 +:0411270000000000C4 +:0411280000000000C3 +:0411290000000000C2 +:04112A0000000000C1 +:04112B0000000000C0 +:04112C0000000000BF +:04112D0000000000BE +:04112E0000000000BD +:04112F0000000000BC +:0411300000000000BB +:0411310000000000BA +:0411320000000000B9 +:0411330000000000B8 +:0411340000000000B7 +:0411350000000000B6 +:0411360000000000B5 +:0411370000000000B4 +:0411380000000000B3 +:0411390000000000B2 +:04113A0000000000B1 +:04113B0000000000B0 +:04113C0000000000AF +:04113D0000000000AE +:04113E0000000000AD +:04113F0000000000AC +:0411400000000000AB +:0411410000000000AA +:0411420000000000A9 +:0411430000000000A8 +:0411440000000000A7 +:0411450000000000A6 +:0411460000000000A5 +:0411470000000000A4 +:0411480000000000A3 +:0411490000000000A2 +:04114A0000000000A1 +:04114B0000000000A0 +:04114C00000000009F +:04114D00000000009E +:04114E00000000009D +:04114F00000000009C +:04115000000000009B +:04115100000000009A +:041152000000000099 +:041153000000000098 +:041154000000000097 +:041155000000000096 +:041156000000000095 +:041157000000000094 +:041158000000000093 +:041159000000000092 +:04115A000000000091 +:04115B000000000090 +:04115C00000000008F +:04115D00000000008E +:04115E00000000008D +:04115F00000000008C +:04116000000000008B +:04116100000000008A +:041162000000000089 +:041163000000000088 +:041164000000000087 +:041165000000000086 +:041166000000000085 +:041167000000000084 +:041168000000000083 +:041169000000000082 +:04116A000000000081 +:04116B000000000080 +:04116C00000000007F +:04116D00000000007E +:04116E00000000007D +:04116F00000000007C +:04117000000000007B +:04117100000000007A +:041172000000000079 +:041173000000000078 +:041174000000000077 +:041175000000000076 +:041176000000000075 +:041177000000000074 +:041178000000000073 +:041179000000000072 +:04117A000000000071 +:04117B000000000070 +:04117C00000000006F +:04117D00000000006E +:04117E00000000006D +:04117F00000000006C +:04118000000000006B +:04118100000000006A +:041182000000000069 +:041183000000000068 +:041184000000000067 +:041185000000000066 +:041186000000000065 +:041187000000000064 +:041188000000000063 +:041189000000000062 +:04118A000000000061 +:04118B000000000060 +:04118C00000000005F +:04118D00000000005E +:04118E00000000005D +:04118F00000000005C +:04119000000000005B +:04119100000000005A +:041192000000000059 +:041193000000000058 +:041194000000000057 +:041195000000000056 +:041196000000000055 +:041197000000000054 +:041198000000000053 +:041199000000000052 +:04119A000000000051 +:04119B000000000050 +:04119C00000000004F +:04119D00000000004E +:04119E00000000004D +:04119F00000000004C +:0411A000000000004B +:0411A100000000004A +:0411A2000000000049 +:0411A3000000000048 +:0411A4000000000047 +:0411A5000000000046 +:0411A6000000000045 +:0411A7000000000044 +:0411A8000000000043 +:0411A9000000000042 +:0411AA000000000041 +:0411AB000000000040 +:0411AC00000000003F +:0411AD00000000003E +:0411AE00000000003D +:0411AF00000000003C +:0411B000000000003B +:0411B100000000003A +:0411B2000000000039 +:0411B3000000000038 +:0411B4000000000037 +:0411B5000000000036 +:0411B6000000000035 +:0411B7000000000034 +:0411B8000000000033 +:0411B9000000000032 +:0411BA000000000031 +:0411BB000000000030 +:0411BC00000000002F +:0411BD00000000002E +:0411BE00000000002D +:0411BF00000000002C +:0411C000000000002B +:0411C100000000002A +:0411C2000000000029 +:0411C3000000000028 +:0411C4000000000027 +:0411C5000000000026 +:0411C6000000000025 +:0411C7000000000024 +:0411C8000000000023 +:0411C9000000000022 +:0411CA000000000021 +:0411CB000000000020 +:0411CC00000000001F +:0411CD00000000001E +:0411CE00000000001D +:0411CF00000000001C +:0411D000000000001B +:0411D100000000001A +:0411D2000000000019 +:0411D3000000000018 +:0411D4000000000017 +:0411D5000000000016 +:0411D6000000000015 +:0411D7000000000014 +:0411D8000000000013 +:0411D9000000000012 +:0411DA000000000011 +:0411DB000000000010 +:0411DC00000000000F +:0411DD00000000000E +:0411DE00000000000D +:0411DF00000000000C +:0411E000000000000B +:0411E100000000000A +:0411E2000000000009 +:0411E3000000000008 +:0411E4000000000007 +:0411E5000000000006 +:0411E6000000000005 +:0411E7000000000004 +:0411E8000000000003 +:0411E9000000000002 +:0411EA000000000001 +:0411EB000000000000 +:0411EC0000000000FF +:0411ED0000000000FE +:0411EE0000000000FD +:0411EF0000000000FC +:0411F00000000000FB +:0411F10000000000FA +:0411F20000000000F9 +:0411F30000000000F8 +:0411F40000000000F7 +:0411F50000000000F6 +:0411F60000000000F5 +:0411F70000000000F4 +:0411F80000000000F3 +:0411F90000000000F2 +:0411FA0000000000F1 +:0411FB0000000000F0 +:0411FC0000000000EF +:0411FD0000000000EE +:0411FE0000000000ED +:0411FF0000000000EC +:0412000000000000EA +:0412010000000000E9 +:0412020000000000E8 +:0412030000000000E7 +:0412040000000000E6 +:0412050000000000E5 +:0412060000000000E4 +:0412070000000000E3 +:0412080000000000E2 +:0412090000000000E1 +:04120A0000000000E0 +:04120B0000000000DF +:04120C0000000000DE +:04120D0000000000DD +:04120E0000000000DC +:04120F0000000000DB +:0412100000000000DA +:0412110000000000D9 +:0412120000000000D8 +:0412130000000000D7 +:0412140000000000D6 +:0412150000000000D5 +:0412160000000000D4 +:0412170000000000D3 +:0412180000000000D2 +:0412190000000000D1 +:04121A0000000000D0 +:04121B0000000000CF +:04121C0000000000CE +:04121D0000000000CD +:04121E0000000000CC +:04121F0000000000CB +:0412200000000000CA +:0412210000000000C9 +:0412220000000000C8 +:0412230000000000C7 +:0412240000000000C6 +:0412250000000000C5 +:0412260000000000C4 +:0412270000000000C3 +:0412280000000000C2 +:0412290000000000C1 +:04122A0000000000C0 +:04122B0000000000BF +:04122C0000000000BE +:04122D0000000000BD +:04122E0000000000BC +:04122F0000000000BB +:0412300000000000BA +:0412310000000000B9 +:0412320000000000B8 +:0412330000000000B7 +:0412340000000000B6 +:0412350000000000B5 +:0412360000000000B4 +:0412370000000000B3 +:0412380000000000B2 +:0412390000000000B1 +:04123A0000000000B0 +:04123B0000000000AF +:04123C0000000000AE +:04123D0000000000AD +:04123E0000000000AC +:04123F0000000000AB +:0412400000000000AA +:0412410000000000A9 +:0412420000000000A8 +:0412430000000000A7 +:0412440000000000A6 +:0412450000000000A5 +:0412460000000000A4 +:0412470000000000A3 +:0412480000000000A2 +:0412490000000000A1 +:04124A0000000000A0 +:04124B00000000009F +:04124C00000000009E +:04124D00000000009D +:04124E00000000009C +:04124F00000000009B +:04125000000000009A +:041251000000000099 +:041252000000000098 +:041253000000000097 +:041254000000000096 +:041255000000000095 +:041256000000000094 +:041257000000000093 +:041258000000000092 +:041259000000000091 +:04125A000000000090 +:04125B00000000008F +:04125C00000000008E +:04125D00000000008D +:04125E00000000008C +:04125F00000000008B +:04126000000000008A +:041261000000000089 +:041262000000000088 +:041263000000000087 +:041264000000000086 +:041265000000000085 +:041266000000000084 +:041267000000000083 +:041268000000000082 +:041269000000000081 +:04126A000000000080 +:04126B00000000007F +:04126C00000000007E +:04126D00000000007D +:04126E00000000007C +:04126F00000000007B +:04127000000000007A +:041271000000000079 +:041272000000000078 +:041273000000000077 +:041274000000000076 +:041275000000000075 +:041276000000000074 +:041277000000000073 +:041278000000000072 +:041279000000000071 +:04127A000000000070 +:04127B00000000006F +:04127C00000000006E +:04127D00000000006D +:04127E00000000006C +:04127F00000000006B +:04128000000000006A +:041281000000000069 +:041282000000000068 +:041283000000000067 +:041284000000000066 +:041285000000000065 +:041286000000000064 +:041287000000000063 +:041288000000000062 +:041289000000000061 +:04128A000000000060 +:04128B00000000005F +:04128C00000000005E +:04128D00000000005D +:04128E00000000005C +:04128F00000000005B +:04129000000000005A +:041291000000000059 +:041292000000000058 +:041293000000000057 +:041294000000000056 +:041295000000000055 +:041296000000000054 +:041297000000000053 +:041298000000000052 +:041299000000000051 +:04129A000000000050 +:04129B00000000004F +:04129C00000000004E +:04129D00000000004D +:04129E00000000004C +:04129F00000000004B +:0412A000000000004A +:0412A1000000000049 +:0412A2000000000048 +:0412A3000000000047 +:0412A4000000000046 +:0412A5000000000045 +:0412A6000000000044 +:0412A7000000000043 +:0412A8000000000042 +:0412A9000000000041 +:0412AA000000000040 +:0412AB00000000003F +:0412AC00000000003E +:0412AD00000000003D +:0412AE00000000003C +:0412AF00000000003B +:0412B000000000003A +:0412B1000000000039 +:0412B2000000000038 +:0412B3000000000037 +:0412B4000000000036 +:0412B5000000000035 +:0412B6000000000034 +:0412B7000000000033 +:0412B8000000000032 +:0412B9000000000031 +:0412BA000000000030 +:0412BB00000000002F +:0412BC00000000002E +:0412BD00000000002D +:0412BE00000000002C +:0412BF00000000002B +:0412C000000000002A +:0412C1000000000029 +:0412C2000000000028 +:0412C3000000000027 +:0412C4000000000026 +:0412C5000000000025 +:0412C6000000000024 +:0412C7000000000023 +:0412C8000000000022 +:0412C9000000000021 +:0412CA000000000020 +:0412CB00000000001F +:0412CC00000000001E +:0412CD00000000001D +:0412CE00000000001C +:0412CF00000000001B +:0412D000000000001A +:0412D1000000000019 +:0412D2000000000018 +:0412D3000000000017 +:0412D4000000000016 +:0412D5000000000015 +:0412D6000000000014 +:0412D7000000000013 +:0412D8000000000012 +:0412D9000000000011 +:0412DA000000000010 +:0412DB00000000000F +:0412DC00000000000E +:0412DD00000000000D +:0412DE00000000000C +:0412DF00000000000B +:0412E000000000000A +:0412E1000000000009 +:0412E2000000000008 +:0412E3000000000007 +:0412E4000000000006 +:0412E5000000000005 +:0412E6000000000004 +:0412E7000000000003 +:0412E8000000000002 +:0412E9000000000001 +:0412EA000000000000 +:0412EB0000000000FF +:0412EC0000000000FE +:0412ED0000000000FD +:0412EE0000000000FC +:0412EF0000000000FB +:0412F00000000000FA +:0412F10000000000F9 +:0412F20000000000F8 +:0412F30000000000F7 +:0412F40000000000F6 +:0412F50000000000F5 +:0412F60000000000F4 +:0412F70000000000F3 +:0412F80000000000F2 +:0412F90000000000F1 +:0412FA0000000000F0 +:0412FB0000000000EF +:0412FC0000000000EE +:0412FD0000000000ED +:0412FE0000000000EC +:0412FF0000000000EB +:0413000000000000E9 +:0413010000000000E8 +:0413020000000000E7 +:0413030000000000E6 +:0413040000000000E5 +:0413050000000000E4 +:0413060000000000E3 +:0413070000000000E2 +:0413080000000000E1 +:0413090000000000E0 +:04130A0000000000DF +:04130B0000000000DE +:04130C0000000000DD +:04130D0000000000DC +:04130E0000000000DB +:04130F0000000000DA +:0413100000000000D9 +:0413110000000000D8 +:0413120000000000D7 +:0413130000000000D6 +:0413140000000000D5 +:0413150000000000D4 +:0413160000000000D3 +:0413170000000000D2 +:0413180000000000D1 +:0413190000000000D0 +:04131A0000000000CF +:04131B0000000000CE +:04131C0000000000CD +:04131D0000000000CC +:04131E0000000000CB +:04131F0000000000CA +:0413200000000000C9 +:0413210000000000C8 +:0413220000000000C7 +:0413230000000000C6 +:0413240000000000C5 +:0413250000000000C4 +:0413260000000000C3 +:0413270000000000C2 +:0413280000000000C1 +:0413290000000000C0 +:04132A0000000000BF +:04132B0000000000BE +:04132C0000000000BD +:04132D0000000000BC +:04132E0000000000BB +:04132F0000000000BA +:0413300000000000B9 +:0413310000000000B8 +:0413320000000000B7 +:0413330000000000B6 +:0413340000000000B5 +:0413350000000000B4 +:0413360000000000B3 +:0413370000000000B2 +:0413380000000000B1 +:0413390000000000B0 +:04133A0000000000AF +:04133B0000000000AE +:04133C0000000000AD +:04133D0000000000AC +:04133E0000000000AB +:04133F0000000000AA +:0413400000000000A9 +:0413410000000000A8 +:0413420000000000A7 +:0413430000000000A6 +:0413440000000000A5 +:0413450000000000A4 +:0413460000000000A3 +:0413470000000000A2 +:0413480000000000A1 +:0413490000000000A0 +:04134A00000000009F +:04134B00000000009E +:04134C00000000009D +:04134D00000000009C +:04134E00000000009B +:04134F00000000009A +:041350000000000099 +:041351000000000098 +:041352000000000097 +:041353000000000096 +:041354000000000095 +:041355000000000094 +:041356000000000093 +:041357000000000092 +:041358000000000091 +:041359000000000090 +:04135A00000000008F +:04135B00000000008E +:04135C00000000008D +:04135D00000000008C +:04135E00000000008B +:04135F00000000008A +:041360000000000089 +:041361000000000088 +:041362000000000087 +:041363000000000086 +:041364000000000085 +:041365000000000084 +:041366000000000083 +:041367000000000082 +:041368000000000081 +:041369000000000080 +:04136A00000000007F +:04136B00000000007E +:04136C00000000007D +:04136D00000000007C +:04136E00000000007B +:04136F00000000007A +:041370000000000079 +:041371000000000078 +:041372000000000077 +:041373000000000076 +:041374000000000075 +:041375000000000074 +:041376000000000073 +:041377000000000072 +:041378000000000071 +:041379000000000070 +:04137A00000000006F +:04137B00000000006E +:04137C00000000006D +:04137D00000000006C +:04137E00000000006B +:04137F00000000006A +:041380000000000069 +:041381000000000068 +:041382000000000067 +:041383000000000066 +:041384000000000065 +:041385000000000064 +:041386000000000063 +:041387000000000062 +:041388000000000061 +:041389000000000060 +:04138A00000000005F +:04138B00000000005E +:04138C00000000005D +:04138D00000000005C +:04138E00000000005B +:04138F00000000005A +:041390000000000059 +:041391000000000058 +:041392000000000057 +:041393000000000056 +:041394000000000055 +:041395000000000054 +:041396000000000053 +:041397000000000052 +:041398000000000051 +:041399000000000050 +:04139A00000000004F +:04139B00000000004E +:04139C00000000004D +:04139D00000000004C +:04139E00000000004B +:04139F00000000004A +:0413A0000000000049 +:0413A1000000000048 +:0413A2000000000047 +:0413A3000000000046 +:0413A4000000000045 +:0413A5000000000044 +:0413A6000000000043 +:0413A7000000000042 +:0413A8000000000041 +:0413A9000000000040 +:0413AA00000000003F +:0413AB00000000003E +:0413AC00000000003D +:0413AD00000000003C +:0413AE00000000003B +:0413AF00000000003A +:0413B0000000000039 +:0413B1000000000038 +:0413B2000000000037 +:0413B3000000000036 +:0413B4000000000035 +:0413B5000000000034 +:0413B6000000000033 +:0413B7000000000032 +:0413B8000000000031 +:0413B9000000000030 +:0413BA00000000002F +:0413BB00000000002E +:0413BC00000000002D +:0413BD00000000002C +:0413BE00000000002B +:0413BF00000000002A +:0413C0000000000029 +:0413C1000000000028 +:0413C2000000000027 +:0413C3000000000026 +:0413C4000000000025 +:0413C5000000000024 +:0413C6000000000023 +:0413C7000000000022 +:0413C8000000000021 +:0413C9000000000020 +:0413CA00000000001F +:0413CB00000000001E +:0413CC00000000001D +:0413CD00000000001C +:0413CE00000000001B +:0413CF00000000001A +:0413D0000000000019 +:0413D1000000000018 +:0413D2000000000017 +:0413D3000000000016 +:0413D4000000000015 +:0413D5000000000014 +:0413D6000000000013 +:0413D7000000000012 +:0413D8000000000011 +:0413D9000000000010 +:0413DA00000000000F +:0413DB00000000000E +:0413DC00000000000D +:0413DD00000000000C +:0413DE00000000000B +:0413DF00000000000A +:0413E0000000000009 +:0413E1000000000008 +:0413E2000000000007 +:0413E3000000000006 +:0413E4000000000005 +:0413E5000000000004 +:0413E6000000000003 +:0413E7000000000002 +:0413E8000000000001 +:0413E9000000000000 +:0413EA0000000000FF +:0413EB0000000000FE +:0413EC0000000000FD +:0413ED0000000000FC +:0413EE0000000000FB +:0413EF0000000000FA +:0413F00000000000F9 +:0413F10000000000F8 +:0413F20000000000F7 +:0413F30000000000F6 +:0413F40000000000F5 +:0413F50000000000F4 +:0413F60000000000F3 +:0413F70000000000F2 +:0413F80000000000F1 +:0413F90000000000F0 +:0413FA0000000000EF +:0413FB0000000000EE +:0413FC0000000000ED +:0413FD0000000000EC +:0413FE0000000000EB +:0413FF0000000000EA +:0414000000000000E8 +:0414010000000000E7 +:0414020000000000E6 +:0414030000000000E5 +:0414040000000000E4 +:0414050000000000E3 +:0414060000000000E2 +:0414070000000000E1 +:0414080000000000E0 +:0414090000000000DF +:04140A0000000000DE +:04140B0000000000DD +:04140C0000000000DC +:04140D0000000000DB +:04140E0000000000DA +:04140F0000000000D9 +:0414100000000000D8 +:0414110000000000D7 +:0414120000000000D6 +:0414130000000000D5 +:0414140000000000D4 +:0414150000000000D3 +:0414160000000000D2 +:0414170000000000D1 +:0414180000000000D0 +:0414190000000000CF +:04141A0000000000CE +:04141B0000000000CD +:04141C0000000000CC +:04141D0000000000CB +:04141E0000000000CA +:04141F0000000000C9 +:0414200000000000C8 +:0414210000000000C7 +:0414220000000000C6 +:0414230000000000C5 +:0414240000000000C4 +:0414250000000000C3 +:0414260000000000C2 +:0414270000000000C1 +:0414280000000000C0 +:0414290000000000BF +:04142A0000000000BE +:04142B0000000000BD +:04142C0000000000BC +:04142D0000000000BB +:04142E0000000000BA +:04142F0000000000B9 +:0414300000000000B8 +:0414310000000000B7 +:0414320000000000B6 +:0414330000000000B5 +:0414340000000000B4 +:0414350000000000B3 +:0414360000000000B2 +:0414370000000000B1 +:0414380000000000B0 +:0414390000000000AF +:04143A0000000000AE +:04143B0000000000AD +:04143C0000000000AC +:04143D0000000000AB +:04143E0000000000AA +:04143F0000000000A9 +:0414400000000000A8 +:0414410000000000A7 +:0414420000000000A6 +:0414430000000000A5 +:0414440000000000A4 +:0414450000000000A3 +:0414460000000000A2 +:0414470000000000A1 +:0414480000000000A0 +:04144900000000009F +:04144A00000000009E +:04144B00000000009D +:04144C00000000009C +:04144D00000000009B +:04144E00000000009A +:04144F000000000099 +:041450000000000098 +:041451000000000097 +:041452000000000096 +:041453000000000095 +:041454000000000094 +:041455000000000093 +:041456000000000092 +:041457000000000091 +:041458000000000090 +:04145900000000008F +:04145A00000000008E +:04145B00000000008D +:04145C00000000008C +:04145D00000000008B +:04145E00000000008A +:04145F000000000089 +:041460000000000088 +:041461000000000087 +:041462000000000086 +:041463000000000085 +:041464000000000084 +:041465000000000083 +:041466000000000082 +:041467000000000081 +:041468000000000080 +:04146900000000007F +:04146A00000000007E +:04146B00000000007D +:04146C00000000007C +:04146D00000000007B +:04146E00000000007A +:04146F000000000079 +:041470000000000078 +:041471000000000077 +:041472000000000076 +:041473000000000075 +:041474000000000074 +:041475000000000073 +:041476000000000072 +:041477000000000071 +:041478000000000070 +:04147900000000006F +:04147A00000000006E +:04147B00000000006D +:04147C00000000006C +:04147D00000000006B +:04147E00000000006A +:04147F000000000069 +:041480000000000068 +:041481000000000067 +:041482000000000066 +:041483000000000065 +:041484000000000064 +:041485000000000063 +:041486000000000062 +:041487000000000061 +:041488000000000060 +:04148900000000005F +:04148A00000000005E +:04148B00000000005D +:04148C00000000005C +:04148D00000000005B +:04148E00000000005A +:04148F000000000059 +:041490000000000058 +:041491000000000057 +:041492000000000056 +:041493000000000055 +:041494000000000054 +:041495000000000053 +:041496000000000052 +:041497000000000051 +:041498000000000050 +:04149900000000004F +:04149A00000000004E +:04149B00000000004D +:04149C00000000004C +:04149D00000000004B +:04149E00000000004A +:04149F000000000049 +:0414A0000000000048 +:0414A1000000000047 +:0414A2000000000046 +:0414A3000000000045 +:0414A4000000000044 +:0414A5000000000043 +:0414A6000000000042 +:0414A7000000000041 +:0414A8000000000040 +:0414A900000000003F +:0414AA00000000003E +:0414AB00000000003D +:0414AC00000000003C +:0414AD00000000003B +:0414AE00000000003A +:0414AF000000000039 +:0414B0000000000038 +:0414B1000000000037 +:0414B2000000000036 +:0414B3000000000035 +:0414B4000000000034 +:0414B5000000000033 +:0414B6000000000032 +:0414B7000000000031 +:0414B8000000000030 +:0414B900000000002F +:0414BA00000000002E +:0414BB00000000002D +:0414BC00000000002C +:0414BD00000000002B +:0414BE00000000002A +:0414BF000000000029 +:0414C0000000000028 +:0414C1000000000027 +:0414C2000000000026 +:0414C3000000000025 +:0414C4000000000024 +:0414C5000000000023 +:0414C6000000000022 +:0414C7000000000021 +:0414C8000000000020 +:0414C900000000001F +:0414CA00000000001E +:0414CB00000000001D +:0414CC00000000001C +:0414CD00000000001B +:0414CE00000000001A +:0414CF000000000019 +:0414D0000000000018 +:0414D1000000000017 +:0414D2000000000016 +:0414D3000000000015 +:0414D4000000000014 +:0414D5000000000013 +:0414D6000000000012 +:0414D7000000000011 +:0414D8000000000010 +:0414D900000000000F +:0414DA00000000000E +:0414DB00000000000D +:0414DC00000000000C +:0414DD00000000000B +:0414DE00000000000A +:0414DF000000000009 +:0414E0000000000008 +:0414E1000000000007 +:0414E2000000000006 +:0414E3000000000005 +:0414E4000000000004 +:0414E5000000000003 +:0414E6000000000002 +:0414E7000000000001 +:0414E8000000000000 +:0414E90000000000FF +:0414EA0000000000FE +:0414EB0000000000FD +:0414EC0000000000FC +:0414ED0000000000FB +:0414EE0000000000FA +:0414EF0000000000F9 +:0414F00000000000F8 +:0414F10000000000F7 +:0414F20000000000F6 +:0414F30000000000F5 +:0414F40000000000F4 +:0414F50000000000F3 +:0414F60000000000F2 +:0414F70000000000F1 +:0414F80000000000F0 +:0414F90000000000EF +:0414FA0000000000EE +:0414FB0000000000ED +:0414FC0000000000EC +:0414FD0000000000EB +:0414FE0000000000EA +:0414FF0000000000E9 +:0415000000000000E7 +:0415010000000000E6 +:0415020000000000E5 +:0415030000000000E4 +:0415040000000000E3 +:0415050000000000E2 +:0415060000000000E1 +:0415070000000000E0 +:0415080000000000DF +:0415090000000000DE +:04150A0000000000DD +:04150B0000000000DC +:04150C0000000000DB +:04150D0000000000DA +:04150E0000000000D9 +:04150F0000000000D8 +:0415100000000000D7 +:0415110000000000D6 +:0415120000000000D5 +:0415130000000000D4 +:0415140000000000D3 +:0415150000000000D2 +:0415160000000000D1 +:0415170000000000D0 +:0415180000000000CF +:0415190000000000CE +:04151A0000000000CD +:04151B0000000000CC +:04151C0000000000CB +:04151D0000000000CA +:04151E0000000000C9 +:04151F0000000000C8 +:0415200000000000C7 +:0415210000000000C6 +:0415220000000000C5 +:0415230000000000C4 +:0415240000000000C3 +:0415250000000000C2 +:0415260000000000C1 +:0415270000000000C0 +:0415280000000000BF +:0415290000000000BE +:04152A0000000000BD +:04152B0000000000BC +:04152C0000000000BB +:04152D0000000000BA +:04152E0000000000B9 +:04152F0000000000B8 +:0415300000000000B7 +:0415310000000000B6 +:0415320000000000B5 +:0415330000000000B4 +:0415340000000000B3 +:0415350000000000B2 +:0415360000000000B1 +:0415370000000000B0 +:0415380000000000AF +:0415390000000000AE +:04153A0000000000AD +:04153B0000000000AC +:04153C0000000000AB +:04153D0000000000AA +:04153E0000000000A9 +:04153F0000000000A8 +:0415400000000000A7 +:0415410000000000A6 +:0415420000000000A5 +:0415430000000000A4 +:0415440000000000A3 +:0415450000000000A2 +:0415460000000000A1 +:0415470000000000A0 +:04154800000000009F +:04154900000000009E +:04154A00000000009D +:04154B00000000009C +:04154C00000000009B +:04154D00000000009A +:04154E000000000099 +:04154F000000000098 +:041550000000000097 +:041551000000000096 +:041552000000000095 +:041553000000000094 +:041554000000000093 +:041555000000000092 +:041556000000000091 +:041557000000000090 +:04155800000000008F +:04155900000000008E +:04155A00000000008D +:04155B00000000008C +:04155C00000000008B +:04155D00000000008A +:04155E000000000089 +:04155F000000000088 +:041560000000000087 +:041561000000000086 +:041562000000000085 +:041563000000000084 +:041564000000000083 +:041565000000000082 +:041566000000000081 +:041567000000000080 +:04156800000000007F +:04156900000000007E +:04156A00000000007D +:04156B00000000007C +:04156C00000000007B +:04156D00000000007A +:04156E000000000079 +:04156F000000000078 +:041570000000000077 +:041571000000000076 +:041572000000000075 +:041573000000000074 +:041574000000000073 +:041575000000000072 +:041576000000000071 +:041577000000000070 +:04157800000000006F +:04157900000000006E +:04157A00000000006D +:04157B00000000006C +:04157C00000000006B +:04157D00000000006A +:04157E000000000069 +:04157F000000000068 +:041580000000000067 +:041581000000000066 +:041582000000000065 +:041583000000000064 +:041584000000000063 +:041585000000000062 +:041586000000000061 +:041587000000000060 +:04158800000000005F +:04158900000000005E +:04158A00000000005D +:04158B00000000005C +:04158C00000000005B +:04158D00000000005A +:04158E000000000059 +:04158F000000000058 +:041590000000000057 +:041591000000000056 +:041592000000000055 +:041593000000000054 +:041594000000000053 +:041595000000000052 +:041596000000000051 +:041597000000000050 +:04159800000000004F +:04159900000000004E +:04159A00000000004D +:04159B00000000004C +:04159C00000000004B +:04159D00000000004A +:04159E000000000049 +:04159F000000000048 +:0415A0000000000047 +:0415A1000000000046 +:0415A2000000000045 +:0415A3000000000044 +:0415A4000000000043 +:0415A5000000000042 +:0415A6000000000041 +:0415A7000000000040 +:0415A800000000003F +:0415A900000000003E +:0415AA00000000003D +:0415AB00000000003C +:0415AC00000000003B +:0415AD00000000003A +:0415AE000000000039 +:0415AF000000000038 +:0415B0000000000037 +:0415B1000000000036 +:0415B2000000000035 +:0415B3000000000034 +:0415B4000000000033 +:0415B5000000000032 +:0415B6000000000031 +:0415B7000000000030 +:0415B800000000002F +:0415B900000000002E +:0415BA00000000002D +:0415BB00000000002C +:0415BC00000000002B +:0415BD00000000002A +:0415BE000000000029 +:0415BF000000000028 +:0415C0000000000027 +:0415C1000000000026 +:0415C2000000000025 +:0415C3000000000024 +:0415C4000000000023 +:0415C5000000000022 +:0415C6000000000021 +:0415C7000000000020 +:0415C800000000001F +:0415C900000000001E +:0415CA00000000001D +:0415CB00000000001C +:0415CC00000000001B +:0415CD00000000001A +:0415CE000000000019 +:0415CF000000000018 +:0415D0000000000017 +:0415D1000000000016 +:0415D2000000000015 +:0415D3000000000014 +:0415D4000000000013 +:0415D5000000000012 +:0415D6000000000011 +:0415D7000000000010 +:0415D800000000000F +:0415D900000000000E +:0415DA00000000000D +:0415DB00000000000C +:0415DC00000000000B +:0415DD00000000000A +:0415DE000000000009 +:0415DF000000000008 +:0415E0000000000007 +:0415E1000000000006 +:0415E2000000000005 +:0415E3000000000004 +:0415E4000000000003 +:0415E5000000000002 +:0415E6000000000001 +:0415E7000000000000 +:0415E80000000000FF +:0415E90000000000FE +:0415EA0000000000FD +:0415EB0000000000FC +:0415EC0000000000FB +:0415ED0000000000FA +:0415EE0000000000F9 +:0415EF0000000000F8 +:0415F00000000000F7 +:0415F10000000000F6 +:0415F20000000000F5 +:0415F30000000000F4 +:0415F40000000000F3 +:0415F50000000000F2 +:0415F60000000000F1 +:0415F70000000000F0 +:0415F80000000000EF +:0415F90000000000EE +:0415FA0000000000ED +:0415FB0000000000EC +:0415FC0000000000EB +:0415FD0000000000EA +:0415FE0000000000E9 +:0415FF0000000000E8 +:0416000000000000E6 +:0416010000000000E5 +:0416020000000000E4 +:0416030000000000E3 +:0416040000000000E2 +:0416050000000000E1 +:0416060000000000E0 +:0416070000000000DF +:0416080000000000DE +:0416090000000000DD +:04160A0000000000DC +:04160B0000000000DB +:04160C0000000000DA +:04160D0000000000D9 +:04160E0000000000D8 +:04160F0000000000D7 +:0416100000000000D6 +:0416110000000000D5 +:0416120000000000D4 +:0416130000000000D3 +:0416140000000000D2 +:0416150000000000D1 +:0416160000000000D0 +:0416170000000000CF +:0416180000000000CE +:0416190000000000CD +:04161A0000000000CC +:04161B0000000000CB +:04161C0000000000CA +:04161D0000000000C9 +:04161E0000000000C8 +:04161F0000000000C7 +:0416200000000000C6 +:0416210000000000C5 +:0416220000000000C4 +:0416230000000000C3 +:0416240000000000C2 +:0416250000000000C1 +:0416260000000000C0 +:0416270000000000BF +:0416280000000000BE +:0416290000000000BD +:04162A0000000000BC +:04162B0000000000BB +:04162C0000000000BA +:04162D0000000000B9 +:04162E0000000000B8 +:04162F0000000000B7 +:0416300000000000B6 +:0416310000000000B5 +:0416320000000000B4 +:0416330000000000B3 +:0416340000000000B2 +:0416350000000000B1 +:0416360000000000B0 +:0416370000000000AF +:0416380000000000AE +:0416390000000000AD +:04163A0000000000AC +:04163B0000000000AB +:04163C0000000000AA +:04163D0000000000A9 +:04163E0000000000A8 +:04163F0000000000A7 +:0416400000000000A6 +:0416410000000000A5 +:0416420000000000A4 +:0416430000000000A3 +:0416440000000000A2 +:0416450000000000A1 +:0416460000000000A0 +:04164700000000009F +:04164800000000009E +:04164900000000009D +:04164A00000000009C +:04164B00000000009B +:04164C00000000009A +:04164D000000000099 +:04164E000000000098 +:04164F000000000097 +:041650000000000096 +:041651000000000095 +:041652000000000094 +:041653000000000093 +:041654000000000092 +:041655000000000091 +:041656000000000090 +:04165700000000008F +:04165800000000008E +:04165900000000008D +:04165A00000000008C +:04165B00000000008B +:04165C00000000008A +:04165D000000000089 +:04165E000000000088 +:04165F000000000087 +:041660000000000086 +:041661000000000085 +:041662000000000084 +:041663000000000083 +:041664000000000082 +:041665000000000081 +:041666000000000080 +:04166700000000007F +:04166800000000007E +:04166900000000007D +:04166A00000000007C +:04166B00000000007B +:04166C00000000007A +:04166D000000000079 +:04166E000000000078 +:04166F000000000077 +:041670000000000076 +:041671000000000075 +:041672000000000074 +:041673000000000073 +:041674000000000072 +:041675000000000071 +:041676000000000070 +:04167700000000006F +:04167800000000006E +:04167900000000006D +:04167A00000000006C +:04167B00000000006B +:04167C00000000006A +:04167D000000000069 +:04167E000000000068 +:04167F000000000067 +:041680000000000066 +:041681000000000065 +:041682000000000064 +:041683000000000063 +:041684000000000062 +:041685000000000061 +:041686000000000060 +:04168700000000005F +:04168800000000005E +:04168900000000005D +:04168A00000000005C +:04168B00000000005B +:04168C00000000005A +:04168D000000000059 +:04168E000000000058 +:04168F000000000057 +:041690000000000056 +:041691000000000055 +:041692000000000054 +:041693000000000053 +:041694000000000052 +:041695000000000051 +:041696000000000050 +:04169700000000004F +:04169800000000004E +:04169900000000004D +:04169A00000000004C +:04169B00000000004B +:04169C00000000004A +:04169D000000000049 +:04169E000000000048 +:04169F000000000047 +:0416A0000000000046 +:0416A1000000000045 +:0416A2000000000044 +:0416A3000000000043 +:0416A4000000000042 +:0416A5000000000041 +:0416A6000000000040 +:0416A700000000003F +:0416A800000000003E +:0416A900000000003D +:0416AA00000000003C +:0416AB00000000003B +:0416AC00000000003A +:0416AD000000000039 +:0416AE000000000038 +:0416AF000000000037 +:0416B0000000000036 +:0416B1000000000035 +:0416B2000000000034 +:0416B3000000000033 +:0416B4000000000032 +:0416B5000000000031 +:0416B6000000000030 +:0416B700000000002F +:0416B800000000002E +:0416B900000000002D +:0416BA00000000002C +:0416BB00000000002B +:0416BC00000000002A +:0416BD000000000029 +:0416BE000000000028 +:0416BF000000000027 +:0416C0000000000026 +:0416C1000000000025 +:0416C2000000000024 +:0416C3000000000023 +:0416C4000000000022 +:0416C5000000000021 +:0416C6000000000020 +:0416C700000000001F +:0416C800000000001E +:0416C900000000001D +:0416CA00000000001C +:0416CB00000000001B +:0416CC00000000001A +:0416CD000000000019 +:0416CE000000000018 +:0416CF000000000017 +:0416D0000000000016 +:0416D1000000000015 +:0416D2000000000014 +:0416D3000000000013 +:0416D4000000000012 +:0416D5000000000011 +:0416D6000000000010 +:0416D700000000000F +:0416D800000000000E +:0416D900000000000D +:0416DA00000000000C +:0416DB00000000000B +:0416DC00000000000A +:0416DD000000000009 +:0416DE000000000008 +:0416DF000000000007 +:0416E0000000000006 +:0416E1000000000005 +:0416E2000000000004 +:0416E3000000000003 +:0416E4000000000002 +:0416E5000000000001 +:0416E6000000000000 +:0416E70000000000FF +:0416E80000000000FE +:0416E90000000000FD +:0416EA0000000000FC +:0416EB0000000000FB +:0416EC0000000000FA +:0416ED0000000000F9 +:0416EE0000000000F8 +:0416EF0000000000F7 +:0416F00000000000F6 +:0416F10000000000F5 +:0416F20000000000F4 +:0416F30000000000F3 +:0416F40000000000F2 +:0416F50000000000F1 +:0416F60000000000F0 +:0416F70000000000EF +:0416F80000000000EE +:0416F90000000000ED +:0416FA0000000000EC +:0416FB0000000000EB +:0416FC0000000000EA +:0416FD0000000000E9 +:0416FE0000000000E8 +:0416FF0000000000E7 +:0417000000000000E5 +:0417010000000000E4 +:0417020000000000E3 +:0417030000000000E2 +:0417040000000000E1 +:0417050000000000E0 +:0417060000000000DF +:0417070000000000DE +:0417080000000000DD +:0417090000000000DC +:04170A0000000000DB +:04170B0000000000DA +:04170C0000000000D9 +:04170D0000000000D8 +:04170E0000000000D7 +:04170F0000000000D6 +:0417100000000000D5 +:0417110000000000D4 +:0417120000000000D3 +:0417130000000000D2 +:0417140000000000D1 +:0417150000000000D0 +:0417160000000000CF +:0417170000000000CE +:0417180000000000CD +:0417190000000000CC +:04171A0000000000CB +:04171B0000000000CA +:04171C0000000000C9 +:04171D0000000000C8 +:04171E0000000000C7 +:04171F0000000000C6 +:0417200000000000C5 +:0417210000000000C4 +:0417220000000000C3 +:0417230000000000C2 +:0417240000000000C1 +:0417250000000000C0 +:0417260000000000BF +:0417270000000000BE +:0417280000000000BD +:0417290000000000BC +:04172A0000000000BB +:04172B0000000000BA +:04172C0000000000B9 +:04172D0000000000B8 +:04172E0000000000B7 +:04172F0000000000B6 +:0417300000000000B5 +:0417310000000000B4 +:0417320000000000B3 +:0417330000000000B2 +:0417340000000000B1 +:0417350000000000B0 +:0417360000000000AF +:0417370000000000AE +:0417380000000000AD +:0417390000000000AC +:04173A0000000000AB +:04173B0000000000AA +:04173C0000000000A9 +:04173D0000000000A8 +:04173E0000000000A7 +:04173F0000000000A6 +:0417400000000000A5 +:0417410000000000A4 +:0417420000000000A3 +:0417430000000000A2 +:0417440000000000A1 +:0417450000000000A0 +:04174600000000009F +:04174700000000009E +:04174800000000009D +:04174900000000009C +:04174A00000000009B +:04174B00000000009A +:04174C000000000099 +:04174D000000000098 +:04174E000000000097 +:04174F000000000096 +:041750000000000095 +:041751000000000094 +:041752000000000093 +:041753000000000092 +:041754000000000091 +:041755000000000090 +:04175600000000008F +:04175700000000008E +:04175800000000008D +:04175900000000008C +:04175A00000000008B +:04175B00000000008A +:04175C000000000089 +:04175D000000000088 +:04175E000000000087 +:04175F000000000086 +:041760000000000085 +:041761000000000084 +:041762000000000083 +:041763000000000082 +:041764000000000081 +:041765000000000080 +:04176600000000007F +:04176700000000007E +:04176800000000007D +:04176900000000007C +:04176A00000000007B +:04176B00000000007A +:04176C000000000079 +:04176D000000000078 +:04176E000000000077 +:04176F000000000076 +:041770000000000075 +:041771000000000074 +:041772000000000073 +:041773000000000072 +:041774000000000071 +:041775000000000070 +:04177600000000006F +:04177700000000006E +:04177800000000006D +:04177900000000006C +:04177A00000000006B +:04177B00000000006A +:04177C000000000069 +:04177D000000000068 +:04177E000000000067 +:04177F000000000066 +:041780000000000065 +:041781000000000064 +:041782000000000063 +:041783000000000062 +:041784000000000061 +:041785000000000060 +:04178600000000005F +:04178700000000005E +:04178800000000005D +:04178900000000005C +:04178A00000000005B +:04178B00000000005A +:04178C000000000059 +:04178D000000000058 +:04178E000000000057 +:04178F000000000056 +:041790000000000055 +:041791000000000054 +:041792000000000053 +:041793000000000052 +:041794000000000051 +:041795000000000050 +:04179600000000004F +:04179700000000004E +:04179800000000004D +:04179900000000004C +:04179A00000000004B +:04179B00000000004A +:04179C000000000049 +:04179D000000000048 +:04179E000000000047 +:04179F000000000046 +:0417A0000000000045 +:0417A1000000000044 +:0417A2000000000043 +:0417A3000000000042 +:0417A4000000000041 +:0417A5000000000040 +:0417A600000000003F +:0417A700000000003E +:0417A800000000003D +:0417A900000000003C +:0417AA00000000003B +:0417AB00000000003A +:0417AC000000000039 +:0417AD000000000038 +:0417AE000000000037 +:0417AF000000000036 +:0417B0000000000035 +:0417B1000000000034 +:0417B2000000000033 +:0417B3000000000032 +:0417B4000000000031 +:0417B5000000000030 +:0417B600000000002F +:0417B700000000002E +:0417B800000000002D +:0417B900000000002C +:0417BA00000000002B +:0417BB00000000002A +:0417BC000000000029 +:0417BD000000000028 +:0417BE000000000027 +:0417BF000000000026 +:0417C0000000000025 +:0417C1000000000024 +:0417C2000000000023 +:0417C3000000000022 +:0417C4000000000021 +:0417C5000000000020 +:0417C600000000001F +:0417C700000000001E +:0417C800000000001D +:0417C900000000001C +:0417CA00000000001B +:0417CB00000000001A +:0417CC000000000019 +:0417CD000000000018 +:0417CE000000000017 +:0417CF000000000016 +:0417D0000000000015 +:0417D1000000000014 +:0417D2000000000013 +:0417D3000000000012 +:0417D4000000000011 +:0417D5000000000010 +:0417D600000000000F +:0417D700000000000E +:0417D800000000000D +:0417D900000000000C +:0417DA00000000000B +:0417DB00000000000A +:0417DC000000000009 +:0417DD000000000008 +:0417DE000000000007 +:0417DF000000000006 +:0417E0000000000005 +:0417E1000000000004 +:0417E2000000000003 +:0417E3000000000002 +:0417E4000000000001 +:0417E5000000000000 +:0417E60000000000FF +:0417E70000000000FE +:0417E80000000000FD +:0417E90000000000FC +:0417EA0000000000FB +:0417EB0000000000FA +:0417EC0000000000F9 +:0417ED0000000000F8 +:0417EE0000000000F7 +:0417EF0000000000F6 +:0417F00000000000F5 +:0417F10000000000F4 +:0417F20000000000F3 +:0417F30000000000F2 +:0417F40000000000F1 +:0417F50000000000F0 +:0417F60000000000EF +:0417F70000000000EE +:0417F80000000000ED +:0417F90000000000EC +:0417FA0000000000EB +:0417FB0000000000EA +:0417FC0000000000E9 +:0417FD0000000000E8 +:0417FE0000000000E7 +:0417FF0000000000E6 +:0418000000000000E4 +:0418010000000000E3 +:0418020000000000E2 +:0418030000000000E1 +:0418040000000000E0 +:0418050000000000DF +:0418060000000000DE +:0418070000000000DD +:0418080000000000DC +:0418090000000000DB +:04180A0000000000DA +:04180B0000000000D9 +:04180C0000000000D8 +:04180D0000000000D7 +:04180E0000000000D6 +:04180F0000000000D5 +:0418100000000000D4 +:0418110000000000D3 +:0418120000000000D2 +:0418130000000000D1 +:0418140000000000D0 +:0418150000000000CF +:0418160000000000CE +:0418170000000000CD +:0418180000000000CC +:0418190000000000CB +:04181A0000000000CA +:04181B0000000000C9 +:04181C0000000000C8 +:04181D0000000000C7 +:04181E0000000000C6 +:04181F0000000000C5 +:0418200000000000C4 +:0418210000000000C3 +:0418220000000000C2 +:0418230000000000C1 +:0418240000000000C0 +:0418250000000000BF +:0418260000000000BE +:0418270000000000BD +:0418280000000000BC +:0418290000000000BB +:04182A0000000000BA +:04182B0000000000B9 +:04182C0000000000B8 +:04182D0000000000B7 +:04182E0000000000B6 +:04182F0000000000B5 +:0418300000000000B4 +:0418310000000000B3 +:0418320000000000B2 +:0418330000000000B1 +:0418340000000000B0 +:0418350000000000AF +:0418360000000000AE +:0418370000000000AD +:0418380000000000AC +:0418390000000000AB +:04183A0000000000AA +:04183B0000000000A9 +:04183C0000000000A8 +:04183D0000000000A7 +:04183E0000000000A6 +:04183F0000000000A5 +:0418400000000000A4 +:0418410000000000A3 +:0418420000000000A2 +:0418430000000000A1 +:0418440000000000A0 +:04184500000000009F +:04184600000000009E +:04184700000000009D +:04184800000000009C +:04184900000000009B +:04184A00000000009A +:04184B000000000099 +:04184C000000000098 +:04184D000000000097 +:04184E000000000096 +:04184F000000000095 +:041850000000000094 +:041851000000000093 +:041852000000000092 +:041853000000000091 +:041854000000000090 +:04185500000000008F +:04185600000000008E +:04185700000000008D +:04185800000000008C +:04185900000000008B +:04185A00000000008A +:04185B000000000089 +:04185C000000000088 +:04185D000000000087 +:04185E000000000086 +:04185F000000000085 +:041860000000000084 +:041861000000000083 +:041862000000000082 +:041863000000000081 +:041864000000000080 +:04186500000000007F +:04186600000000007E +:04186700000000007D +:04186800000000007C +:04186900000000007B +:04186A00000000007A +:04186B000000000079 +:04186C000000000078 +:04186D000000000077 +:04186E000000000076 +:04186F000000000075 +:041870000000000074 +:041871000000000073 +:041872000000000072 +:041873000000000071 +:041874000000000070 +:04187500000000006F +:04187600000000006E +:04187700000000006D +:04187800000000006C +:04187900000000006B +:04187A00000000006A +:04187B000000000069 +:04187C000000000068 +:04187D000000000067 +:04187E000000000066 +:04187F000000000065 +:041880000000000064 +:041881000000000063 +:041882000000000062 +:041883000000000061 +:041884000000000060 +:04188500000000005F +:04188600000000005E +:04188700000000005D +:04188800000000005C +:04188900000000005B +:04188A00000000005A +:04188B000000000059 +:04188C000000000058 +:04188D000000000057 +:04188E000000000056 +:04188F000000000055 +:041890000000000054 +:041891000000000053 +:041892000000000052 +:041893000000000051 +:041894000000000050 +:04189500000000004F +:04189600000000004E +:04189700000000004D +:04189800000000004C +:04189900000000004B +:04189A00000000004A +:04189B000000000049 +:04189C000000000048 +:04189D000000000047 +:04189E000000000046 +:04189F000000000045 +:0418A0000000000044 +:0418A1000000000043 +:0418A2000000000042 +:0418A3000000000041 +:0418A4000000000040 +:0418A500000000003F +:0418A600000000003E +:0418A700000000003D +:0418A800000000003C +:0418A900000000003B +:0418AA00000000003A +:0418AB000000000039 +:0418AC000000000038 +:0418AD000000000037 +:0418AE000000000036 +:0418AF000000000035 +:0418B0000000000034 +:0418B1000000000033 +:0418B2000000000032 +:0418B3000000000031 +:0418B4000000000030 +:0418B500000000002F +:0418B600000000002E +:0418B700000000002D +:0418B800000000002C +:0418B900000000002B +:0418BA00000000002A +:0418BB000000000029 +:0418BC000000000028 +:0418BD000000000027 +:0418BE000000000026 +:0418BF000000000025 +:0418C0000000000024 +:0418C1000000000023 +:0418C2000000000022 +:0418C3000000000021 +:0418C4000000000020 +:0418C500000000001F +:0418C600000000001E +:0418C700000000001D +:0418C800000000001C +:0418C900000000001B +:0418CA00000000001A +:0418CB000000000019 +:0418CC000000000018 +:0418CD000000000017 +:0418CE000000000016 +:0418CF000000000015 +:0418D0000000000014 +:0418D1000000000013 +:0418D2000000000012 +:0418D3000000000011 +:0418D4000000000010 +:0418D500000000000F +:0418D600000000000E +:0418D700000000000D +:0418D800000000000C +:0418D900000000000B +:0418DA00000000000A +:0418DB000000000009 +:0418DC000000000008 +:0418DD000000000007 +:0418DE000000000006 +:0418DF000000000005 +:0418E0000000000004 +:0418E1000000000003 +:0418E2000000000002 +:0418E3000000000001 +:0418E4000000000000 +:0418E50000000000FF +:0418E60000000000FE +:0418E70000000000FD +:0418E80000000000FC +:0418E90000000000FB +:0418EA0000000000FA +:0418EB0000000000F9 +:0418EC0000000000F8 +:0418ED0000000000F7 +:0418EE0000000000F6 +:0418EF0000000000F5 +:0418F00000000000F4 +:0418F10000000000F3 +:0418F20000000000F2 +:0418F30000000000F1 +:0418F40000000000F0 +:0418F50000000000EF +:0418F60000000000EE +:0418F70000000000ED +:0418F80000000000EC +:0418F90000000000EB +:0418FA0000000000EA +:0418FB0000000000E9 +:0418FC0000000000E8 +:0418FD0000000000E7 +:0418FE0000000000E6 +:0418FF0000000000E5 +:0419000000000000E3 +:0419010000000000E2 +:0419020000000000E1 +:0419030000000000E0 +:0419040000000000DF +:0419050000000000DE +:0419060000000000DD +:0419070000000000DC +:0419080000000000DB +:0419090000000000DA +:04190A0000000000D9 +:04190B0000000000D8 +:04190C0000000000D7 +:04190D0000000000D6 +:04190E0000000000D5 +:04190F0000000000D4 +:0419100000000000D3 +:0419110000000000D2 +:0419120000000000D1 +:0419130000000000D0 +:0419140000000000CF +:0419150000000000CE +:0419160000000000CD +:0419170000000000CC +:0419180000000000CB +:0419190000000000CA +:04191A0000000000C9 +:04191B0000000000C8 +:04191C0000000000C7 +:04191D0000000000C6 +:04191E0000000000C5 +:04191F0000000000C4 +:0419200000000000C3 +:0419210000000000C2 +:0419220000000000C1 +:0419230000000000C0 +:0419240000000000BF +:0419250000000000BE +:0419260000000000BD +:0419270000000000BC +:0419280000000000BB +:0419290000000000BA +:04192A0000000000B9 +:04192B0000000000B8 +:04192C0000000000B7 +:04192D0000000000B6 +:04192E0000000000B5 +:04192F0000000000B4 +:0419300000000000B3 +:0419310000000000B2 +:0419320000000000B1 +:0419330000000000B0 +:0419340000000000AF +:0419350000000000AE +:0419360000000000AD +:0419370000000000AC +:0419380000000000AB +:0419390000000000AA +:04193A0000000000A9 +:04193B0000000000A8 +:04193C0000000000A7 +:04193D0000000000A6 +:04193E0000000000A5 +:04193F0000000000A4 +:0419400000000000A3 +:0419410000000000A2 +:0419420000000000A1 +:0419430000000000A0 +:04194400000000009F +:04194500000000009E +:04194600000000009D +:04194700000000009C +:04194800000000009B +:04194900000000009A +:04194A000000000099 +:04194B000000000098 +:04194C000000000097 +:04194D000000000096 +:04194E000000000095 +:04194F000000000094 +:041950000000000093 +:041951000000000092 +:041952000000000091 +:041953000000000090 +:04195400000000008F +:04195500000000008E +:04195600000000008D +:04195700000000008C +:04195800000000008B +:04195900000000008A +:04195A000000000089 +:04195B000000000088 +:04195C000000000087 +:04195D000000000086 +:04195E000000000085 +:04195F000000000084 +:041960000000000083 +:041961000000000082 +:041962000000000081 +:041963000000000080 +:04196400000000007F +:04196500000000007E +:04196600000000007D +:04196700000000007C +:04196800000000007B +:04196900000000007A +:04196A000000000079 +:04196B000000000078 +:04196C000000000077 +:04196D000000000076 +:04196E000000000075 +:04196F000000000074 +:041970000000000073 +:041971000000000072 +:041972000000000071 +:041973000000000070 +:04197400000000006F +:04197500000000006E +:04197600000000006D +:04197700000000006C +:04197800000000006B +:04197900000000006A +:04197A000000000069 +:04197B000000000068 +:04197C000000000067 +:04197D000000000066 +:04197E000000000065 +:04197F000000000064 +:041980000000000063 +:041981000000000062 +:041982000000000061 +:041983000000000060 +:04198400000000005F +:04198500000000005E +:04198600000000005D +:04198700000000005C +:04198800000000005B +:04198900000000005A +:04198A000000000059 +:04198B000000000058 +:04198C000000000057 +:04198D000000000056 +:04198E000000000055 +:04198F000000000054 +:041990000000000053 +:041991000000000052 +:041992000000000051 +:041993000000000050 +:04199400000000004F +:04199500000000004E +:04199600000000004D +:04199700000000004C +:04199800000000004B +:04199900000000004A +:04199A000000000049 +:04199B000000000048 +:04199C000000000047 +:04199D000000000046 +:04199E000000000045 +:04199F000000000044 +:0419A0000000000043 +:0419A1000000000042 +:0419A2000000000041 +:0419A3000000000040 +:0419A400000000003F +:0419A500000000003E +:0419A600000000003D +:0419A700000000003C +:0419A800000000003B +:0419A900000000003A +:0419AA000000000039 +:0419AB000000000038 +:0419AC000000000037 +:0419AD000000000036 +:0419AE000000000035 +:0419AF000000000034 +:0419B0000000000033 +:0419B1000000000032 +:0419B2000000000031 +:0419B3000000000030 +:0419B400000000002F +:0419B500000000002E +:0419B600000000002D +:0419B700000000002C +:0419B800000000002B +:0419B900000000002A +:0419BA000000000029 +:0419BB000000000028 +:0419BC000000000027 +:0419BD000000000026 +:0419BE000000000025 +:0419BF000000000024 +:0419C0000000000023 +:0419C1000000000022 +:0419C2000000000021 +:0419C3000000000020 +:0419C400000000001F +:0419C500000000001E +:0419C600000000001D +:0419C700000000001C +:0419C800000000001B +:0419C900000000001A +:0419CA000000000019 +:0419CB000000000018 +:0419CC000000000017 +:0419CD000000000016 +:0419CE000000000015 +:0419CF000000000014 +:0419D0000000000013 +:0419D1000000000012 +:0419D2000000000011 +:0419D3000000000010 +:0419D400000000000F +:0419D500000000000E +:0419D600000000000D +:0419D700000000000C +:0419D800000000000B +:0419D900000000000A +:0419DA000000000009 +:0419DB000000000008 +:0419DC000000000007 +:0419DD000000000006 +:0419DE000000000005 +:0419DF000000000004 +:0419E0000000000003 +:0419E1000000000002 +:0419E2000000000001 +:0419E3000000000000 +:0419E40000000000FF +:0419E50000000000FE +:0419E60000000000FD +:0419E70000000000FC +:0419E80000000000FB +:0419E90000000000FA +:0419EA0000000000F9 +:0419EB0000000000F8 +:0419EC0000000000F7 +:0419ED0000000000F6 +:0419EE0000000000F5 +:0419EF0000000000F4 +:0419F00000000000F3 +:0419F10000000000F2 +:0419F20000000000F1 +:0419F30000000000F0 +:0419F40000000000EF +:0419F50000000000EE +:0419F60000000000ED +:0419F70000000000EC +:0419F80000000000EB +:0419F90000000000EA +:0419FA0000000000E9 +:0419FB0000000000E8 +:0419FC0000000000E7 +:0419FD0000000000E6 +:0419FE0000000000E5 +:0419FF0000000000E4 +:041A000000000000E2 +:041A010000000000E1 +:041A020000000000E0 +:041A030000000000DF +:041A040000000000DE +:041A050000000000DD +:041A060000000000DC +:041A070000000000DB +:041A080000000000DA +:041A090000000000D9 +:041A0A0000000000D8 +:041A0B0000000000D7 +:041A0C0000000000D6 +:041A0D0000000000D5 +:041A0E0000000000D4 +:041A0F0000000000D3 +:041A100000000000D2 +:041A110000000000D1 +:041A120000000000D0 +:041A130000000000CF +:041A140000000000CE +:041A150000000000CD +:041A160000000000CC +:041A170000000000CB +:041A180000000000CA +:041A190000000000C9 +:041A1A0000000000C8 +:041A1B0000000000C7 +:041A1C0000000000C6 +:041A1D0000000000C5 +:041A1E0000000000C4 +:041A1F0000000000C3 +:041A200000000000C2 +:041A210000000000C1 +:041A220000000000C0 +:041A230000000000BF +:041A240000000000BE +:041A250000000000BD +:041A260000000000BC +:041A270000000000BB +:041A280000000000BA +:041A290000000000B9 +:041A2A0000000000B8 +:041A2B0000000000B7 +:041A2C0000000000B6 +:041A2D0000000000B5 +:041A2E0000000000B4 +:041A2F0000000000B3 +:041A300000000000B2 +:041A310000000000B1 +:041A320000000000B0 +:041A330000000000AF +:041A340000000000AE +:041A350000000000AD +:041A360000000000AC +:041A370000000000AB +:041A380000000000AA +:041A390000000000A9 +:041A3A0000000000A8 +:041A3B0000000000A7 +:041A3C0000000000A6 +:041A3D0000000000A5 +:041A3E0000000000A4 +:041A3F0000000000A3 +:041A400000000000A2 +:041A410000000000A1 +:041A420000000000A0 +:041A4300000000009F +:041A4400000000009E +:041A4500000000009D +:041A4600000000009C +:041A4700000000009B +:041A4800000000009A +:041A49000000000099 +:041A4A000000000098 +:041A4B000000000097 +:041A4C000000000096 +:041A4D000000000095 +:041A4E000000000094 +:041A4F000000000093 +:041A50000000000092 +:041A51000000000091 +:041A52000000000090 +:041A5300000000008F +:041A5400000000008E +:041A5500000000008D +:041A5600000000008C +:041A5700000000008B +:041A5800000000008A +:041A59000000000089 +:041A5A000000000088 +:041A5B000000000087 +:041A5C000000000086 +:041A5D000000000085 +:041A5E000000000084 +:041A5F000000000083 +:041A60000000000082 +:041A61000000000081 +:041A62000000000080 +:041A6300000000007F +:041A6400000000007E +:041A6500000000007D +:041A6600000000007C +:041A6700000000007B +:041A6800000000007A +:041A69000000000079 +:041A6A000000000078 +:041A6B000000000077 +:041A6C000000000076 +:041A6D000000000075 +:041A6E000000000074 +:041A6F000000000073 +:041A70000000000072 +:041A71000000000071 +:041A72000000000070 +:041A7300000000006F +:041A7400000000006E +:041A7500000000006D +:041A7600000000006C +:041A7700000000006B +:041A7800000000006A +:041A79000000000069 +:041A7A000000000068 +:041A7B000000000067 +:041A7C000000000066 +:041A7D000000000065 +:041A7E000000000064 +:041A7F000000000063 +:041A80000000000062 +:041A81000000000061 +:041A82000000000060 +:041A8300000000005F +:041A8400000000005E +:041A8500000000005D +:041A8600000000005C +:041A8700000000005B +:041A8800000000005A +:041A89000000000059 +:041A8A000000000058 +:041A8B000000000057 +:041A8C000000000056 +:041A8D000000000055 +:041A8E000000000054 +:041A8F000000000053 +:041A90000000000052 +:041A91000000000051 +:041A92000000000050 +:041A9300000000004F +:041A9400000000004E +:041A9500000000004D +:041A9600000000004C +:041A9700000000004B +:041A9800000000004A +:041A99000000000049 +:041A9A000000000048 +:041A9B000000000047 +:041A9C000000000046 +:041A9D000000000045 +:041A9E000000000044 +:041A9F000000000043 +:041AA0000000000042 +:041AA1000000000041 +:041AA2000000000040 +:041AA300000000003F +:041AA400000000003E +:041AA500000000003D +:041AA600000000003C +:041AA700000000003B +:041AA800000000003A +:041AA9000000000039 +:041AAA000000000038 +:041AAB000000000037 +:041AAC000000000036 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF000000000023 +:041AC0000000000022 +:041AC1000000000021 +:041AC2000000000020 +:041AC300000000001F +:041AC400000000001E +:041AC500000000001D +:041AC600000000001C +:041AC700000000001B +:041AC800000000001A +:041AC9000000000019 +:041ACA000000000018 +:041ACB000000000017 +:041ACC000000000016 +:041ACD000000000015 +:041ACE000000000014 +:041ACF000000000013 +:041AD0000000000012 +:041AD1000000000011 +:041AD2000000000010 +:041AD300000000000F +:041AD400000000000E +:041AD500000000000D +:041AD600000000000C +:041AD700000000000B +:041AD800000000000A +:041AD9000000000009 +:041ADA000000000008 +:041ADB000000000007 +:041ADC000000000006 +:041ADD000000000005 +:041ADE000000000004 +:041ADF000000000003 +:041AE0000000000002 +:041AE1000000000001 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000000000FE +:041AE50000000000FD +:041AE60000000000FC +:041AE70000000000FB +:041AE80000000000FA +:041AE90000000000F9 +:041AEA0000000000F8 +:041AEB0000000000F7 +:041AEC0000000000F6 +:041AED0000000000F5 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF70000000000EB +:041AF80000000000EA +:041AF90000000000E9 +:041AFA0000000000E8 +:041AFB0000000000E7 +:041AFC0000000000E6 +:041AFD0000000000E5 +:041AFE0000000000E4 +:041AFF0000000000E3 +:041B000000000000E1 +:041B010000000000E0 +:041B020000000000DF +:041B030000000000DE +:041B040000000000DD +:041B050000000000DC +:041B060000000000DB +:041B070000000000DA +:041B080000000000D9 +:041B090000000000D8 +:041B0A0000000000D7 +:041B0B0000000000D6 +:041B0C0000000000D5 +:041B0D0000000000D4 +:041B0E0000000000D3 +:041B0F0000000000D2 +:041B100000000000D1 +:041B110000000000D0 +:041B120000000000CF +:041B130000000000CE +:041B140000000000CD +:041B150000000000CC +:041B160000000000CB +:041B170000000000CA +:041B180000000000C9 +:041B190000000000C8 +:041B1A0000000000C7 +:041B1B0000000000C6 +:041B1C0000000000C5 +:041B1D0000000000C4 +:041B1E0000000000C3 +:041B1F0000000000C2 +:041B200000000000C1 +:041B210000000000C0 +:041B220000000000BF +:041B230000000000BE +:041B240000000000BD +:041B250000000000BC +:041B260000000000BB +:041B270000000000BA +:041B280000000000B9 +:041B290000000000B8 +:041B2A0000000000B7 +:041B2B0000000000B6 +:041B2C0000000000B5 +:041B2D0000000000B4 +:041B2E0000000000B3 +:041B2F0000000000B2 +:041B300000000000B1 +:041B310000000000B0 +:041B320000000000AF +:041B330000000000AE +:041B340000000000AD +:041B350000000000AC +:041B360000000000AB +:041B370000000000AA +:041B380000000000A9 +:041B390000000000A8 +:041B3A0000000000A7 +:041B3B0000000000A6 +:041B3C0000000000A5 +:041B3D0000000000A4 +:041B3E0000000000A3 +:041B3F0000000000A2 +:041B400000000000A1 +:041B410000000000A0 +:041B4200000000009F +:041B4300000000009E +:041B4400000000009D +:041B4500000000009C +:041B4600000000009B +:041B4700000000009A +:041B48000000000099 +:041B49000000000098 +:041B4A000000000097 +:041B4B000000000096 +:041B4C000000000095 +:041B4D000000000094 +:041B4E000000000093 +:041B4F000000000092 +:041B50000000000091 +:041B51000000000090 +:041B5200000000008F +:041B5300000000008E +:041B5400000000008D +:041B5500000000008C +:041B5600000000008B +:041B5700000000008A +:041B58000000000089 +:041B59000000000088 +:041B5A000000000087 +:041B5B000000000086 +:041B5C000000000085 +:041B5D000000000084 +:041B5E000000000083 +:041B5F000000000082 +:041B60000000000081 +:041B61000000000080 +:041B6200000000007F +:041B6300000000007E +:041B6400000000007D +:041B6500000000007C +:041B6600000000007B +:041B6700000000007A +:041B68000000000079 +:041B69000000000078 +:041B6A000000000077 +:041B6B000000000076 +:041B6C000000000075 +:041B6D000000000074 +:041B6E000000000073 +:041B6F000000000072 +:041B70000000000071 +:041B71000000000070 +:041B7200000000006F +:041B7300000000006E +:041B7400000000006D +:041B7500000000006C +:041B7600000000006B +:041B7700000000006A +:041B78000000000069 +:041B79000000000068 +:041B7A000000000067 +:041B7B000000000066 +:041B7C000000000065 +:041B7D000000000064 +:041B7E000000000063 +:041B7F000000000062 +:041B80000000000061 +:041B81000000000060 +:041B8200000000005F +:041B8300000000005E +:041B8400000000005D +:041B8500000000005C +:041B8600000000005B +:041B8700000000005A +:041B88000000000059 +:041B89000000000058 +:041B8A000000000057 +:041B8B000000000056 +:041B8C000000000055 +:041B8D000000000054 +:041B8E000000000053 +:041B8F000000000052 +:041B90000000000051 +:041B91000000000050 +:041B9200000000004F +:041B9300000000004E +:041B9400000000004D +:041B9500000000004C +:041B9600000000004B +:041B9700000000004A +:041B98000000000049 +:041B99000000000048 +:041B9A000000000047 +:041B9B000000000046 +:041B9C000000000045 +:041B9D000000000044 +:041B9E000000000043 +:041B9F000000000042 +:041BA0000000000041 +:041BA1000000000040 +:041BA200000000003F +:041BA300000000003E +:041BA400000000003D +:041BA500000000003C +:041BA600000000003B +:041BA700000000003A +:041BA8000000000039 +:041BA9000000000038 +:041BAA000000000037 +:041BAB000000000036 +:041BAC000000000035 +:041BAD000000000034 +:041BAE000000000033 +:041BAF000000000032 +:041BB0000000000031 +:041BB1000000000030 +:041BB200000000002F +:041BB300000000002E +:041BB400000000002D +:041BB500000000002C +:041BB600000000002B +:041BB700000000002A +:041BB8000000000029 +:041BB9000000000028 +:041BBA000000000027 +:041BBB000000000026 +:041BBC000000000025 +:041BBD000000000024 +:041BBE000000000023 +:041BBF000000000022 +:041BC0000000000021 +:041BC1000000000020 +:041BC200000000001F +:041BC300000000001E +:041BC400000000001D +:041BC500000000001C +:041BC600000000001B +:041BC700000000001A +:041BC8000000000019 +:041BC9000000000018 +:041BCA000000000017 +:041BCB000000000016 +:041BCC000000000015 +:041BCD000000000014 +:041BCE000000000013 +:041BCF000000000012 +:041BD0000000000011 +:041BD1000000000010 +:041BD200000000000F +:041BD300000000000E +:041BD400000000000D +:041BD500000000000C +:041BD600000000000B +:041BD700000000000A +:041BD8000000000009 +:041BD9000000000008 +:041BDA000000000007 +:041BDB000000000006 +:041BDC000000000005 +:041BDD000000000004 +:041BDE000000000003 +:041BDF000000000002 +:041BE0000000000001 +:041BE1000000000000 +:041BE20000000000FF +:041BE30000000000FE +:041BE40000000000FD +:041BE50000000000FC +:041BE60000000000FB +:041BE70000000000FA +:041BE80000000000F9 +:041BE90000000000F8 +:041BEA0000000000F7 +:041BEB0000000000F6 +:041BEC0000000000F5 +:041BED0000000000F4 +:041BEE0000000000F3 +:041BEF0000000000F2 +:041BF00000000000F1 +:041BF10000000000F0 +:041BF20000000000EF +:041BF30000000000EE +:041BF40000000000ED +:041BF50000000000EC +:041BF60000000000EB +:041BF70000000000EA +:041BF80000000000E9 +:041BF90000000000E8 +:041BFA0000000000E7 +:041BFB0000000000E6 +:041BFC0000000000E5 +:041BFD0000000000E4 +:041BFE0000000000E3 +:041BFF0000000000E2 +:041C000000000000E0 +:041C010000000000DF +:041C020000000000DE +:041C030000000000DD +:041C040000000000DC +:041C050000000000DB +:041C060000000000DA +:041C070000000000D9 +:041C080000000000D8 +:041C090000000000D7 +:041C0A0000000000D6 +:041C0B0000000000D5 +:041C0C0000000000D4 +:041C0D0000000000D3 +:041C0E0000000000D2 +:041C0F0000000000D1 +:041C100000000000D0 +:041C110000000000CF +:041C120000000000CE +:041C130000000000CD +:041C140000000000CC +:041C150000000000CB +:041C160000000000CA +:041C170000000000C9 +:041C180000000000C8 +:041C190000000000C7 +:041C1A0000000000C6 +:041C1B0000000000C5 +:041C1C0000000000C4 +:041C1D0000000000C3 +:041C1E0000000000C2 +:041C1F0000000000C1 +:041C200000000000C0 +:041C210000000000BF +:041C220000000000BE +:041C230000000000BD +:041C240000000000BC +:041C250000000000BB +:041C260000000000BA +:041C270000000000B9 +:041C280000000000B8 +:041C290000000000B7 +:041C2A0000000000B6 +:041C2B0000000000B5 +:041C2C0000000000B4 +:041C2D0000000000B3 +:041C2E0000000000B2 +:041C2F0000000000B1 +:041C300000000000B0 +:041C310000000000AF +:041C320000000000AE +:041C330000000000AD +:041C340000000000AC +:041C350000000000AB +:041C360000000000AA +:041C370000000000A9 +:041C380000000000A8 +:041C390000000000A7 +:041C3A0000000000A6 +:041C3B0000000000A5 +:041C3C0000000000A4 +:041C3D0000000000A3 +:041C3E0000000000A2 +:041C3F0000000000A1 +:041C400000000000A0 +:041C4100000000009F +:041C4200000000009E +:041C4300000000009D +:041C4400000000009C +:041C4500000000009B +:041C4600000000009A +:041C47000000000099 +:041C48000000000098 +:041C49000000000097 +:041C4A000000000096 +:041C4B000000000095 +:041C4C000000000094 +:041C4D000000000093 +:041C4E000000000092 +:041C4F000000000091 +:041C50000000000090 +:041C5100000000008F +:041C5200000000008E +:041C5300000000008D +:041C5400000000008C +:041C5500000000008B +:041C5600000000008A +:041C57000000000089 +:041C58000000000088 +:041C59000000000087 +:041C5A000000000086 +:041C5B000000000085 +:041C5C000000000084 +:041C5D000000000083 +:041C5E000000000082 +:041C5F000000000081 +:041C60000000000080 +:041C6100000000007F +:041C6200000000007E +:041C6300000000007D +:041C6400000000007C +:041C6500000000007B +:041C6600000000007A +:041C67000000000079 +:041C68000000000078 +:041C69000000000077 +:041C6A000000000076 +:041C6B000000000075 +:041C6C000000000074 +:041C6D000000000073 +:041C6E000000000072 +:041C6F000000000071 +:041C70000000000070 +:041C7100000000006F +:041C7200000000006E +:041C7300000000006D +:041C7400000000006C +:041C7500000000006B +:041C7600000000006A +:041C77000000000069 +:041C78000000000068 +:041C79000000000067 +:041C7A000000000066 +:041C7B000000000065 +:041C7C000000000064 +:041C7D000000000063 +:041C7E000000000062 +:041C7F000000000061 +:041C80000000000060 +:041C8100000000005F +:041C8200000000005E +:041C8300000000005D +:041C8400000000005C +:041C8500000000005B +:041C8600000000005A +:041C87000000000059 +:041C88000000000058 +:041C89000000000057 +:041C8A000000000056 +:041C8B000000000055 +:041C8C000000000054 +:041C8D000000000053 +:041C8E000000000052 +:041C8F000000000051 +:041C90000000000050 +:041C9100000000004F +:041C9200000000004E +:041C9300000000004D +:041C9400000000004C +:041C9500000000004B +:041C9600000000004A +:041C97000000000049 +:041C98000000000048 +:041C99000000000047 +:041C9A000000000046 +:041C9B000000000045 +:041C9C000000000044 +:041C9D000000000043 +:041C9E000000000042 +:041C9F000000000041 +:041CA0000000000040 +:041CA100000000003F +:041CA200000000003E +:041CA300000000003D +:041CA400000000003C +:041CA500000000003B +:041CA600000000003A +:041CA7000000000039 +:041CA8000000000038 +:041CA9000000000037 +:041CAA000000000036 +:041CAB000000000035 +:041CAC000000000034 +:041CAD000000000033 +:041CAE000000000032 +:041CAF000000000031 +:041CB0000000000030 +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D +:041CB400000000002C +:041CB500000000002B +:041CB600000000002A +:041CB7000000000029 +:041CB8000000000028 +:041CB9000000000027 +:041CBA000000000026 +:041CBB000000000025 +:041CBC000000000024 +:041CBD000000000023 +:041CBE000000000022 +:041CBF000000000021 +:041CC0000000000020 +:041CC100000000001F +:041CC200000000001E +:041CC300000000001D +:041CC400000000001C +:041CC500000000001B +:041CC600000000001A +:041CC7000000000019 +:041CC8000000000018 +:041CC9000000000017 +:041CCA000000000016 +:041CCB000000000015 +:041CCC000000000014 +:041CCD000000000013 +:041CCE000000000012 +:041CCF000000000011 +:041CD0000000000010 +:041CD100000000000F +:041CD200000000000E +:041CD300000000000D +:041CD400000000000C +:041CD500000000000B +:041CD600000000000A +:041CD7000000000009 +:041CD8000000000008 +:041CD9000000000007 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000000000F8 +:041CE90000000000F7 +:041CEA0000000000F6 +:041CEB0000000000F5 +:041CEC0000000000F4 +:041CED0000000000F3 +:041CEE0000000000F2 +:041CEF0000000000F1 +:041CF00000000000F0 +:041CF10000000000EF +:041CF20000000000EE +:041CF30000000000ED +:041CF40000000000EC +:041CF50000000000EB +:041CF60000000000EA +:041CF70000000000E9 +:041CF80000000000E8 +:041CF90000000000E7 +:041CFA0000000000E6 +:041CFB0000000000E5 +:041CFC0000000000E4 +:041CFD0000000000E3 +:041CFE0000000000E2 +:041CFF0000000000E1 +:041D000000000000DF +:041D010000000000DE +:041D020000000000DD +:041D030000000000DC +:041D040000000000DB +:041D050000000000DA +:041D060000000000D9 +:041D070000000000D8 +:041D080000000000D7 +:041D090000000000D6 +:041D0A0000000000D5 +:041D0B0000000000D4 +:041D0C0000000000D3 +:041D0D0000000000D2 +:041D0E0000000000D1 +:041D0F0000000000D0 +:041D100000000000CF +:041D110000000000CE +:041D120000000000CD +:041D130000000000CC +:041D140000000000CB +:041D150000000000CA +:041D160000000000C9 +:041D170000000000C8 +:041D180000000000C7 +:041D190000000000C6 +:041D1A0000000000C5 +:041D1B0000000000C4 +:041D1C0000000000C3 +:041D1D0000000000C2 +:041D1E0000000000C1 +:041D1F0000000000C0 +:041D200000000000BF +:041D210000000000BE +:041D220000000000BD +:041D230000000000BC +:041D240000000000BB +:041D250000000000BA +:041D260000000000B9 +:041D270000000000B8 +:041D280000000000B7 +:041D290000000000B6 +:041D2A0000000000B5 +:041D2B0000000000B4 +:041D2C0000000000B3 +:041D2D0000000000B2 +:041D2E0000000000B1 +:041D2F0000000000B0 +:041D300000000000AF +:041D310000000000AE +:041D320000000000AD +:041D330000000000AC +:041D340000000000AB +:041D350000000000AA +:041D360000000000A9 +:041D370000000000A8 +:041D380000000000A7 +:041D390000000000A6 +:041D3A0000000000A5 +:041D3B0000000000A4 +:041D3C0000000000A3 +:041D3D0000000000A2 +:041D3E0000000000A1 +:041D3F0000000000A0 +:041D4000000000009F +:041D4100000000009E +:041D4200000000009D +:041D4300000000009C +:041D4400000000009B +:041D4500000000009A +:041D46000000000099 +:041D47000000000098 +:041D48000000000097 +:041D49000000000096 +:041D4A000000000095 +:041D4B000000000094 +:041D4C000000000093 +:041D4D000000000092 +:041D4E000000000091 +:041D4F000000000090 +:041D5000000000008F +:041D5100000000008E +:041D5200000000008D +:041D5300000000008C +:041D5400000000008B +:041D5500000000008A +:041D56000000000089 +:041D57000000000088 +:041D58000000000087 +:041D59000000000086 +:041D5A000000000085 +:041D5B000000000084 +:041D5C000000000083 +:041D5D000000000082 +:041D5E000000000081 +:041D5F000000000080 +:041D6000000000007F +:041D6100000000007E +:041D6200000000007D +:041D6300000000007C +:041D6400000000007B +:041D6500000000007A +:041D66000000000079 +:041D67000000000078 +:041D68000000000077 +:041D69000000000076 +:041D6A000000000075 +:041D6B000000000074 +:041D6C000000000073 +:041D6D000000000072 +:041D6E000000000071 +:041D6F000000000070 +:041D7000000000006F +:041D7100000000006E +:041D7200000000006D +:041D7300000000006C +:041D7400000000006B +:041D7500000000006A +:041D76000000000069 +:041D77000000000068 +:041D78000000000067 +:041D79000000000066 +:041D7A000000000065 +:041D7B000000000064 +:041D7C000000000063 +:041D7D000000000062 +:041D7E000000000061 +:041D7F000000000060 +:041D8000000000005F +:041D8100000000005E +:041D8200000000005D +:041D8300000000005C +:041D8400000000005B +:041D8500000000005A +:041D86000000000059 +:041D87000000000058 +:041D88000000000057 +:041D89000000000056 +:041D8A000000000055 +:041D8B000000000054 +:041D8C000000000053 +:041D8D000000000052 +:041D8E000000000051 +:041D8F000000000050 +:041D9000000000004F +:041D9100000000004E +:041D9200000000004D +:041D9300000000004C +:041D9400000000004B +:041D9500000000004A +:041D96000000000049 +:041D97000000000048 +:041D98000000000047 +:041D99000000000046 +:041D9A000000000045 +:041D9B000000000044 +:041D9C000000000043 +:041D9D000000000042 +:041D9E000000000041 +:041D9F000000000040 +:041DA000000000003F +:041DA100000000003E +:041DA200000000003D +:041DA300000000003C +:041DA400000000003B +:041DA500000000003A +:041DA6000000000039 +:041DA7000000000038 +:041DA8000000000037 +:041DA9000000000036 +:041DAA000000000035 +:041DAB000000000034 +:041DAC000000000033 +:041DAD000000000032 +:041DAE000000000031 +:041DAF000000000030 +:041DB000000000002F +:041DB100000000002E +:041DB200000000002D +:041DB300000000002C +:041DB400000000002B +:041DB500000000002A +:041DB6000000000029 +:041DB7000000000028 +:041DB8000000000027 +:041DB9000000000026 +:041DBA000000000025 +:041DBB000000000024 +:041DBC000000000023 +:041DBD000000000022 +:041DBE000000000021 +:041DBF000000000020 +:041DC000000000001F +:041DC100000000001E +:041DC200000000001D +:041DC300000000001C +:041DC400000000001B +:041DC500000000001A +:041DC6000000000019 +:041DC7000000000018 +:041DC8000000000017 +:041DC9000000000016 +:041DCA000000000015 +:041DCB000000000014 +:041DCC000000000013 +:041DCD000000000012 +:041DCE000000000011 +:041DCF000000000010 +:041DD000000000000F +:041DD100000000000E +:041DD200000000000D +:041DD300000000000C +:041DD400000000000B +:041DD500000000000A +:041DD6000000000009 +:041DD7000000000008 +:041DD8000000000007 +:041DD9000000000006 +:041DDA000000000005 +:041DDB000000000004 +:041DDC000000000003 +:041DDD000000000002 +:041DDE000000000001 +:041DDF000000000000 +:041DE00000000000FF +:041DE10000000000FE +:041DE20000000000FD +:041DE30000000000FC +:041DE40000000000FB +:041DE50000000000FA +:041DE60000000000F9 +:041DE70000000000F8 +:041DE80000000000F7 +:041DE90000000000F6 +:041DEA0000000000F5 +:041DEB0000000000F4 +:041DEC0000000000F3 +:041DED0000000000F2 +:041DEE0000000000F1 +:041DEF0000000000F0 +:041DF00000000000EF +:041DF10000000000EE +:041DF20000000000ED +:041DF30000000000EC +:041DF40000000000EB +:041DF50000000000EA +:041DF60000000000E9 +:041DF70000000000E8 +:041DF80000000000E7 +:041DF90000000000E6 +:041DFA0000000000E5 +:041DFB0000000000E4 +:041DFC0000000000E3 +:041DFD0000000000E2 +:041DFE0000000000E1 +:041DFF0000000000E0 +:041E000000000000DE +:041E010000000000DD +:041E020000000000DC +:041E030000000000DB +:041E040000000000DA +:041E050000000000D9 +:041E060000000000D8 +:041E070000000000D7 +:041E080000000000D6 +:041E090000000000D5 +:041E0A0000000000D4 +:041E0B0000000000D3 +:041E0C0000000000D2 +:041E0D0000000000D1 +:041E0E0000000000D0 +:041E0F0000000000CF +:041E100000000000CE +:041E110000000000CD +:041E120000000000CC +:041E130000000000CB +:041E140000000000CA +:041E150000000000C9 +:041E160000000000C8 +:041E170000000000C7 +:041E180000000000C6 +:041E190000000000C5 +:041E1A0000000000C4 +:041E1B0000000000C3 +:041E1C0000000000C2 +:041E1D0000000000C1 +:041E1E0000000000C0 +:041E1F0000000000BF +:041E200000000000BE +:041E210000000000BD +:041E220000000000BC +:041E230000000000BB +:041E240000000000BA +:041E250000000000B9 +:041E260000000000B8 +:041E270000000000B7 +:041E280000000000B6 +:041E290000000000B5 +:041E2A0000000000B4 +:041E2B0000000000B3 +:041E2C0000000000B2 +:041E2D0000000000B1 +:041E2E0000000000B0 +:041E2F0000000000AF +:041E300000000000AE +:041E310000000000AD +:041E320000000000AC +:041E330000000000AB +:041E340000000000AA +:041E350000000000A9 +:041E360000000000A8 +:041E370000000000A7 +:041E380000000000A6 +:041E390000000000A5 +:041E3A0000000000A4 +:041E3B0000000000A3 +:041E3C0000000000A2 +:041E3D0000000000A1 +:041E3E0000000000A0 +:041E3F00000000009F +:041E4000000000009E +:041E4100000000009D +:041E4200000000009C +:041E4300000000009B +:041E4400000000009A +:041E45000000000099 +:041E46000000000098 +:041E47000000000097 +:041E48000000000096 +:041E49000000000095 +:041E4A000000000094 +:041E4B000000000093 +:041E4C000000000092 +:041E4D000000000091 +:041E4E000000000090 +:041E4F00000000008F +:041E5000000000008E +:041E5100000000008D +:041E5200000000008C +:041E5300000000008B +:041E5400000000008A +:041E55000000000089 +:041E56000000000088 +:041E57000000000087 +:041E58000000000086 +:041E59000000000085 +:041E5A000000000084 +:041E5B000000000083 +:041E5C000000000082 +:041E5D000000000081 +:041E5E000000000080 +:041E5F00000000007F +:041E6000000000007E +:041E6100000000007D +:041E6200000000007C +:041E6300000000007B +:041E6400000000007A +:041E65000000000079 +:041E66000000000078 +:041E67000000000077 +:041E68000000000076 +:041E69000000000075 +:041E6A000000000074 +:041E6B000000000073 +:041E6C000000000072 +:041E6D000000000071 +:041E6E000000000070 +:041E6F00000000006F +:041E7000000000006E +:041E7100000000006D +:041E7200000000006C +:041E7300000000006B +:041E7400000000006A +:041E75000000000069 +:041E76000000000068 +:041E77000000000067 +:041E78000000000066 +:041E79000000000065 +:041E7A000000000064 +:041E7B000000000063 +:041E7C000000000062 +:041E7D000000000061 +:041E7E000000000060 +:041E7F00000000005F +:041E8000000000005E +:041E8100000000005D +:041E8200000000005C +:041E8300000000005B +:041E8400000000005A +:041E85000000000059 +:041E86000000000058 +:041E87000000000057 +:041E88000000000056 +:041E89000000000055 +:041E8A000000000054 +:041E8B000000000053 +:041E8C000000000052 +:041E8D000000000051 +:041E8E000000000050 +:041E8F00000000004F +:041E9000000000004E +:041E9100000000004D +:041E9200000000004C +:041E9300000000004B +:041E9400000000004A +:041E95000000000049 +:041E96000000000048 +:041E97000000000047 +:041E98000000000046 +:041E99000000000045 +:041E9A000000000044 +:041E9B000000000043 +:041E9C000000000042 +:041E9D000000000041 +:041E9E000000000040 +:041E9F00000000003F +:041EA000000000003E +:041EA100000000003D +:041EA200000000003C +:041EA300000000003B +:041EA400000000003A +:041EA5000000000039 +:041EA6000000000038 +:041EA7000000000037 +:041EA8000000000036 +:041EA9000000000035 +:041EAA000000000034 +:041EAB000000000033 +:041EAC000000000032 +:041EAD000000000031 +:041EAE000000000030 +:041EAF00000000002F +:041EB000000000002E +:041EB100000000002D +:041EB200000000002C +:041EB300000000002B +:041EB400000000002A +:041EB5000000000029 +:041EB6000000000028 +:041EB7000000000027 +:041EB8000000000026 +:041EB9000000000025 +:041EBA000000000024 +:041EBB000000000023 +:041EBC000000000022 +:041EBD000000000021 +:041EBE000000000020 +:041EBF00000000001F +:041EC000000000001E +:041EC100000000001D +:041EC200000000001C +:041EC300000000001B +:041EC400000000001A +:041EC5000000000019 +:041EC6000000000018 +:041EC7000000000017 +:041EC8000000000016 +:041EC9000000000015 +:041ECA000000000014 +:041ECB000000000013 +:041ECC000000000012 +:041ECD000000000011 +:041ECE000000000010 +:041ECF00000000000F +:041ED000000000000E +:041ED100000000000D +:041ED200000000000C +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000000000008 +:041ED7000000000007 +:041ED8000000000006 +:041ED9000000000005 +:041EDA000000000004 +:041EDB000000000003 +:041EDC000000000002 +:041EDD000000000001 +:041EDE000000000000 +:041EDF0000000000FF +:041EE00000000000FE +:041EE10000000000FD +:041EE20000000000FC +:041EE30000000000FB +:041EE40000000000FA +:041EE50000000000F9 +:041EE60000000000F8 +:041EE70000000000F7 +:041EE80000000000F6 +:041EE90000000000F5 +:041EEA0000000000F4 +:041EEB0000000000F3 +:041EEC0000000000F2 +:041EED0000000000F1 +:041EEE0000000000F0 +:041EEF0000000000EF +:041EF00000000000EE +:041EF10000000000ED +:041EF20000000000EC +:041EF30000000000EB +:041EF40000000000EA +:041EF50000000000E9 +:041EF60000000000E8 +:041EF70000000000E7 +:041EF80000000000E6 +:041EF90000000000E5 +:041EFA0000000000E4 +:041EFB0000000000E3 +:041EFC0000000000E2 +:041EFD0000000000E1 +:041EFE0000000000E0 +:041EFF0000000000DF +:041F000000000000DD +:041F010000000000DC +:041F020000000000DB +:041F030000000000DA +:041F040000000000D9 +:041F050000000000D8 +:041F060000000000D7 +:041F070000000000D6 +:041F080000000000D5 +:041F090000000000D4 +:041F0A0000000000D3 +:041F0B0000000000D2 +:041F0C0000000000D1 +:041F0D0000000000D0 +:041F0E0000000000CF +:041F0F0000000000CE +:041F100000000000CD +:041F110000000000CC +:041F120000000000CB +:041F130000000000CA +:041F140000000000C9 +:041F150000000000C8 +:041F160000000000C7 +:041F170000000000C6 +:041F180000000000C5 +:041F190000000000C4 +:041F1A0000000000C3 +:041F1B0000000000C2 +:041F1C0000000000C1 +:041F1D0000000000C0 +:041F1E0000000000BF +:041F1F0000000000BE +:041F200000000000BD +:041F210000000000BC +:041F220000000000BB +:041F230000000000BA +:041F240000000000B9 +:041F250000000000B8 +:041F260000000000B7 +:041F270000000000B6 +:041F280000000000B5 +:041F290000000000B4 +:041F2A0000000000B3 +:041F2B0000000000B2 +:041F2C0000000000B1 +:041F2D0000000000B0 +:041F2E0000000000AF +:041F2F0000000000AE +:041F300000000000AD +:041F310000000000AC +:041F320000000000AB +:041F330000000000AA +:041F340000000000A9 +:041F350000000000A8 +:041F360000000000A7 +:041F370000000000A6 +:041F380000000000A5 +:041F390000000000A4 +:041F3A0000000000A3 +:041F3B0000000000A2 +:041F3C0000000000A1 +:041F3D0000000000A0 +:041F3E00000000009F +:041F3F00000000009E +:041F4000000000009D +:041F4100000000009C +:041F4200000000009B +:041F4300000000009A +:041F44000000000099 +:041F45000000000098 +:041F46000000000097 +:041F47000000000096 +:041F48000000000095 +:041F49000000000094 +:041F4A000000000093 +:041F4B000000000092 +:041F4C000000000091 +:041F4D000000000090 +:041F4E00000000008F +:041F4F00000000008E +:041F5000000000008D +:041F5100000000008C +:041F5200000000008B +:041F5300000000008A +:041F54000000000089 +:041F55000000000088 +:041F56000000000087 +:041F57000000000086 +:041F58000000000085 +:041F59000000000084 +:041F5A000000000083 +:041F5B000000000082 +:041F5C000000000081 +:041F5D000000000080 +:041F5E00000000007F +:041F5F00000000007E +:041F6000000000007D +:041F6100000000007C +:041F6200000000007B +:041F6300000000007A +:041F64000000000079 +:041F65000000000078 +:041F66000000000077 +:041F67000000000076 +:041F68000000000075 +:041F69000000000074 +:041F6A000000000073 +:041F6B000000000072 +:041F6C000000000071 +:041F6D000000000070 +:041F6E00000000006F +:041F6F00000000006E +:041F7000000000006D +:041F7100000000006C +:041F7200000000006B +:041F7300000000006A +:041F74000000000069 +:041F75000000000068 +:041F76000000000067 +:041F77000000000066 +:041F78000000000065 +:041F79000000000064 +:041F7A000000000063 +:041F7B000000000062 +:041F7C000000000061 +:041F7D000000000060 +:041F7E00000000005F +:041F7F00000000005E +:041F8000000000005D +:041F8100000000005C +:041F8200000000005B +:041F8300000000005A +:041F84000000000059 +:041F85000000000058 +:041F86000000000057 +:041F87000000000056 +:041F88000000000055 +:041F89000000000054 +:041F8A000000000053 +:041F8B000000000052 +:041F8C000000000051 +:041F8D000000000050 +:041F8E00000000004F +:041F8F00000000004E +:041F9000000000004D +:041F9100000000004C +:041F9200000000004B +:041F9300000000004A +:041F94000000000049 +:041F95000000000048 +:041F96000000000047 +:041F97000000000046 +:041F98000000000045 +:041F99000000000044 +:041F9A000000000043 +:041F9B000000000042 +:041F9C000000000041 +:041F9D000000000040 +:041F9E00000000003F +:041F9F00000000003E +:041FA000000000003D +:041FA100000000003C +:041FA200000000003B +:041FA300000000003A +:041FA4000000000039 +:041FA5000000000038 +:041FA6000000000037 +:041FA7000000000036 +:041FA8000000000035 +:041FA9000000000034 +:041FAA000000000033 +:041FAB000000000032 +:041FAC000000000031 +:041FAD000000000030 +:041FAE00000000002F +:041FAF00000000002E +:041FB000000000002D +:041FB100000000002C +:041FB200000000002B +:041FB300000000002A +:041FB4000000000029 +:041FB5000000000028 +:041FB6000000000027 +:041FB7000000000026 +:041FB8000000000025 +:041FB9000000000024 +:041FBA000000000023 +:041FBB000000000022 +:041FBC000000000021 +:041FBD000000000020 +:041FBE00000000001F +:041FBF00000000001E +:041FC000000000001D +:041FC100000000001C +:041FC200000000001B +:041FC300000000001A +:041FC4000000000019 +:041FC5000000000018 +:041FC6000000000017 +:041FC7000000000016 +:041FC8000000000015 +:041FC9000000000014 +:041FCA000000000013 +:041FCB000000000012 +:041FCC000000000011 +:041FCD000000000010 +:041FCE00000000000F +:041FCF00000000000E +:041FD000000000000D +:041FD100000000000C +:041FD200000000000B +:041FD300000000000A +:041FD4000000000009 +:041FD5000000000008 +:041FD6000000000007 +:041FD7000000000006 +:041FD8000000000005 +:041FD9000000000004 +:041FDA000000000003 +:041FDB000000000002 +:041FDC000000000001 +:041FDD000000000000 +:041FDE0000000000FF +:041FDF0000000000FE +:041FE00000000000FD +:041FE10000000000FC +:041FE20000000000FB +:041FE30000000000FA +:041FE40000000000F9 +:041FE50000000000F8 +:041FE60000000000F7 +:041FE70000000000F6 +:041FE80000000000F5 +:041FE90000000000F4 +:041FEA0000000000F3 +:041FEB0000000000F2 +:041FEC0000000000F1 +:041FED0000000000F0 +:041FEE0000000000EF +:041FEF0000000000EE +:041FF00000000000ED +:041FF10000000000EC +:041FF20000000000EB +:041FF30000000000EA +:041FF40000000000E9 +:041FF50000000000E8 +:041FF60000000000E7 +:041FF70000000000E6 +:041FF80000000000E5 +:041FF90000000000E4 +:041FFA0000000000E3 +:041FFB0000000000E2 +:041FFC0000000000E1 +:041FFD0000000000E0 +:041FFE0000000000DF +:041FFF0000000000DE +:0420000000000000DC +:0420010000000000DB +:0420020000000000DA +:0420030000000000D9 +:0420040000000000D8 +:0420050000000000D7 +:0420060000000000D6 +:0420070000000000D5 +:0420080000000000D4 +:0420090000000000D3 +:04200A0000000000D2 +:04200B0000000000D1 +:04200C0000000000D0 +:04200D0000000000CF +:04200E0000000000CE +:04200F0000000000CD +:0420100000000000CC +:0420110000000000CB +:0420120000000000CA +:0420130000000000C9 +:0420140000000000C8 +:0420150000000000C7 +:0420160000000000C6 +:0420170000000000C5 +:0420180000000000C4 +:0420190000000000C3 +:04201A0000000000C2 +:04201B0000000000C1 +:04201C0000000000C0 +:04201D0000000000BF +:04201E0000000000BE +:04201F0000000000BD +:0420200000000000BC +:0420210000000000BB +:0420220000000000BA +:0420230000000000B9 +:0420240000000000B8 +:0420250000000000B7 +:0420260000000000B6 +:0420270000000000B5 +:0420280000000000B4 +:0420290000000000B3 +:04202A0000000000B2 +:04202B0000000000B1 +:04202C0000000000B0 +:04202D0000000000AF +:04202E0000000000AE +:04202F0000000000AD +:0420300000000000AC +:0420310000000000AB +:0420320000000000AA +:0420330000000000A9 +:0420340000000000A8 +:0420350000000000A7 +:0420360000000000A6 +:0420370000000000A5 +:0420380000000000A4 +:0420390000000000A3 +:04203A0000000000A2 +:04203B0000000000A1 +:04203C0000000000A0 +:04203D00000000009F +:04203E00000000009E +:04203F00000000009D +:04204000000000009C +:04204100000000009B +:04204200000000009A +:042043000000000099 +:042044000000000098 +:042045000000000097 +:042046000000000096 +:042047000000000095 +:042048000000000094 +:042049000000000093 +:04204A000000000092 +:04204B000000000091 +:04204C000000000090 +:04204D00000000008F +:04204E00000000008E +:04204F00000000008D +:04205000000000008C +:04205100000000008B +:04205200000000008A +:042053000000000089 +:042054000000000088 +:042055000000000087 +:042056000000000086 +:042057000000000085 +:042058000000000084 +:042059000000000083 +:04205A000000000082 +:04205B000000000081 +:04205C000000000080 +:04205D00000000007F +:04205E00000000007E +:04205F00000000007D +:04206000000000007C +:04206100000000007B +:04206200000000007A +:042063000000000079 +:042064000000000078 +:042065000000000077 +:042066000000000076 +:042067000000000075 +:042068000000000074 +:042069000000000073 +:04206A000000000072 +:04206B000000000071 +:04206C000000000070 +:04206D00000000006F +:04206E00000000006E +:04206F00000000006D +:04207000000000006C +:04207100000000006B +:04207200000000006A +:042073000000000069 +:042074000000000068 +:042075000000000067 +:042076000000000066 +:042077000000000065 +:042078000000000064 +:042079000000000063 +:04207A000000000062 +:04207B000000000061 +:04207C000000000060 +:04207D00000000005F +:04207E00000000005E +:04207F00000000005D +:04208000000000005C +:04208100000000005B +:04208200000000005A +:042083000000000059 +:042084000000000058 +:042085000000000057 +:042086000000000056 +:042087000000000055 +:042088000000000054 +:042089000000000053 +:04208A000000000052 +:04208B000000000051 +:04208C000000000050 +:04208D00000000004F +:04208E00000000004E +:04208F00000000004D +:04209000000000004C +:04209100000000004B +:04209200000000004A +:042093000000000049 +:042094000000000048 +:042095000000000047 +:042096000000000046 +:042097000000000045 +:042098000000000044 +:042099000000000043 +:04209A000000000042 +:04209B000000000041 +:04209C000000000040 +:04209D00000000003F +:04209E00000000003E +:04209F00000000003D +:0420A000000000003C +:0420A100000000003B +:0420A200000000003A +:0420A3000000000039 +:0420A4000000000038 +:0420A5000000000037 +:0420A6000000000036 +:0420A7000000000035 +:0420A8000000000034 +:0420A9000000000033 +:0420AA000000000032 +:0420AB000000000031 +:0420AC000000000030 +:0420AD00000000002F +:0420AE00000000002E +:0420AF00000000002D +:0420B000000000002C +:0420B100000000002B +:0420B200000000002A +:0420B3000000000029 +:0420B4000000000028 +:0420B5000000000027 +:0420B6000000000026 +:0420B7000000000025 +:0420B8000000000024 +:0420B9000000000023 +:0420BA000000000022 +:0420BB000000000021 +:0420BC000000000020 +:0420BD00000000001F +:0420BE00000000001E +:0420BF00000000001D +:0420C000000000001C +:0420C100000000001B +:0420C200000000001A +:0420C3000000000019 +:0420C4000000000018 +:0420C5000000000017 +:0420C6000000000016 +:0420C7000000000015 +:0420C8000000000014 +:0420C9000000000013 +:0420CA000000000012 +:0420CB000000000011 +:0420CC000000000010 +:0420CD00000000000F +:0420CE00000000000E +:0420CF00000000000D +:0420D000000000000C +:0420D100000000000B +:0420D200000000000A +:0420D3000000000009 +:0420D4000000000008 +:0420D5000000000007 +:0420D6000000000006 +:0420D7000000000005 +:0420D8000000000004 +:0420D9000000000003 +:0420DA000000000002 +:0420DB000000000001 +:0420DC000000000000 +:0420DD0000000000FF +:0420DE0000000000FE +:0420DF0000000000FD +:0420E00000000000FC +:0420E10000000000FB +:0420E20000000000FA +:0420E30000000000F9 +:0420E40000000000F8 +:0420E50000000000F7 +:0420E60000000000F6 +:0420E70000000000F5 +:0420E80000000000F4 +:0420E90000000000F3 +:0420EA0000000000F2 +:0420EB0000000000F1 +:0420EC0000000000F0 +:0420ED0000000000EF +:0420EE0000000000EE +:0420EF0000000000ED +:0420F00000000000EC +:0420F10000000000EB +:0420F20000000000EA +:0420F30000000000E9 +:0420F40000000000E8 +:0420F50000000000E7 +:0420F60000000000E6 +:0420F70000000000E5 +:0420F80000000000E4 +:0420F90000000000E3 +:0420FA0000000000E2 +:0420FB0000000000E1 +:0420FC0000000000E0 +:0420FD0000000000DF +:0420FE0000000000DE +:0420FF0000000000DD +:0421000000000000DB +:0421010000000000DA +:0421020000000000D9 +:0421030000000000D8 +:0421040000000000D7 +:0421050000000000D6 +:0421060000000000D5 +:0421070000000000D4 +:0421080000000000D3 +:0421090000000000D2 +:04210A0000000000D1 +:04210B0000000000D0 +:04210C0000000000CF +:04210D0000000000CE +:04210E0000000000CD +:04210F0000000000CC +:0421100000000000CB +:0421110000000000CA +:0421120000000000C9 +:0421130000000000C8 +:0421140000000000C7 +:0421150000000000C6 +:0421160000000000C5 +:0421170000000000C4 +:0421180000000000C3 +:0421190000000000C2 +:04211A0000000000C1 +:04211B0000000000C0 +:04211C0000000000BF +:04211D0000000000BE +:04211E0000000000BD +:04211F0000000000BC +:0421200000000000BB +:0421210000000000BA +:0421220000000000B9 +:0421230000000000B8 +:0421240000000000B7 +:0421250000000000B6 +:0421260000000000B5 +:0421270000000000B4 +:0421280000000000B3 +:0421290000000000B2 +:04212A0000000000B1 +:04212B0000000000B0 +:04212C0000000000AF +:04212D0000000000AE +:04212E0000000000AD +:04212F0000000000AC +:0421300000000000AB +:0421310000000000AA +:0421320000000000A9 +:0421330000000000A8 +:0421340000000000A7 +:0421350000000000A6 +:0421360000000000A5 +:0421370000000000A4 +:0421380000000000A3 +:0421390000000000A2 +:04213A0000000000A1 +:04213B0000000000A0 +:04213C00000000009F +:04213D00000000009E +:04213E00000000009D +:04213F00000000009C +:04214000000000009B +:04214100000000009A +:042142000000000099 +:042143000000000098 +:042144000000000097 +:042145000000000096 +:042146000000000095 +:042147000000000094 +:042148000000000093 +:042149000000000092 +:04214A000000000091 +:04214B000000000090 +:04214C00000000008F +:04214D00000000008E +:04214E00000000008D +:04214F00000000008C +:04215000000000008B +:04215100000000008A +:042152000000000089 +:042153000000000088 +:042154000000000087 +:042155000000000086 +:042156000000000085 +:042157000000000084 +:042158000000000083 +:042159000000000082 +:04215A000000000081 +:04215B000000000080 +:04215C00000000007F +:04215D00000000007E +:04215E00000000007D +:04215F00000000007C +:04216000000000007B +:04216100000000007A +:042162000000000079 +:042163000000000078 +:042164000000000077 +:042165000000000076 +:042166000000000075 +:042167000000000074 +:042168000000000073 +:042169000000000072 +:04216A000000000071 +:04216B000000000070 +:04216C00000000006F +:04216D00000000006E +:04216E00000000006D +:04216F00000000006C +:04217000000000006B +:04217100000000006A +:042172000000000069 +:042173000000000068 +:042174000000000067 +:042175000000000066 +:042176000000000065 +:042177000000000064 +:042178000000000063 +:042179000000000062 +:04217A000000000061 +:04217B000000000060 +:04217C00000000005F +:04217D00000000005E +:04217E00000000005D +:04217F00000000005C +:04218000000000005B +:04218100000000005A +:042182000000000059 +:042183000000000058 +:042184000000000057 +:042185000000000056 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A000000000051 +:04218B000000000050 +:04218C00000000004F +:04218D00000000004E +:04218E00000000004D +:04218F00000000004C +:04219000000000004B +:04219100000000004A +:042192000000000049 +:042193000000000048 +:042194000000000047 +:042195000000000046 +:042196000000000045 +:042197000000000044 +:042198000000000043 +:042199000000000042 +:04219A000000000041 +:04219B000000000040 +:04219C00000000003F +:04219D00000000003E +:04219E00000000003D +:04219F00000000003C +:0421A000000000003B +:0421A100000000003A +:0421A2000000000039 +:0421A3000000000038 +:0421A4000000000037 +:0421A5000000000036 +:0421A6000000000035 +:0421A7000000000034 +:0421A8000000000033 +:0421A9000000000032 +:0421AA000000000031 +:0421AB000000000030 +:0421AC00000000002F +:0421AD00000000002E +:0421AE00000000002D +:0421AF00000000002C +:0421B000000000002B +:0421B100000000002A +:0421B2000000000029 +:0421B3000000000028 +:0421B4000000000027 +:0421B5000000000026 +:0421B6000000000025 +:0421B7000000000024 +:0421B8000000000023 +:0421B9000000000022 +:0421BA000000000021 +:0421BB000000000020 +:0421BC00000000001F +:0421BD00000000001E +:0421BE00000000001D +:0421BF00000000001C +:0421C000000000001B +:0421C100000000001A +:0421C2000000000019 +:0421C3000000000018 +:0421C4000000000017 +:0421C5000000000016 +:0421C6000000000015 +:0421C7000000000014 +:0421C8000000000013 +:0421C9000000000012 +:0421CA000000000011 +:0421CB000000000010 +:0421CC00000000000F +:0421CD00000000000E +:0421CE00000000000D +:0421CF00000000000C +:0421D000000000000B +:0421D100000000000A +:0421D2000000000009 +:0421D3000000000008 +:0421D4000000000007 +:0421D5000000000006 +:0421D6000000000005 +:0421D7000000000004 +:0421D8000000000003 +:0421D9000000000002 +:0421DA000000000001 +:0421DB000000000000 +:0421DC0000000000FF +:0421DD0000000000FE +:0421DE0000000000FD +:0421DF0000000000FC +:0421E00000000000FB +:0421E10000000000FA +:0421E20000000000F9 +:0421E30000000000F8 +:0421E40000000000F7 +:0421E50000000000F6 +:0421E60000000000F5 +:0421E70000000000F4 +:0421E80000000000F3 +:0421E90000000000F2 +:0421EA0000000000F1 +:0421EB0000000000F0 +:0421EC0000000000EF +:0421ED0000000000EE +:0421EE0000000000ED +:0421EF0000000000EC +:0421F00000000000EB +:0421F10000000000EA +:0421F20000000000E9 +:0421F30000000000E8 +:0421F40000000000E7 +:0421F50000000000E6 +:0421F60000000000E5 +:0421F70000000000E4 +:0421F80000000000E3 +:0421F90000000000E2 +:0421FA0000000000E1 +:0421FB0000000000E0 +:0421FC0000000000DF +:0421FD0000000000DE +:0421FE0000000000DD +:0421FF0000000000DC +:0422000000000000DA +:0422010000000000D9 +:0422020000000000D8 +:0422030000000000D7 +:0422040000000000D6 +:0422050000000000D5 +:0422060000000000D4 +:0422070000000000D3 +:0422080000000000D2 +:0422090000000000D1 +:04220A0000000000D0 +:04220B0000000000CF +:04220C0000000000CE +:04220D0000000000CD +:04220E0000000000CC +:04220F0000000000CB +:0422100000000000CA +:0422110000000000C9 +:0422120000000000C8 +:0422130000000000C7 +:0422140000000000C6 +:0422150000000000C5 +:0422160000000000C4 +:0422170000000000C3 +:0422180000000000C2 +:0422190000000000C1 +:04221A0000000000C0 +:04221B0000000000BF +:04221C0000000000BE +:04221D0000000000BD +:04221E0000000000BC +:04221F0000000000BB +:0422200000000000BA +:0422210000000000B9 +:0422220000000000B8 +:0422230000000000B7 +:0422240000000000B6 +:0422250000000000B5 +:0422260000000000B4 +:0422270000000000B3 +:0422280000000000B2 +:0422290000000000B1 +:04222A0000000000B0 +:04222B0000000000AF +:04222C0000000000AE +:04222D0000000000AD +:04222E0000000000AC +:04222F0000000000AB +:0422300000000000AA +:0422310000000000A9 +:0422320000000000A8 +:0422330000000000A7 +:0422340000000000A6 +:0422350000000000A5 +:0422360000000000A4 +:0422370000000000A3 +:0422380000000000A2 +:0422390000000000A1 +:04223A0000000000A0 +:04223B00000000009F +:04223C00000000009E +:04223D00000000009D +:04223E00000000009C +:04223F00000000009B +:04224000000000009A +:042241000000000099 +:042242000000000098 +:042243000000000097 +:042244000000000096 +:042245000000000095 +:042246000000000094 +:042247000000000093 +:042248000000000092 +:042249000000000091 +:04224A000000000090 +:04224B00000000008F +:04224C00000000008E +:04224D00000000008D +:04224E00000000008C +:04224F00000000008B +:04225000000000008A +:042251000000000089 +:042252000000000088 +:042253000000000087 +:042254000000000086 +:042255000000000085 +:042256000000000084 +:042257000000000083 +:042258000000000082 +:042259000000000081 +:04225A000000000080 +:04225B00000000007F +:04225C00000000007E +:04225D00000000007D +:04225E00000000007C +:04225F00000000007B +:04226000000000007A +:042261000000000079 +:042262000000000078 +:042263000000000077 +:042264000000000076 +:042265000000000075 +:042266000000000074 +:042267000000000073 +:042268000000000072 +:042269000000000071 +:04226A000000000070 +:04226B00000000006F +:04226C00000000006E +:04226D00000000006D +:04226E00000000006C +:04226F00000000006B +:04227000000000006A +:042271000000000069 +:042272000000000068 +:042273000000000067 +:042274000000000066 +:042275000000000065 +:042276000000000064 +:042277000000000063 +:042278000000000062 +:042279000000000061 +:04227A000000000060 +:04227B00000000005F +:04227C00000000005E +:04227D00000000005D +:04227E00000000005C +:04227F00000000005B +:04228000000000005A +:042281000000000059 +:042282000000000058 +:042283000000000057 +:042284000000000056 +:042285000000000055 +:042286000000000054 +:042287000000000053 +:042288000000000052 +:042289000000000051 +:04228A000000000050 +:04228B00000000004F +:04228C00000000004E +:04228D00000000004D +:04228E00000000004C +:04228F00000000004B +:04229000000000004A +:042291000000000049 +:042292000000000048 +:042293000000000047 +:042294000000000046 +:042295000000000045 +:042296000000000044 +:042297000000000043 +:042298000000000042 +:042299000000000041 +:04229A000000000040 +:04229B00000000003F +:04229C00000000003E +:04229D00000000003D +:04229E00000000003C +:04229F00000000003B +:0422A000000000003A +:0422A1000000000039 +:0422A2000000000038 +:0422A3000000000037 +:0422A4000000000036 +:0422A5000000000035 +:0422A6000000000034 +:0422A7000000000033 +:0422A8000000000032 +:0422A9000000000031 +:0422AA000000000030 +:0422AB00000000002F +:0422AC00000000002E +:0422AD00000000002D +:0422AE00000000002C +:0422AF00000000002B +:0422B000000000002A +:0422B1000000000029 +:0422B2000000000028 +:0422B3000000000027 +:0422B4000000000026 +:0422B5000000000025 +:0422B6000000000024 +:0422B7000000000023 +:0422B8000000000022 +:0422B9000000000021 +:0422BA000000000020 +:0422BB00000000001F +:0422BC00000000001E +:0422BD00000000001D +:0422BE00000000001C +:0422BF00000000001B +:0422C000000000001A +:0422C1000000000019 +:0422C2000000000018 +:0422C3000000000017 +:0422C4000000000016 +:0422C5000000000015 +:0422C6000000000014 +:0422C7000000000013 +:0422C8000000000012 +:0422C9000000000011 +:0422CA000000000010 +:0422CB00000000000F +:0422CC00000000000E +:0422CD00000000000D +:0422CE00000000000C +:0422CF00000000000B +:0422D000000000000A +:0422D1000000000009 +:0422D2000000000008 +:0422D3000000000007 +:0422D4000000000006 +:0422D5000000000005 +:0422D6000000000004 +:0422D7000000000003 +:0422D8000000000002 +:0422D9000000000001 +:0422DA000000000000 +:0422DB0000000000FF +:0422DC0000000000FE +:0422DD0000000000FD +:0422DE0000000000FC +:0422DF0000000000FB +:0422E00000000000FA +:0422E10000000000F9 +:0422E20000000000F8 +:0422E30000000000F7 +:0422E40000000000F6 +:0422E50000000000F5 +:0422E60000000000F4 +:0422E70000000000F3 +:0422E80000000000F2 +:0422E90000000000F1 +:0422EA0000000000F0 +:0422EB0000000000EF +:0422EC0000000000EE +:0422ED0000000000ED +:0422EE0000000000EC +:0422EF0000000000EB +:0422F00000000000EA +:0422F10000000000E9 +:0422F20000000000E8 +:0422F30000000000E7 +:0422F40000000000E6 +:0422F50000000000E5 +:0422F60000000000E4 +:0422F70000000000E3 +:0422F80000000000E2 +:0422F90000000000E1 +:0422FA0000000000E0 +:0422FB0000000000DF +:0422FC0000000000DE +:0422FD0000000000DD +:0422FE0000000000DC +:0422FF0000000000DB +:0423000000000000D9 +:0423010000000000D8 +:0423020000000000D7 +:0423030000000000D6 +:0423040000000000D5 +:0423050000000000D4 +:0423060000000000D3 +:0423070000000000D2 +:0423080000000000D1 +:0423090000000000D0 +:04230A0000000000CF +:04230B0000000000CE +:04230C0000000000CD +:04230D0000000000CC +:04230E0000000000CB +:04230F0000000000CA +:0423100000000000C9 +:0423110000000000C8 +:0423120000000000C7 +:0423130000000000C6 +:0423140000000000C5 +:0423150000000000C4 +:0423160000000000C3 +:0423170000000000C2 +:0423180000000000C1 +:0423190000000000C0 +:04231A0000000000BF +:04231B0000000000BE +:04231C0000000000BD +:04231D0000000000BC +:04231E0000000000BB +:04231F0000000000BA +:0423200000000000B9 +:0423210000000000B8 +:0423220000000000B7 +:0423230000000000B6 +:0423240000000000B5 +:0423250000000000B4 +:0423260000000000B3 +:0423270000000000B2 +:0423280000000000B1 +:0423290000000000B0 +:04232A0000000000AF +:04232B0000000000AE +:04232C0000000000AD +:04232D0000000000AC +:04232E0000000000AB +:04232F0000000000AA +:0423300000000000A9 +:0423310000000000A8 +:0423320000000000A7 +:0423330000000000A6 +:0423340000000000A5 +:0423350000000000A4 +:0423360000000000A3 +:0423370000000000A2 +:0423380000000000A1 +:0423390000000000A0 +:04233A00000000009F +:04233B00000000009E +:04233C00000000009D +:04233D00000000009C +:04233E00000000009B +:04233F00000000009A +:042340000000000099 +:042341000000000098 +:042342000000000097 +:042343000000000096 +:042344000000000095 +:042345000000000094 +:042346000000000093 +:042347000000000092 +:042348000000000091 +:042349000000000090 +:04234A00000000008F +:04234B00000000008E +:04234C00000000008D +:04234D00000000008C +:04234E00000000008B +:04234F00000000008A +:042350000000000089 +:042351000000000088 +:042352000000000087 +:042353000000000086 +:042354000000000085 +:042355000000000084 +:042356000000000083 +:042357000000000082 +:042358000000000081 +:042359000000000080 +:04235A00000000007F +:04235B00000000007E +:04235C00000000007D +:04235D00000000007C +:04235E00000000007B +:04235F00000000007A +:042360000000000079 +:042361000000000078 +:042362000000000077 +:042363000000000076 +:042364000000000075 +:042365000000000074 +:042366000000000073 +:042367000000000072 +:042368000000000071 +:042369000000000070 +:04236A00000000006F +:04236B00000000006E +:04236C00000000006D +:04236D00000000006C +:04236E00000000006B +:04236F00000000006A +:042370000000000069 +:042371000000000068 +:042372000000000067 +:042373000000000066 +:042374000000000065 +:042375000000000064 +:042376000000000063 +:042377000000000062 +:042378000000000061 +:042379000000000060 +:04237A00000000005F +:04237B00000000005E +:04237C00000000005D +:04237D00000000005C +:04237E00000000005B +:04237F00000000005A +:042380000000000059 +:042381000000000058 +:042382000000000057 +:042383000000000056 +:042384000000000055 +:042385000000000054 +:042386000000000053 +:042387000000000052 +:042388000000000051 +:042389000000000050 +:04238A00000000004F +:04238B00000000004E +:04238C00000000004D +:04238D00000000004C +:04238E00000000004B +:04238F00000000004A +:042390000000000049 +:042391000000000048 +:042392000000000047 +:042393000000000046 +:042394000000000045 +:042395000000000044 +:042396000000000043 +:042397000000000042 +:042398000000000041 +:042399000000000040 +:04239A00000000003F +:04239B00000000003E +:04239C00000000003D +:04239D00000000003C +:04239E00000000003B +:04239F00000000003A +:0423A0000000000039 +:0423A1000000000038 +:0423A2000000000037 +:0423A3000000000036 +:0423A4000000000035 +:0423A5000000000034 +:0423A6000000000033 +:0423A7000000000032 +:0423A8000000000031 +:0423A9000000000030 +:0423AA00000000002F +:0423AB00000000002E +:0423AC00000000002D +:0423AD00000000002C +:0423AE00000000002B +:0423AF00000000002A +:0423B0000000000029 +:0423B1000000000028 +:0423B2000000000027 +:0423B3000000000026 +:0423B4000000000025 +:0423B5000000000024 +:0423B6000000000023 +:0423B7000000000022 +:0423B8000000000021 +:0423B9000000000020 +:0423BA00000000001F +:0423BB00000000001E +:0423BC00000000001D +:0423BD00000000001C +:0423BE00000000001B +:0423BF00000000001A +:0423C0000000000019 +:0423C1000000000018 +:0423C2000000000017 +:0423C3000000000016 +:0423C4000000000015 +:0423C5000000000014 +:0423C6000000000013 +:0423C7000000000012 +:0423C8000000000011 +:0423C9000000000010 +:0423CA00000000000F +:0423CB00000000000E +:0423CC00000000000D +:0423CD00000000000C +:0423CE00000000000B +:0423CF00000000000A +:0423D0000000000009 +:0423D1000000000008 +:0423D2000000000007 +:0423D3000000000006 +:0423D4000000000005 +:0423D5000000000004 +:0423D6000000000003 +:0423D7000000000002 +:0423D8000000000001 +:0423D9000000000000 +:0423DA0000000000FF +:0423DB0000000000FE +:0423DC0000000000FD +:0423DD0000000000FC +:0423DE0000000000FB +:0423DF0000000000FA +:0423E00000000000F9 +:0423E10000000000F8 +:0423E20000000000F7 +:0423E30000000000F6 +:0423E40000000000F5 +:0423E50000000000F4 +:0423E60000000000F3 +:0423E70000000000F2 +:0423E80000000000F1 +:0423E90000000000F0 +:0423EA0000000000EF +:0423EB0000000000EE +:0423EC0000000000ED +:0423ED0000000000EC +:0423EE0000000000EB +:0423EF0000000000EA +:0423F00000000000E9 +:0423F10000000000E8 +:0423F20000000000E7 +:0423F30000000000E6 +:0423F40000000000E5 +:0423F50000000000E4 +:0423F60000000000E3 +:0423F70000000000E2 +:0423F80000000000E1 +:0423F90000000000E0 +:0423FA0000000000DF +:0423FB0000000000DE +:0423FC0000000000DD +:0423FD0000000000DC +:0423FE0000000000DB +:0423FF0000000000DA +:0424000000000000D8 +:0424010000000000D7 +:0424020000000000D6 +:0424030000000000D5 +:0424040000000000D4 +:0424050000000000D3 +:0424060000000000D2 +:0424070000000000D1 +:0424080000000000D0 +:0424090000000000CF +:04240A0000000000CE +:04240B0000000000CD +:04240C0000000000CC +:04240D0000000000CB +:04240E0000000000CA +:04240F0000000000C9 +:0424100000000000C8 +:0424110000000000C7 +:0424120000000000C6 +:0424130000000000C5 +:0424140000000000C4 +:0424150000000000C3 +:0424160000000000C2 +:0424170000000000C1 +:0424180000000000C0 +:0424190000000000BF +:04241A0000000000BE +:04241B0000000000BD +:04241C0000000000BC +:04241D0000000000BB +:04241E0000000000BA +:04241F0000000000B9 +:0424200000000000B8 +:0424210000000000B7 +:0424220000000000B6 +:0424230000000000B5 +:0424240000000000B4 +:0424250000000000B3 +:0424260000000000B2 +:0424270000000000B1 +:0424280000000000B0 +:0424290000000000AF +:04242A0000000000AE +:04242B0000000000AD +:04242C0000000000AC +:04242D0000000000AB +:04242E0000000000AA +:04242F0000000000A9 +:0424300000000000A8 +:0424310000000000A7 +:0424320000000000A6 +:0424330000000000A5 +:0424340000000000A4 +:0424350000000000A3 +:0424360000000000A2 +:0424370000000000A1 +:0424380000000000A0 +:04243900000000009F +:04243A00000000009E +:04243B00000000009D +:04243C00000000009C +:04243D00000000009B +:04243E00000000009A +:04243F000000000099 +:042440000000000098 +:042441000000000097 +:042442000000000096 +:042443000000000095 +:042444000000000094 +:042445000000000093 +:042446000000000092 +:042447000000000091 +:042448000000000090 +:04244900000000008F +:04244A00000000008E +:04244B00000000008D +:04244C00000000008C +:04244D00000000008B +:04244E00000000008A +:04244F000000000089 +:042450000000000088 +:042451000000000087 +:042452000000000086 +:042453000000000085 +:042454000000000084 +:042455000000000083 +:042456000000000082 +:042457000000000081 +:042458000000000080 +:04245900000000007F +:04245A00000000007E +:04245B00000000007D +:04245C00000000007C +:04245D00000000007B +:04245E00000000007A +:04245F000000000079 +:042460000000000078 +:042461000000000077 +:042462000000000076 +:042463000000000075 +:042464000000000074 +:042465000000000073 +:042466000000000072 +:042467000000000071 +:042468000000000070 +:04246900000000006F +:04246A00000000006E +:04246B00000000006D +:04246C00000000006C +:04246D00000000006B +:04246E00000000006A +:04246F000000000069 +:042470000000000068 +:042471000000000067 +:042472000000000066 +:042473000000000065 +:042474000000000064 +:042475000000000063 +:042476000000000062 +:042477000000000061 +:042478000000000060 +:04247900000000005F +:04247A00000000005E +:04247B00000000005D +:04247C00000000005C +:04247D00000000005B +:04247E00000000005A +:04247F000000000059 +:042480000000000058 +:042481000000000057 +:042482000000000056 +:042483000000000055 +:042484000000000054 +:042485000000000053 +:042486000000000052 +:042487000000000051 +:042488000000000050 +:04248900000000004F +:04248A00000000004E +:04248B00000000004D +:04248C00000000004C +:04248D00000000004B +:04248E00000000004A +:04248F000000000049 +:042490000000000048 +:042491000000000047 +:042492000000000046 +:042493000000000045 +:042494000000000044 +:042495000000000043 +:042496000000000042 +:042497000000000041 +:042498000000000040 +:04249900000000003F +:04249A00000000003E +:04249B00000000003D +:04249C00000000003C +:04249D00000000003B +:04249E00000000003A +:04249F000000000039 +:0424A0000000000038 +:0424A1000000000037 +:0424A2000000000036 +:0424A3000000000035 +:0424A4000000000034 +:0424A5000000000033 +:0424A6000000000032 +:0424A7000000000031 +:0424A8000000000030 +:0424A900000000002F +:0424AA00000000002E +:0424AB00000000002D +:0424AC00000000002C +:0424AD00000000002B +:0424AE00000000002A +:0424AF000000000029 +:0424B0000000000028 +:0424B1000000000027 +:0424B2000000000026 +:0424B3000000000025 +:0424B4000000000024 +:0424B5000000000023 +:0424B6000000000022 +:0424B7000000000021 +:0424B8000000000020 +:0424B900000000001F +:0424BA00000000001E +:0424BB00000000001D +:0424BC00000000001C +:0424BD00000000001B +:0424BE00000000001A +:0424BF000000000019 +:0424C0000000000018 +:0424C1000000000017 +:0424C2000000000016 +:0424C3000000000015 +:0424C4000000000014 +:0424C5000000000013 +:0424C6000000000012 +:0424C7000000000011 +:0424C8000000000010 +:0424C900000000000F +:0424CA00000000000E +:0424CB00000000000D +:0424CC00000000000C +:0424CD00000000000B +:0424CE00000000000A +:0424CF000000000009 +:0424D0000000000008 +:0424D1000000000007 +:0424D2000000000006 +:0424D3000000000005 +:0424D4000000000004 +:0424D5000000000003 +:0424D6000000000002 +:0424D7000000000001 +:0424D8000000000000 +:0424D90000000000FF +:0424DA0000000000FE +:0424DB0000000000FD +:0424DC0000000000FC +:0424DD0000000000FB +:0424DE0000000000FA +:0424DF0000000000F9 +:0424E00000000000F8 +:0424E10000000000F7 +:0424E20000000000F6 +:0424E30000000000F5 +:0424E40000000000F4 +:0424E50000000000F3 +:0424E60000000000F2 +:0424E70000000000F1 +:0424E80000000000F0 +:0424E90000000000EF +:0424EA0000000000EE +:0424EB0000000000ED +:0424EC0000000000EC +:0424ED0000000000EB +:0424EE0000000000EA +:0424EF0000000000E9 +:0424F00000000000E8 +:0424F10000000000E7 +:0424F20000000000E6 +:0424F30000000000E5 +:0424F40000000000E4 +:0424F50000000000E3 +:0424F60000000000E2 +:0424F70000000000E1 +:0424F80000000000E0 +:0424F90000000000DF +:0424FA0000000000DE +:0424FB0000000000DD +:0424FC0000000000DC +:0424FD0000000000DB +:0424FE0000000000DA +:0424FF0000000000D9 +:0425000000000000D7 +:0425010000000000D6 +:0425020000000000D5 +:0425030000000000D4 +:0425040000000000D3 +:0425050000000000D2 +:0425060000000000D1 +:0425070000000000D0 +:0425080000000000CF +:0425090000000000CE +:04250A0000000000CD +:04250B0000000000CC +:04250C0000000000CB +:04250D0000000000CA +:04250E0000000000C9 +:04250F0000000000C8 +:0425100000000000C7 +:0425110000000000C6 +:0425120000000000C5 +:0425130000000000C4 +:0425140000000000C3 +:0425150000000000C2 +:0425160000000000C1 +:0425170000000000C0 +:0425180000000000BF +:0425190000000000BE +:04251A0000000000BD +:04251B0000000000BC +:04251C0000000000BB +:04251D0000000000BA +:04251E0000000000B9 +:04251F0000000000B8 +:0425200000000000B7 +:0425210000000000B6 +:0425220000000000B5 +:0425230000000000B4 +:0425240000000000B3 +:0425250000000000B2 +:0425260000000000B1 +:0425270000000000B0 +:0425280000000000AF +:0425290000000000AE +:04252A0000000000AD +:04252B0000000000AC +:04252C0000000000AB +:04252D0000000000AA +:04252E0000000000A9 +:04252F0000000000A8 +:0425300000000000A7 +:0425310000000000A6 +:0425320000000000A5 +:0425330000000000A4 +:0425340000000000A3 +:0425350000000000A2 +:0425360000000000A1 +:0425370000000000A0 +:04253800000000009F +:04253900000000009E +:04253A00000000009D +:04253B00000000009C +:04253C00000000009B +:04253D00000000009A +:04253E000000000099 +:04253F000000000098 +:042540000000000097 +:042541000000000096 +:042542000000000095 +:042543000000000094 +:042544000000000093 +:042545000000000092 +:042546000000000091 +:042547000000000090 +:04254800000000008F +:04254900000000008E +:04254A00000000008D +:04254B00000000008C +:04254C00000000008B +:04254D00000000008A +:04254E000000000089 +:04254F000000000088 +:042550000000000087 +:042551000000000086 +:042552000000000085 +:042553000000000084 +:042554000000000083 +:042555000000000082 +:042556000000000081 +:042557000000000080 +:04255800000000007F +:04255900000000007E +:04255A00000000007D +:04255B00000000007C +:04255C00000000007B +:04255D00000000007A +:04255E000000000079 +:04255F000000000078 +:042560000000000077 +:042561000000000076 +:042562000000000075 +:042563000000000074 +:042564000000000073 +:042565000000000072 +:042566000000000071 +:042567000000000070 +:04256800000000006F +:04256900000000006E +:04256A00000000006D +:04256B00000000006C +:04256C00000000006B +:04256D00000000006A +:04256E000000000069 +:04256F000000000068 +:042570000000000067 +:042571000000000066 +:042572000000000065 +:042573000000000064 +:042574000000000063 +:042575000000000062 +:042576000000000061 +:042577000000000060 +:04257800000000005F +:04257900000000005E +:04257A00000000005D +:04257B00000000005C +:04257C00000000005B +:04257D00000000005A +:04257E000000000059 +:04257F000000000058 +:042580000000000057 +:042581000000000056 +:042582000000000055 +:042583000000000054 +:042584000000000053 +:042585000000000052 +:042586000000000051 +:042587000000000050 +:04258800000000004F +:04258900000000004E +:04258A00000000004D +:04258B00000000004C +:04258C00000000004B +:04258D00000000004A +:04258E000000000049 +:04258F000000000048 +:042590000000000047 +:042591000000000046 +:042592000000000045 +:042593000000000044 +:042594000000000043 +:042595000000000042 +:042596000000000041 +:042597000000000040 +:04259800000000003F +:04259900000000003E +:04259A00000000003D +:04259B00000000003C +:04259C00000000003B +:04259D00000000003A +:04259E000000000039 +:04259F000000000038 +:0425A0000000000037 +:0425A1000000000036 +:0425A2000000000035 +:0425A3000000000034 +:0425A4000000000033 +:0425A5000000000032 +:0425A6000000000031 +:0425A7000000000030 +:0425A800000000002F +:0425A900000000002E +:0425AA00000000002D +:0425AB00000000002C +:0425AC00000000002B +:0425AD00000000002A +:0425AE000000000029 +:0425AF000000000028 +:0425B0000000000027 +:0425B1000000000026 +:0425B2000000000025 +:0425B3000000000024 +:0425B4000000000023 +:0425B5000000000022 +:0425B6000000000021 +:0425B7000000000020 +:0425B800000000001F +:0425B900000000001E +:0425BA00000000001D +:0425BB00000000001C +:0425BC00000000001B +:0425BD00000000001A +:0425BE000000000019 +:0425BF000000000018 +:0425C0000000000017 +:0425C1000000000016 +:0425C2000000000015 +:0425C3000000000014 +:0425C4000000000013 +:0425C5000000000012 +:0425C6000000000011 +:0425C7000000000010 +:0425C800000000000F +:0425C900000000000E +:0425CA00000000000D +:0425CB00000000000C +:0425CC00000000000B +:0425CD00000000000A +:0425CE000000000009 +:0425CF000000000008 +:0425D0000000000007 +:0425D1000000000006 +:0425D2000000000005 +:0425D3000000000004 +:0425D4000000000003 +:0425D5000000000002 +:0425D6000000000001 +:0425D7000000000000 +:0425D80000000000FF +:0425D90000000000FE +:0425DA0000000000FD +:0425DB0000000000FC +:0425DC0000000000FB +:0425DD0000000000FA +:0425DE0000000000F9 +:0425DF0000000000F8 +:0425E00000000000F7 +:0425E10000000000F6 +:0425E20000000000F5 +:0425E30000000000F4 +:0425E40000000000F3 +:0425E50000000000F2 +:0425E60000000000F1 +:0425E70000000000F0 +:0425E80000000000EF +:0425E90000000000EE +:0425EA0000000000ED +:0425EB0000000000EC +:0425EC0000000000EB +:0425ED0000000000EA +:0425EE0000000000E9 +:0425EF0000000000E8 +:0425F00000000000E7 +:0425F10000000000E6 +:0425F20000000000E5 +:0425F30000000000E4 +:0425F40000000000E3 +:0425F50000000000E2 +:0425F60000000000E1 +:0425F70000000000E0 +:0425F80000000000DF +:0425F90000000000DE +:0425FA0000000000DD +:0425FB0000000000DC +:0425FC0000000000DB +:0425FD0000000000DA +:0425FE0000000000D9 +:0425FF0000000000D8 +:0426000000000000D6 +:0426010000000000D5 +:0426020000000000D4 +:0426030000000000D3 +:0426040000000000D2 +:0426050000000000D1 +:0426060000000000D0 +:0426070000000000CF +:0426080000000000CE +:0426090000000000CD +:04260A0000000000CC +:04260B0000000000CB +:04260C0000000000CA +:04260D0000000000C9 +:04260E0000000000C8 +:04260F0000000000C7 +:0426100000000000C6 +:0426110000000000C5 +:0426120000000000C4 +:0426130000000000C3 +:0426140000000000C2 +:0426150000000000C1 +:0426160000000000C0 +:0426170000000000BF +:0426180000000000BE +:0426190000000000BD +:04261A0000000000BC +:04261B0000000000BB +:04261C0000000000BA +:04261D0000000000B9 +:04261E0000000000B8 +:04261F0000000000B7 +:0426200000000000B6 +:0426210000000000B5 +:0426220000000000B4 +:0426230000000000B3 +:0426240000000000B2 +:0426250000000000B1 +:0426260000000000B0 +:0426270000000000AF +:0426280000000000AE +:0426290000000000AD +:04262A0000000000AC +:04262B0000000000AB +:04262C0000000000AA +:04262D0000000000A9 +:04262E0000000000A8 +:04262F0000000000A7 +:0426300000000000A6 +:0426310000000000A5 +:0426320000000000A4 +:0426330000000000A3 +:0426340000000000A2 +:0426350000000000A1 +:0426360000000000A0 +:04263700000000009F +:04263800000000009E +:04263900000000009D +:04263A00000000009C +:04263B00000000009B +:04263C00000000009A +:04263D000000000099 +:04263E000000000098 +:04263F000000000097 +:042640000000000096 +:042641000000000095 +:042642000000000094 +:042643000000000093 +:042644000000000092 +:042645000000000091 +:042646000000000090 +:04264700000000008F +:04264800000000008E +:04264900000000008D +:04264A00000000008C +:04264B00000000008B +:04264C00000000008A +:04264D000000000089 +:04264E000000000088 +:04264F000000000087 +:042650000000000086 +:042651000000000085 +:042652000000000084 +:042653000000000083 +:042654000000000082 +:042655000000000081 +:042656000000000080 +:04265700000000007F +:04265800000000007E +:04265900000000007D +:04265A00000000007C +:04265B00000000007B +:04265C00000000007A +:04265D000000000079 +:04265E000000000078 +:04265F000000000077 +:042660000000000076 +:042661000000000075 +:042662000000000074 +:042663000000000073 +:042664000000000072 +:042665000000000071 +:042666000000000070 +:04266700000000006F +:04266800000000006E +:04266900000000006D +:04266A00000000006C +:04266B00000000006B +:04266C00000000006A +:04266D000000000069 +:04266E000000000068 +:04266F000000000067 +:042670000000000066 +:042671000000000065 +:042672000000000064 +:042673000000000063 +:042674000000000062 +:042675000000000061 +:042676000000000060 +:04267700000000005F +:04267800000000005E +:04267900000000005D +:04267A00000000005C +:04267B00000000005B +:04267C00000000005A +:04267D000000000059 +:04267E000000000058 +:04267F000000000057 +:042680000000000056 +:042681000000000055 +:042682000000000054 +:042683000000000053 +:042684000000000052 +:042685000000000051 +:042686000000000050 +:04268700000000004F +:04268800000000004E +:04268900000000004D +:04268A00000000004C +:04268B00000000004B +:04268C00000000004A +:04268D000000000049 +:04268E000000000048 +:04268F000000000047 +:042690000000000046 +:042691000000000045 +:042692000000000044 +:042693000000000043 +:042694000000000042 +:042695000000000041 +:042696000000000040 +:04269700000000003F +:04269800000000003E +:04269900000000003D +:04269A00000000003C +:04269B00000000003B +:04269C00000000003A +:04269D000000000039 +:04269E000000000038 +:04269F000000000037 +:0426A0000000000036 +:0426A1000000000035 +:0426A2000000000034 +:0426A3000000000033 +:0426A4000000000032 +:0426A5000000000031 +:0426A6000000000030 +:0426A700000000002F +:0426A800000000002E +:0426A900000000002D +:0426AA00000000002C +:0426AB00000000002B +:0426AC00000000002A +:0426AD000000000029 +:0426AE000000000028 +:0426AF000000000027 +:0426B0000000000026 +:0426B1000000000025 +:0426B2000000000024 +:0426B3000000000023 +:0426B4000000000022 +:0426B5000000000021 +:0426B6000000000020 +:0426B700000000001F +:0426B800000000001E +:0426B900000000001D +:0426BA00000000001C +:0426BB00000000001B +:0426BC00000000001A +:0426BD000000000019 +:0426BE000000000018 +:0426BF000000000017 +:0426C0000000000016 +:0426C1000000000015 +:0426C2000000000014 +:0426C3000000000013 +:0426C4000000000012 +:0426C5000000000011 +:0426C6000000000010 +:0426C700000000000F +:0426C800000000000E +:0426C900000000000D +:0426CA00000000000C +:0426CB00000000000B +:0426CC00000000000A +:0426CD000000000009 +:0426CE000000000008 +:0426CF000000000007 +:0426D0000000000006 +:0426D1000000000005 +:0426D2000000000004 +:0426D3000000000003 +:0426D4000000000002 +:0426D5000000000001 +:0426D6000000000000 +:0426D70000000000FF +:0426D80000000000FE +:0426D90000000000FD +:0426DA0000000000FC +:0426DB0000000000FB +:0426DC0000000000FA +:0426DD0000000000F9 +:0426DE0000000000F8 +:0426DF0000000000F7 +:0426E00000000000F6 +:0426E10000000000F5 +:0426E20000000000F4 +:0426E30000000000F3 +:0426E40000000000F2 +:0426E50000000000F1 +:0426E60000000000F0 +:0426E70000000000EF +:0426E80000000000EE +:0426E90000000000ED +:0426EA0000000000EC +:0426EB0000000000EB +:0426EC0000000000EA +:0426ED0000000000E9 +:0426EE0000000000E8 +:0426EF0000000000E7 +:0426F00000000000E6 +:0426F10000000000E5 +:0426F20000000000E4 +:0426F30000000000E3 +:0426F40000000000E2 +:0426F50000000000E1 +:0426F60000000000E0 +:0426F70000000000DF +:0426F80000000000DE +:0426F90000000000DD +:0426FA0000000000DC +:0426FB0000000000DB +:0426FC0000000000DA +:0426FD0000000000D9 +:0426FE0000000000D8 +:0426FF0000000000D7 +:0427000000000000D5 +:0427010000000000D4 +:0427020000000000D3 +:0427030000000000D2 +:0427040000000000D1 +:0427050000000000D0 +:0427060000000000CF +:0427070000000000CE +:0427080000000000CD +:0427090000000000CC +:04270A0000000000CB +:04270B0000000000CA +:04270C0000000000C9 +:04270D0000000000C8 +:04270E0000000000C7 +:04270F0000000000C6 +:0427100000000000C5 +:0427110000000000C4 +:0427120000000000C3 +:0427130000000000C2 +:0427140000000000C1 +:0427150000000000C0 +:0427160000000000BF +:0427170000000000BE +:0427180000000000BD +:0427190000000000BC +:04271A0000000000BB +:04271B0000000000BA +:04271C0000000000B9 +:04271D0000000000B8 +:04271E0000000000B7 +:04271F0000000000B6 +:0427200000000000B5 +:0427210000000000B4 +:0427220000000000B3 +:0427230000000000B2 +:0427240000000000B1 +:0427250000000000B0 +:0427260000000000AF +:0427270000000000AE +:0427280000000000AD +:0427290000000000AC +:04272A0000000000AB +:04272B0000000000AA +:04272C0000000000A9 +:04272D0000000000A8 +:04272E0000000000A7 +:04272F0000000000A6 +:0427300000000000A5 +:0427310000000000A4 +:0427320000000000A3 +:0427330000000000A2 +:0427340000000000A1 +:0427350000000000A0 +:04273600000000009F +:04273700000000009E +:04273800000000009D +:04273900000000009C +:04273A00000000009B +:04273B00000000009A +:04273C000000000099 +:04273D000000000098 +:04273E000000000097 +:04273F000000000096 +:042740000000000095 +:042741000000000094 +:042742000000000093 +:042743000000000092 +:042744000000000091 +:042745000000000090 +:04274600000000008F +:04274700000000008E +:04274800000000008D +:04274900000000008C +:04274A00000000008B +:04274B00000000008A +:04274C000000000089 +:04274D000000000088 +:04274E000000000087 +:04274F000000000086 +:042750000000000085 +:042751000000000084 +:042752000000000083 +:042753000000000082 +:042754000000000081 +:042755000000000080 +:04275600000000007F +:04275700000000007E +:04275800000000007D +:04275900000000007C +:04275A00000000007B +:04275B00000000007A +:04275C000000000079 +:04275D000000000078 +:04275E000000000077 +:04275F000000000076 +:042760000000000075 +:042761000000000074 +:042762000000000073 +:042763000000000072 +:042764000000000071 +:042765000000000070 +:04276600000000006F +:04276700000000006E +:04276800000000006D +:04276900000000006C +:04276A00000000006B +:04276B00000000006A +:04276C000000000069 +:04276D000000000068 +:04276E000000000067 +:04276F000000000066 +:042770000000000065 +:042771000000000064 +:042772000000000063 +:042773000000000062 +:042774000000000061 +:042775000000000060 +:04277600000000005F +:04277700000000005E +:04277800000000005D +:04277900000000005C +:04277A00000000005B +:04277B00000000005A +:04277C000000000059 +:04277D000000000058 +:04277E000000000057 +:04277F000000000056 +:042780000000000055 +:042781000000000054 +:042782000000000053 +:042783000000000052 +:042784000000000051 +:042785000000000050 +:04278600000000004F +:04278700000000004E +:04278800000000004D +:04278900000000004C +:04278A00000000004B +:04278B00000000004A +:04278C000000000049 +:04278D000000000048 +:04278E000000000047 +:04278F000000000046 +:042790000000000045 +:042791000000000044 +:042792000000000043 +:042793000000000042 +:042794000000000041 +:042795000000000040 +:04279600000000003F +:04279700000000003E +:04279800000000003D +:04279900000000003C +:04279A00000000003B +:04279B00000000003A +:04279C000000000039 +:04279D000000000038 +:04279E000000000037 +:04279F000000000036 +:0427A0000000000035 +:0427A1000000000034 +:0427A2000000000033 +:0427A3000000000032 +:0427A4000000000031 +:0427A5000000000030 +:0427A600000000002F +:0427A700000000002E +:0427A800000000002D +:0427A900000000002C +:0427AA00000000002B +:0427AB00000000002A +:0427AC000000000029 +:0427AD000000000028 +:0427AE000000000027 +:0427AF000000000026 +:0427B0000000000025 +:0427B1000000000024 +:0427B2000000000023 +:0427B3000000000022 +:0427B4000000000021 +:0427B5000000000020 +:0427B600000000001F +:0427B700000000001E +:0427B800000000001D +:0427B900000000001C +:0427BA00000000001B +:0427BB00000000001A +:0427BC000000000019 +:0427BD000000000018 +:0427BE000000000017 +:0427BF000000000016 +:0427C0000000000015 +:0427C1000000000014 +:0427C2000000000013 +:0427C3000000000012 +:0427C4000000000011 +:0427C5000000000010 +:0427C600000000000F +:0427C700000000000E +:0427C800000000000D +:0427C900000000000C +:0427CA00000000000B +:0427CB00000000000A +:0427CC000000000009 +:0427CD000000000008 +:0427CE000000000007 +:0427CF000000000006 +:0427D0000000000005 +:0427D1000000000004 +:0427D2000000000003 +:0427D3000000000002 +:0427D4000000000001 +:0427D5000000000000 +:0427D60000000000FF +:0427D70000000000FE +:0427D80000000000FD +:0427D90000000000FC +:0427DA0000000000FB +:0427DB0000000000FA +:0427DC0000000000F9 +:0427DD0000000000F8 +:0427DE0000000000F7 +:0427DF0000000000F6 +:0427E00000000000F5 +:0427E10000000000F4 +:0427E20000000000F3 +:0427E30000000000F2 +:0427E40000000000F1 +:0427E50000000000F0 +:0427E60000000000EF +:0427E70000000000EE +:0427E80000000000ED +:0427E90000000000EC +:0427EA0000000000EB +:0427EB0000000000EA +:0427EC0000000000E9 +:0427ED0000000000E8 +:0427EE0000000000E7 +:0427EF0000000000E6 +:0427F00000000000E5 +:0427F10000000000E4 +:0427F20000000000E3 +:0427F30000000000E2 +:0427F40000000000E1 +:0427F50000000000E0 +:0427F60000000000DF +:0427F70000000000DE +:0427F80000000000DD +:0427F90000000000DC +:0427FA0000000000DB +:0427FB0000000000DA +:0427FC0000000000D9 +:0427FD0000000000D8 +:0427FE0000000000D7 +:0427FF0000000000D6 +:0428000000000000D4 +:0428010000000000D3 +:0428020000000000D2 +:0428030000000000D1 +:0428040000000000D0 +:0428050000000000CF +:0428060000000000CE +:0428070000000000CD +:0428080000000000CC +:0428090000000000CB +:04280A0000000000CA +:04280B0000000000C9 +:04280C0000000000C8 +:04280D0000000000C7 +:04280E0000000000C6 +:04280F0000000000C5 +:0428100000000000C4 +:0428110000000000C3 +:0428120000000000C2 +:0428130000000000C1 +:0428140000000000C0 +:0428150000000000BF +:0428160000000000BE +:0428170000000000BD +:0428180000000000BC +:0428190000000000BB +:04281A0000000000BA +:04281B0000000000B9 +:04281C0000000000B8 +:04281D0000000000B7 +:04281E0000000000B6 +:04281F0000000000B5 +:0428200000000000B4 +:0428210000000000B3 +:0428220000000000B2 +:0428230000000000B1 +:0428240000000000B0 +:0428250000000000AF +:0428260000000000AE +:0428270000000000AD +:0428280000000000AC +:0428290000000000AB +:04282A0000000000AA +:04282B0000000000A9 +:04282C0000000000A8 +:04282D0000000000A7 +:04282E0000000000A6 +:04282F0000000000A5 +:0428300000000000A4 +:0428310000000000A3 +:0428320000000000A2 +:0428330000000000A1 +:0428340000000000A0 +:04283500000000009F +:04283600000000009E +:04283700000000009D +:04283800000000009C +:04283900000000009B +:04283A00000000009A +:04283B000000000099 +:04283C000000000098 +:04283D000000000097 +:04283E000000000096 +:04283F000000000095 +:042840000000000094 +:042841000000000093 +:042842000000000092 +:042843000000000091 +:042844000000000090 +:04284500000000008F +:04284600000000008E +:04284700000000008D +:04284800000000008C +:04284900000000008B +:04284A00000000008A +:04284B000000000089 +:04284C000000000088 +:04284D000000000087 +:04284E000000000086 +:04284F000000000085 +:042850000000000084 +:042851000000000083 +:042852000000000082 +:042853000000000081 +:042854000000000080 +:04285500000000007F +:04285600000000007E +:04285700000000007D +:04285800000000007C +:04285900000000007B +:04285A00000000007A +:04285B000000000079 +:04285C000000000078 +:04285D000000000077 +:04285E000000000076 +:04285F000000000075 +:042860000000000074 +:042861000000000073 +:042862000000000072 +:042863000000000071 +:042864000000000070 +:04286500000000006F +:04286600000000006E +:04286700000000006D +:04286800000000006C +:04286900000000006B +:04286A00000000006A +:04286B000000000069 +:04286C000000000068 +:04286D000000000067 +:04286E000000000066 +:04286F000000000065 +:042870000000000064 +:042871000000000063 +:042872000000000062 +:042873000000000061 +:042874000000000060 +:04287500000000005F +:04287600000000005E +:04287700000000005D +:04287800000000005C +:04287900000000005B +:04287A00000000005A +:04287B000000000059 +:04287C000000000058 +:04287D000000000057 +:04287E000000000056 +:04287F000000000055 +:042880000000000054 +:042881000000000053 +:042882000000000052 +:042883000000000051 +:042884000000000050 +:04288500000000004F +:04288600000000004E +:04288700000000004D +:04288800000000004C +:04288900000000004B +:04288A00000000004A +:04288B000000000049 +:04288C000000000048 +:04288D000000000047 +:04288E000000000046 +:04288F000000000045 +:042890000000000044 +:042891000000000043 +:042892000000000042 +:042893000000000041 +:042894000000000040 +:04289500000000003F +:04289600000000003E +:04289700000000003D +:04289800000000003C +:04289900000000003B +:04289A00000000003A +:04289B000000000039 +:04289C000000000038 +:04289D000000000037 +:04289E000000000036 +:04289F000000000035 +:0428A0000000000034 +:0428A1000000000033 +:0428A2000000000032 +:0428A3000000000031 +:0428A4000000000030 +:0428A500000000002F +:0428A600000000002E +:0428A700000000002D +:0428A800000000002C +:0428A900000000002B +:0428AA00000000002A +:0428AB000000000029 +:0428AC000000000028 +:0428AD000000000027 +:0428AE000000000026 +:0428AF000000000025 +:0428B0000000000024 +:0428B1000000000023 +:0428B2000000000022 +:0428B3000000000021 +:0428B4000000000020 +:0428B500000000001F +:0428B600000000001E +:0428B700000000001D +:0428B800000000001C +:0428B900000000001B +:0428BA00000000001A +:0428BB000000000019 +:0428BC000000000018 +:0428BD000000000017 +:0428BE000000000016 +:0428BF000000000015 +:0428C0000000000014 +:0428C1000000000013 +:0428C2000000000012 +:0428C3000000000011 +:0428C4000000000010 +:0428C500000000000F +:0428C600000000000E +:0428C700000000000D +:0428C800000000000C +:0428C900000000000B +:0428CA00000000000A +:0428CB000000000009 +:0428CC000000000008 +:0428CD000000000007 +:0428CE000000000006 +:0428CF000000000005 +:0428D0000000000004 +:0428D1000000000003 +:0428D2000000000002 +:0428D3000000000001 +:0428D4000000000000 +:0428D50000000000FF +:0428D60000000000FE +:0428D70000000000FD +:0428D80000000000FC +:0428D90000000000FB +:0428DA0000000000FA +:0428DB0000000000F9 +:0428DC0000000000F8 +:0428DD0000000000F7 +:0428DE0000000000F6 +:0428DF0000000000F5 +:0428E00000000000F4 +:0428E10000000000F3 +:0428E20000000000F2 +:0428E30000000000F1 +:0428E40000000000F0 +:0428E50000000000EF +:0428E60000000000EE +:0428E70000000000ED +:0428E80000000000EC +:0428E90000000000EB +:0428EA0000000000EA +:0428EB0000000000E9 +:0428EC0000000000E8 +:0428ED0000000000E7 +:0428EE0000000000E6 +:0428EF0000000000E5 +:0428F00000000000E4 +:0428F10000000000E3 +:0428F20000000000E2 +:0428F30000000000E1 +:0428F40000000000E0 +:0428F50000000000DF +:0428F60000000000DE +:0428F70000000000DD +:0428F80000000000DC +:0428F90000000000DB +:0428FA0000000000DA +:0428FB0000000000D9 +:0428FC0000000000D8 +:0428FD0000000000D7 +:0428FE0000000000D6 +:0428FF0000000000D5 +:0429000000000000D3 +:0429010000000000D2 +:0429020000000000D1 +:0429030000000000D0 +:0429040000000000CF +:0429050000000000CE +:0429060000000000CD +:0429070000000000CC +:0429080000000000CB +:0429090000000000CA +:04290A0000000000C9 +:04290B0000000000C8 +:04290C0000000000C7 +:04290D0000000000C6 +:04290E0000000000C5 +:04290F0000000000C4 +:0429100000000000C3 +:0429110000000000C2 +:0429120000000000C1 +:0429130000000000C0 +:0429140000000000BF +:0429150000000000BE +:0429160000000000BD +:0429170000000000BC +:0429180000000000BB +:0429190000000000BA +:04291A0000000000B9 +:04291B0000000000B8 +:04291C0000000000B7 +:04291D0000000000B6 +:04291E0000000000B5 +:04291F0000000000B4 +:0429200000000000B3 +:0429210000000000B2 +:0429220000000000B1 +:0429230000000000B0 +:0429240000000000AF +:0429250000000000AE +:0429260000000000AD +:0429270000000000AC +:0429280000000000AB +:0429290000000000AA +:04292A0000000000A9 +:04292B0000000000A8 +:04292C0000000000A7 +:04292D0000000000A6 +:04292E0000000000A5 +:04292F0000000000A4 +:0429300000000000A3 +:0429310000000000A2 +:0429320000000000A1 +:0429330000000000A0 +:04293400000000009F +:04293500000000009E +:04293600000000009D +:04293700000000009C +:04293800000000009B +:04293900000000009A +:04293A000000000099 +:04293B000000000098 +:04293C000000000097 +:04293D000000000096 +:04293E000000000095 +:04293F000000000094 +:042940000000000093 +:042941000000000092 +:042942000000000091 +:042943000000000090 +:04294400000000008F +:04294500000000008E +:04294600000000008D +:04294700000000008C +:04294800000000008B +:04294900000000008A +:04294A000000000089 +:04294B000000000088 +:04294C000000000087 +:04294D000000000086 +:04294E000000000085 +:04294F000000000084 +:042950000000000083 +:042951000000000082 +:042952000000000081 +:042953000000000080 +:04295400000000007F +:04295500000000007E +:04295600000000007D +:04295700000000007C +:04295800000000007B +:04295900000000007A +:04295A000000000079 +:04295B000000000078 +:04295C000000000077 +:04295D000000000076 +:04295E000000000075 +:04295F000000000074 +:042960000000000073 +:042961000000000072 +:042962000000000071 +:042963000000000070 +:04296400000000006F +:04296500000000006E +:04296600000000006D +:04296700000000006C +:04296800000000006B +:04296900000000006A +:04296A000000000069 +:04296B000000000068 +:04296C000000000067 +:04296D000000000066 +:04296E000000000065 +:04296F000000000064 +:042970000000000063 +:042971000000000062 +:042972000000000061 +:042973000000000060 +:04297400000000005F +:04297500000000005E +:04297600000000005D +:04297700000000005C +:04297800000000005B +:04297900000000005A +:04297A000000000059 +:04297B000000000058 +:04297C000000000057 +:04297D000000000056 +:04297E000000000055 +:04297F000000000054 +:042980000000000053 +:042981000000000052 +:042982000000000051 +:042983000000000050 +:04298400000000004F +:04298500000000004E +:04298600000000004D +:04298700000000004C +:04298800000000004B +:04298900000000004A +:04298A000000000049 +:04298B000000000048 +:04298C000000000047 +:04298D000000000046 +:04298E000000000045 +:04298F000000000044 +:042990000000000043 +:042991000000000042 +:042992000000000041 +:042993000000000040 +:04299400000000003F +:04299500000000003E +:04299600000000003D +:04299700000000003C +:04299800000000003B +:04299900000000003A +:04299A000000000039 +:04299B000000000038 +:04299C000000000037 +:04299D000000000036 +:04299E000000000035 +:04299F000000000034 +:0429A0000000000033 +:0429A1000000000032 +:0429A2000000000031 +:0429A3000000000030 +:0429A400000000002F +:0429A500000000002E +:0429A600000000002D +:0429A700000000002C +:0429A800000000002B +:0429A900000000002A +:0429AA000000000029 +:0429AB000000000028 +:0429AC000000000027 +:0429AD000000000026 +:0429AE000000000025 +:0429AF000000000024 +:0429B0000000000023 +:0429B1000000000022 +:0429B2000000000021 +:0429B3000000000020 +:0429B400000000001F +:0429B500000000001E +:0429B600000000001D +:0429B700000000001C +:0429B800000000001B +:0429B900000000001A +:0429BA000000000019 +:0429BB000000000018 +:0429BC000000000017 +:0429BD000000000016 +:0429BE000000000015 +:0429BF000000000014 +:0429C0000000000013 +:0429C1000000000012 +:0429C2000000000011 +:0429C3000000000010 +:0429C400000000000F +:0429C500000000000E +:0429C600000000000D +:0429C700000000000C +:0429C800000000000B +:0429C900000000000A +:0429CA000000000009 +:0429CB000000000008 +:0429CC000000000007 +:0429CD000000000006 +:0429CE000000000005 +:0429CF000000000004 +:0429D0000000000003 +:0429D1000000000002 +:0429D2000000000001 +:0429D3000000000000 +:0429D40000000000FF +:0429D50000000000FE +:0429D60000000000FD +:0429D70000000000FC +:0429D80000000000FB +:0429D90000000000FA +:0429DA0000000000F9 +:0429DB0000000000F8 +:0429DC0000000000F7 +:0429DD0000000000F6 +:0429DE0000000000F5 +:0429DF0000000000F4 +:0429E00000000000F3 +:0429E10000000000F2 +:0429E20000000000F1 +:0429E30000000000F0 +:0429E40000000000EF +:0429E50000000000EE +:0429E60000000000ED +:0429E70000000000EC +:0429E80000000000EB +:0429E90000000000EA +:0429EA0000000000E9 +:0429EB0000000000E8 +:0429EC0000000000E7 +:0429ED0000000000E6 +:0429EE0000000000E5 +:0429EF0000000000E4 +:0429F00000000000E3 +:0429F10000000000E2 +:0429F20000000000E1 +:0429F30000000000E0 +:0429F40000000000DF +:0429F50000000000DE +:0429F60000000000DD +:0429F70000000000DC +:0429F80000000000DB +:0429F90000000000DA +:0429FA0000000000D9 +:0429FB0000000000D8 +:0429FC0000000000D7 +:0429FD0000000000D6 +:0429FE0000000000D5 +:0429FF0000000000D4 +:042A000000000000D2 +:042A010000000000D1 +:042A020000000000D0 +:042A030000000000CF +:042A040000000000CE +:042A050000000000CD +:042A060000000000CC +:042A070000000000CB +:042A080000000000CA +:042A090000000000C9 +:042A0A0000000000C8 +:042A0B0000000000C7 +:042A0C0000000000C6 +:042A0D0000000000C5 +:042A0E0000000000C4 +:042A0F0000000000C3 +:042A100000000000C2 +:042A110000000000C1 +:042A120000000000C0 +:042A130000000000BF +:042A140000000000BE +:042A150000000000BD +:042A160000000000BC +:042A170000000000BB +:042A180000000000BA +:042A190000000000B9 +:042A1A0000000000B8 +:042A1B0000000000B7 +:042A1C0000000000B6 +:042A1D0000000000B5 +:042A1E0000000000B4 +:042A1F0000000000B3 +:042A200000000000B2 +:042A210000000000B1 +:042A220000000000B0 +:042A230000000000AF +:042A240000000000AE +:042A250000000000AD +:042A260000000000AC +:042A270000000000AB +:042A280000000000AA +:042A290000000000A9 +:042A2A0000000000A8 +:042A2B0000000000A7 +:042A2C0000000000A6 +:042A2D0000000000A5 +:042A2E0000000000A4 +:042A2F0000000000A3 +:042A300000000000A2 +:042A310000000000A1 +:042A320000000000A0 +:042A3300000000009F +:042A3400000000009E +:042A3500000000009D +:042A3600000000009C +:042A3700000000009B +:042A3800000000009A +:042A39000000000099 +:042A3A000000000098 +:042A3B000000000097 +:042A3C000000000096 +:042A3D000000000095 +:042A3E000000000094 +:042A3F000000000093 +:042A40000000000092 +:042A41000000000091 +:042A42000000000090 +:042A4300000000008F +:042A4400000000008E +:042A4500000000008D +:042A4600000000008C +:042A4700000000008B +:042A4800000000008A +:042A49000000000089 +:042A4A000000000088 +:042A4B000000000087 +:042A4C000000000086 +:042A4D000000000085 +:042A4E000000000084 +:042A4F000000000083 +:042A50000000000082 +:042A51000000000081 +:042A52000000000080 +:042A5300000000007F +:042A5400000000007E +:042A5500000000007D +:042A5600000000007C +:042A5700000000007B +:042A5800000000007A +:042A59000000000079 +:042A5A000000000078 +:042A5B000000000077 +:042A5C000000000076 +:042A5D000000000075 +:042A5E000000000074 +:042A5F000000000073 +:042A60000000000072 +:042A61000000000071 +:042A62000000000070 +:042A6300000000006F +:042A6400000000006E +:042A6500000000006D +:042A6600000000006C +:042A6700000000006B +:042A6800000000006A +:042A69000000000069 +:042A6A000000000068 +:042A6B000000000067 +:042A6C000000000066 +:042A6D000000000065 +:042A6E000000000064 +:042A6F000000000063 +:042A70000000000062 +:042A71000000000061 +:042A72000000000060 +:042A7300000000005F +:042A7400000000005E +:042A7500000000005D +:042A7600000000005C +:042A7700000000005B +:042A7800000000005A +:042A79000000000059 +:042A7A000000000058 +:042A7B000000000057 +:042A7C000000000056 +:042A7D000000000055 +:042A7E000000000054 +:042A7F000000000053 +:042A80000000000052 +:042A81000000000051 +:042A82000000000050 +:042A8300000000004F +:042A8400000000004E +:042A8500000000004D +:042A8600000000004C +:042A8700000000004B +:042A8800000000004A +:042A89000000000049 +:042A8A000000000048 +:042A8B000000000047 +:042A8C000000000046 +:042A8D000000000045 +:042A8E000000000044 +:042A8F000000000043 +:042A90000000000042 +:042A91000000000041 +:042A92000000000040 +:042A9300000000003F +:042A9400000000003E +:042A9500000000003D +:042A9600000000003C +:042A9700000000003B +:042A9800000000003A +:042A99000000000039 +:042A9A000000000038 +:042A9B000000000037 +:042A9C000000000036 +:042A9D000000000035 +:042A9E000000000034 +:042A9F000000000033 +:042AA0000000000032 +:042AA1000000000031 +:042AA2000000000030 +:042AA300000000002F +:042AA400000000002E +:042AA500000000002D +:042AA600000000002C +:042AA700000000002B +:042AA800000000002A +:042AA9000000000029 +:042AAA000000000028 +:042AAB000000000027 +:042AAC000000000026 +:042AAD000000000025 +:042AAE000000000024 +:042AAF000000000023 +:042AB0000000000022 +:042AB1000000000021 +:042AB2000000000020 +:042AB300000000001F +:042AB400000000001E +:042AB500000000001D +:042AB600000000001C +:042AB700000000001B +:042AB800000000001A +:042AB9000000000019 +:042ABA000000000018 +:042ABB000000000017 +:042ABC000000000016 +:042ABD000000000015 +:042ABE000000000014 +:042ABF000000000013 +:042AC0000000000012 +:042AC1000000000011 +:042AC2000000000010 +:042AC300000000000F +:042AC400000000000E +:042AC500000000000D +:042AC600000000000C +:042AC700000000000B +:042AC800000000000A +:042AC9000000000009 +:042ACA000000000008 +:042ACB000000000007 +:042ACC000000000006 +:042ACD000000000005 +:042ACE000000000004 +:042ACF000000000003 +:042AD0000000000002 +:042AD1000000000001 +:042AD2000000000000 +:042AD30000000000FF +:042AD40000000000FE +:042AD50000000000FD +:042AD60000000000FC +:042AD70000000000FB +:042AD80000000000FA +:042AD90000000000F9 +:042ADA0000000000F8 +:042ADB0000000000F7 +:042ADC0000000000F6 +:042ADD0000000000F5 +:042ADE0000000000F4 +:042ADF0000000000F3 +:042AE00000000000F2 +:042AE10000000000F1 +:042AE20000000000F0 +:042AE30000000000EF +:042AE40000000000EE +:042AE50000000000ED +:042AE60000000000EC +:042AE70000000000EB +:042AE80000000000EA +:042AE90000000000E9 +:042AEA0000000000E8 +:042AEB0000000000E7 +:042AEC0000000000E6 +:042AED0000000000E5 +:042AEE0000000000E4 +:042AEF0000000000E3 +:042AF00000000000E2 +:042AF10000000000E1 +:042AF20000000000E0 +:042AF30000000000DF +:042AF40000000000DE +:042AF50000000000DD +:042AF60000000000DC +:042AF70000000000DB +:042AF80000000000DA +:042AF90000000000D9 +:042AFA0000000000D8 +:042AFB0000000000D7 +:042AFC0000000000D6 +:042AFD0000000000D5 +:042AFE0000000000D4 +:042AFF0000000000D3 +:042B000000000000D1 +:042B010000000000D0 +:042B020000000000CF +:042B030000000000CE +:042B040000000000CD +:042B050000000000CC +:042B060000000000CB +:042B070000000000CA +:042B080000000000C9 +:042B090000000000C8 +:042B0A0000000000C7 +:042B0B0000000000C6 +:042B0C0000000000C5 +:042B0D0000000000C4 +:042B0E0000000000C3 +:042B0F0000000000C2 +:042B100000000000C1 +:042B110000000000C0 +:042B120000000000BF +:042B130000000000BE +:042B140000000000BD +:042B150000000000BC +:042B160000000000BB +:042B170000000000BA +:042B180000000000B9 +:042B190000000000B8 +:042B1A0000000000B7 +:042B1B0000000000B6 +:042B1C0000000000B5 +:042B1D0000000000B4 +:042B1E0000000000B3 +:042B1F0000000000B2 +:042B200000000000B1 +:042B210000000000B0 +:042B220000000000AF +:042B230000000000AE +:042B240000000000AD +:042B250000000000AC +:042B260000000000AB +:042B270000000000AA +:042B280000000000A9 +:042B290000000000A8 +:042B2A0000000000A7 +:042B2B0000000000A6 +:042B2C0000000000A5 +:042B2D0000000000A4 +:042B2E0000000000A3 +:042B2F0000000000A2 +:042B300000000000A1 +:042B310000000000A0 +:042B3200000000009F +:042B3300000000009E +:042B3400000000009D +:042B3500000000009C +:042B3600000000009B +:042B3700000000009A +:042B38000000000099 +:042B39000000000098 +:042B3A000000000097 +:042B3B000000000096 +:042B3C000000000095 +:042B3D000000000094 +:042B3E000000000093 +:042B3F000000000092 +:042B40000000000091 +:042B41000000000090 +:042B4200000000008F +:042B4300000000008E +:042B4400000000008D +:042B4500000000008C +:042B4600000000008B +:042B4700000000008A +:042B48000000000089 +:042B49000000000088 +:042B4A000000000087 +:042B4B000000000086 +:042B4C000000000085 +:042B4D000000000084 +:042B4E000000000083 +:042B4F000000000082 +:042B50000000000081 +:042B51000000000080 +:042B5200000000007F +:042B5300000000007E +:042B5400000000007D +:042B5500000000007C +:042B5600000000007B +:042B5700000000007A +:042B58000000000079 +:042B59000000000078 +:042B5A000000000077 +:042B5B000000000076 +:042B5C000000000075 +:042B5D000000000074 +:042B5E000000000073 +:042B5F000000000072 +:042B60000000000071 +:042B61000000000070 +:042B6200000000006F +:042B6300000000006E +:042B6400000000006D +:042B6500000000006C +:042B6600000000006B +:042B6700000000006A +:042B68000000000069 +:042B69000000000068 +:042B6A000000000067 +:042B6B000000000066 +:042B6C000000000065 +:042B6D000000000064 +:042B6E000000000063 +:042B6F000000000062 +:042B70000000000061 +:042B71000000000060 +:042B7200000000005F +:042B7300000000005E +:042B7400000000005D +:042B7500000000005C +:042B7600000000005B +:042B7700000000005A +:042B78000000000059 +:042B79000000000058 +:042B7A000000000057 +:042B7B000000000056 +:042B7C000000000055 +:042B7D000000000054 +:042B7E000000000053 +:042B7F000000000052 +:042B80000000000051 +:042B81000000000050 +:042B8200000000004F +:042B8300000000004E +:042B8400000000004D +:042B8500000000004C +:042B8600000000004B +:042B8700000000004A +:042B88000000000049 +:042B89000000000048 +:042B8A000000000047 +:042B8B000000000046 +:042B8C000000000045 +:042B8D000000000044 +:042B8E000000000043 +:042B8F000000000042 +:042B90000000000041 +:042B91000000000040 +:042B9200000000003F +:042B9300000000003E +:042B9400000000003D +:042B9500000000003C +:042B9600000000003B +:042B9700000000003A +:042B98000000000039 +:042B99000000000038 +:042B9A000000000037 +:042B9B000000000036 +:042B9C000000000035 +:042B9D000000000034 +:042B9E000000000033 +:042B9F000000000032 +:042BA0000000000031 +:042BA1000000000030 +:042BA200000000002F +:042BA300000000002E +:042BA400000000002D +:042BA500000000002C +:042BA600000000002B +:042BA700000000002A +:042BA8000000000029 +:042BA9000000000028 +:042BAA000000000027 +:042BAB000000000026 +:042BAC000000000025 +:042BAD000000000024 +:042BAE000000000023 +:042BAF000000000022 +:042BB0000000000021 +:042BB1000000000020 +:042BB200000000001F +:042BB300000000001E +:042BB400000000001D +:042BB500000000001C +:042BB600000000001B +:042BB700000000001A +:042BB8000000000019 +:042BB9000000000018 +:042BBA000000000017 +:042BBB000000000016 +:042BBC000000000015 +:042BBD000000000014 +:042BBE000000000013 +:042BBF000000000012 +:042BC0000000000011 +:042BC1000000000010 +:042BC200000000000F +:042BC300000000000E +:042BC400000000000D +:042BC500000000000C +:042BC600000000000B +:042BC700000000000A +:042BC8000000000009 +:042BC9000000000008 +:042BCA000000000007 +:042BCB000000000006 +:042BCC000000000005 +:042BCD000000000004 +:042BCE000000000003 +:042BCF000000000002 +:042BD0000000000001 +:042BD1000000000000 +:042BD20000000000FF +:042BD30000000000FE +:042BD40000000000FD +:042BD50000000000FC +:042BD60000000000FB +:042BD70000000000FA +:042BD80000000000F9 +:042BD90000000000F8 +:042BDA0000000000F7 +:042BDB0000000000F6 +:042BDC0000000000F5 +:042BDD0000000000F4 +:042BDE0000000000F3 +:042BDF0000000000F2 +:042BE00000000000F1 +:042BE10000000000F0 +:042BE20000000000EF +:042BE30000000000EE +:042BE40000000000ED +:042BE50000000000EC +:042BE60000000000EB +:042BE70000000000EA +:042BE80000000000E9 +:042BE90000000000E8 +:042BEA0000000000E7 +:042BEB0000000000E6 +:042BEC0000000000E5 +:042BED0000000000E4 +:042BEE0000000000E3 +:042BEF0000000000E2 +:042BF00000000000E1 +:042BF10000000000E0 +:042BF20000000000DF +:042BF30000000000DE +:042BF40000000000DD +:042BF50000000000DC +:042BF60000000000DB +:042BF70000000000DA +:042BF80000000000D9 +:042BF90000000000D8 +:042BFA0000000000D7 +:042BFB0000000000D6 +:042BFC0000000000D5 +:042BFD0000000000D4 +:042BFE0000000000D3 +:042BFF0000000000D2 +:042C000000000000D0 +:042C010000000000CF +:042C020000000000CE +:042C030000000000CD +:042C040000000000CC +:042C050000000000CB +:042C060000000000CA +:042C070000000000C9 +:042C080000000000C8 +:042C090000000000C7 +:042C0A0000000000C6 +:042C0B0000000000C5 +:042C0C0000000000C4 +:042C0D0000000000C3 +:042C0E0000000000C2 +:042C0F0000000000C1 +:042C100000000000C0 +:042C110000000000BF +:042C120000000000BE +:042C130000000000BD +:042C140000000000BC +:042C150000000000BB +:042C160000000000BA +:042C170000000000B9 +:042C180000000000B8 +:042C190000000000B7 +:042C1A0000000000B6 +:042C1B0000000000B5 +:042C1C0000000000B4 +:042C1D0000000000B3 +:042C1E0000000000B2 +:042C1F0000000000B1 +:042C200000000000B0 +:042C210000000000AF +:042C220000000000AE +:042C230000000000AD +:042C240000000000AC +:042C250000000000AB +:042C260000000000AA +:042C270000000000A9 +:042C280000000000A8 +:042C290000000000A7 +:042C2A0000000000A6 +:042C2B0000000000A5 +:042C2C0000000000A4 +:042C2D0000000000A3 +:042C2E0000000000A2 +:042C2F0000000000A1 +:042C300000000000A0 +:042C3100000000009F +:042C3200000000009E +:042C3300000000009D +:042C3400000000009C +:042C3500000000009B +:042C3600000000009A +:042C37000000000099 +:042C38000000000098 +:042C39000000000097 +:042C3A000000000096 +:042C3B000000000095 +:042C3C000000000094 +:042C3D000000000093 +:042C3E000000000092 +:042C3F000000000091 +:042C40000000000090 +:042C4100000000008F +:042C4200000000008E +:042C4300000000008D +:042C4400000000008C +:042C4500000000008B +:042C4600000000008A +:042C47000000000089 +:042C48000000000088 +:042C49000000000087 +:042C4A000000000086 +:042C4B000000000085 +:042C4C000000000084 +:042C4D000000000083 +:042C4E000000000082 +:042C4F000000000081 +:042C50000000000080 +:042C5100000000007F +:042C5200000000007E +:042C5300000000007D +:042C5400000000007C +:042C5500000000007B +:042C5600000000007A +:042C57000000000079 +:042C58000000000078 +:042C59000000000077 +:042C5A000000000076 +:042C5B000000000075 +:042C5C000000000074 +:042C5D000000000073 +:042C5E000000000072 +:042C5F000000000071 +:042C60000000000070 +:042C6100000000006F +:042C6200000000006E +:042C6300000000006D +:042C6400000000006C +:042C6500000000006B +:042C6600000000006A +:042C67000000000069 +:042C68000000000068 +:042C69000000000067 +:042C6A000000000066 +:042C6B000000000065 +:042C6C000000000064 +:042C6D000000000063 +:042C6E000000000062 +:042C6F000000000061 +:042C70000000000060 +:042C7100000000005F +:042C7200000000005E +:042C7300000000005D +:042C7400000000005C +:042C7500000000005B +:042C7600000000005A +:042C77000000000059 +:042C78000000000058 +:042C79000000000057 +:042C7A000000000056 +:042C7B000000000055 +:042C7C000000000054 +:042C7D000000000053 +:042C7E000000000052 +:042C7F000000000051 +:042C80000000000050 +:042C8100000000004F +:042C8200000000004E +:042C8300000000004D +:042C8400000000004C +:042C8500000000004B +:042C8600000000004A +:042C87000000000049 +:042C88000000000048 +:042C89000000000047 +:042C8A000000000046 +:042C8B000000000045 +:042C8C000000000044 +:042C8D000000000043 +:042C8E000000000042 +:042C8F000000000041 +:042C90000000000040 +:042C9100000000003F +:042C9200000000003E +:042C9300000000003D +:042C9400000000003C +:042C9500000000003B +:042C9600000000003A +:042C97000000000039 +:042C98000000000038 +:042C99000000000037 +:042C9A000000000036 +:042C9B000000000035 +:042C9C000000000034 +:042C9D000000000033 +:042C9E000000000032 +:042C9F000000000031 +:042CA0000000000030 +:042CA100000000002F +:042CA200000000002E +:042CA300000000002D +:042CA400000000002C +:042CA500000000002B +:042CA600000000002A +:042CA7000000000029 +:042CA8000000000028 +:042CA9000000000027 +:042CAA000000000026 +:042CAB000000000025 +:042CAC000000000024 +:042CAD000000000023 +:042CAE000000000022 +:042CAF000000000021 +:042CB0000000000020 +:042CB100000000001F +:042CB200000000001E +:042CB300000000001D +:042CB400000000001C +:042CB500000000001B +:042CB600000000001A +:042CB7000000000019 +:042CB8000000000018 +:042CB9000000000017 +:042CBA000000000016 +:042CBB000000000015 +:042CBC000000000014 +:042CBD000000000013 +:042CBE000000000012 +:042CBF000000000011 +:042CC0000000000010 +:042CC100000000000F +:042CC200000000000E +:042CC300000000000D +:042CC400000000000C +:042CC500000000000B +:042CC600000000000A +:042CC7000000000009 +:042CC8000000000008 +:042CC9000000000007 +:042CCA000000000006 +:042CCB000000000005 +:042CCC000000000004 +:042CCD000000000003 +:042CCE000000000002 +:042CCF000000000001 +:042CD0000000000000 +:042CD10000000000FF +:042CD20000000000FE +:042CD30000000000FD +:042CD40000000000FC +:042CD50000000000FB +:042CD60000000000FA +:042CD70000000000F9 +:042CD80000000000F8 +:042CD90000000000F7 +:042CDA0000000000F6 +:042CDB0000000000F5 +:042CDC0000000000F4 +:042CDD0000000000F3 +:042CDE0000000000F2 +:042CDF0000000000F1 +:042CE00000000000F0 +:042CE10000000000EF +:042CE20000000000EE +:042CE30000000000ED +:042CE40000000000EC +:042CE50000000000EB +:042CE60000000000EA +:042CE70000000000E9 +:042CE80000000000E8 +:042CE90000000000E7 +:042CEA0000000000E6 +:042CEB0000000000E5 +:042CEC0000000000E4 +:042CED0000000000E3 +:042CEE0000000000E2 +:042CEF0000000000E1 +:042CF00000000000E0 +:042CF10000000000DF +:042CF20000000000DE +:042CF30000000000DD +:042CF40000000000DC +:042CF50000000000DB +:042CF60000000000DA +:042CF70000000000D9 +:042CF80000000000D8 +:042CF90000000000D7 +:042CFA0000000000D6 +:042CFB0000000000D5 +:042CFC0000000000D4 +:042CFD0000000000D3 +:042CFE0000000000D2 +:042CFF0000000000D1 +:042D000000000000CF +:042D010000000000CE +:042D020000000000CD +:042D030000000000CC +:042D040000000000CB +:042D050000000000CA +:042D060000000000C9 +:042D070000000000C8 +:042D080000000000C7 +:042D090000000000C6 +:042D0A0000000000C5 +:042D0B0000000000C4 +:042D0C0000000000C3 +:042D0D0000000000C2 +:042D0E0000000000C1 +:042D0F0000000000C0 +:042D100000000000BF +:042D110000000000BE +:042D120000000000BD +:042D130000000000BC +:042D140000000000BB +:042D150000000000BA +:042D160000000000B9 +:042D170000000000B8 +:042D180000000000B7 +:042D190000000000B6 +:042D1A0000000000B5 +:042D1B0000000000B4 +:042D1C0000000000B3 +:042D1D0000000000B2 +:042D1E0000000000B1 +:042D1F0000000000B0 +:042D200000000000AF +:042D210000000000AE +:042D220000000000AD +:042D230000000000AC +:042D240000000000AB +:042D250000000000AA +:042D260000000000A9 +:042D270000000000A8 +:042D280000000000A7 +:042D290000000000A6 +:042D2A0000000000A5 +:042D2B0000000000A4 +:042D2C0000000000A3 +:042D2D0000000000A2 +:042D2E0000000000A1 +:042D2F0000000000A0 +:042D3000000000009F +:042D3100000000009E +:042D3200000000009D +:042D3300000000009C +:042D3400000000009B +:042D3500000000009A +:042D36000000000099 +:042D37000000000098 +:042D38000000000097 +:042D39000000000096 +:042D3A000000000095 +:042D3B000000000094 +:042D3C000000000093 +:042D3D000000000092 +:042D3E000000000091 +:042D3F000000000090 +:042D4000000000008F +:042D4100000000008E +:042D4200000000008D +:042D4300000000008C +:042D4400000000008B +:042D4500000000008A +:042D46000000000089 +:042D47000000000088 +:042D48000000000087 +:042D49000000000086 +:042D4A000000000085 +:042D4B000000000084 +:042D4C000000000083 +:042D4D000000000082 +:042D4E000000000081 +:042D4F000000000080 +:042D5000000000007F +:042D5100000000007E +:042D5200000000007D +:042D5300000000007C +:042D5400000000007B +:042D5500000000007A +:042D56000000000079 +:042D57000000000078 +:042D58000000000077 +:042D59000000000076 +:042D5A000000000075 +:042D5B000000000074 +:042D5C000000000073 +:042D5D000000000072 +:042D5E000000000071 +:042D5F000000000070 +:042D6000000000006F +:042D6100000000006E +:042D6200000000006D +:042D6300000000006C +:042D6400000000006B +:042D6500000000006A +:042D66000000000069 +:042D67000000000068 +:042D68000000000067 +:042D69000000000066 +:042D6A000000000065 +:042D6B000000000064 +:042D6C000000000063 +:042D6D000000000062 +:042D6E000000000061 +:042D6F000000000060 +:042D7000000000005F +:042D7100000000005E +:042D7200000000005D +:042D7300000000005C +:042D7400000000005B +:042D7500000000005A +:042D76000000000059 +:042D77000000000058 +:042D78000000000057 +:042D79000000000056 +:042D7A000000000055 +:042D7B000000000054 +:042D7C000000000053 +:042D7D000000000052 +:042D7E000000000051 +:042D7F000000000050 +:042D8000000000004F +:042D8100000000004E +:042D8200000000004D +:042D8300000000004C +:042D8400000000004B +:042D8500000000004A +:042D86000000000049 +:042D87000000000048 +:042D88000000000047 +:042D89000000000046 +:042D8A000000000045 +:042D8B000000000044 +:042D8C000000000043 +:042D8D000000000042 +:042D8E000000000041 +:042D8F000000000040 +:042D9000000000003F +:042D9100000000003E +:042D9200000000003D +:042D9300000000003C +:042D9400000000003B +:042D9500000000003A +:042D96000000000039 +:042D97000000000038 +:042D98000000000037 +:042D99000000000036 +:042D9A000000000035 +:042D9B000000000034 +:042D9C000000000033 +:042D9D000000000032 +:042D9E000000000031 +:042D9F000000000030 +:042DA000000000002F +:042DA100000000002E +:042DA200000000002D +:042DA300000000002C +:042DA400000000002B +:042DA500000000002A +:042DA6000000000029 +:042DA7000000000028 +:042DA8000000000027 +:042DA9000000000026 +:042DAA000000000025 +:042DAB000000000024 +:042DAC000000000023 +:042DAD000000000022 +:042DAE000000000021 +:042DAF000000000020 +:042DB000000000001F +:042DB100000000001E +:042DB200000000001D +:042DB300000000001C +:042DB400000000001B +:042DB500000000001A +:042DB6000000000019 +:042DB7000000000018 +:042DB8000000000017 +:042DB9000000000016 +:042DBA000000000015 +:042DBB000000000014 +:042DBC000000000013 +:042DBD000000000012 +:042DBE000000000011 +:042DBF000000000010 +:042DC000000000000F +:042DC100000000000E +:042DC200000000000D +:042DC300000000000C +:042DC400000000000B +:042DC500000000000A +:042DC6000000000009 +:042DC7000000000008 +:042DC8000000000007 +:042DC9000000000006 +:042DCA000000000005 +:042DCB000000000004 +:042DCC000000000003 +:042DCD000000000002 +:042DCE000000000001 +:042DCF000000000000 +:042DD00000000000FF +:042DD10000000000FE +:042DD20000000000FD +:042DD30000000000FC +:042DD40000000000FB +:042DD50000000000FA +:042DD60000000000F9 +:042DD70000000000F8 +:042DD80000000000F7 +:042DD90000000000F6 +:042DDA0000000000F5 +:042DDB0000000000F4 +:042DDC0000000000F3 +:042DDD0000000000F2 +:042DDE0000000000F1 +:042DDF0000000000F0 +:042DE00000000000EF +:042DE10000000000EE +:042DE20000000000ED +:042DE30000000000EC +:042DE40000000000EB +:042DE50000000000EA +:042DE60000000000E9 +:042DE70000000000E8 +:042DE80000000000E7 +:042DE90000000000E6 +:042DEA0000000000E5 +:042DEB0000000000E4 +:042DEC0000000000E3 +:042DED0000000000E2 +:042DEE0000000000E1 +:042DEF0000000000E0 +:042DF00000000000DF +:042DF10000000000DE +:042DF20000000000DD +:042DF30000000000DC +:042DF40000000000DB +:042DF50000000000DA +:042DF60000000000D9 +:042DF70000000000D8 +:042DF80000000000D7 +:042DF90000000000D6 +:042DFA0000000000D5 +:042DFB0000000000D4 +:042DFC0000000000D3 +:042DFD0000000000D2 +:042DFE0000000000D1 +:042DFF0000000000D0 +:042E000000000000CE +:042E010000000000CD +:042E020000000000CC +:042E030000000000CB +:042E040000000000CA +:042E050000000000C9 +:042E060000000000C8 +:042E070000000000C7 +:042E080000000000C6 +:042E090000000000C5 +:042E0A0000000000C4 +:042E0B0000000000C3 +:042E0C0000000000C2 +:042E0D0000000000C1 +:042E0E0000000000C0 +:042E0F0000000000BF +:042E100000000000BE +:042E110000000000BD +:042E120000000000BC +:042E130000000000BB +:042E140000000000BA +:042E150000000000B9 +:042E160000000000B8 +:042E170000000000B7 +:042E180000000000B6 +:042E190000000000B5 +:042E1A0000000000B4 +:042E1B0000000000B3 +:042E1C0000000000B2 +:042E1D0000000000B1 +:042E1E0000000000B0 +:042E1F0000000000AF +:042E200000000000AE +:042E210000000000AD +:042E220000000000AC +:042E230000000000AB +:042E240000000000AA +:042E250000000000A9 +:042E260000000000A8 +:042E270000000000A7 +:042E280000000000A6 +:042E290000000000A5 +:042E2A0000000000A4 +:042E2B0000000000A3 +:042E2C0000000000A2 +:042E2D0000000000A1 +:042E2E0000000000A0 +:042E2F00000000009F +:042E3000000000009E +:042E3100000000009D +:042E3200000000009C +:042E3300000000009B +:042E3400000000009A +:042E35000000000099 +:042E36000000000098 +:042E37000000000097 +:042E38000000000096 +:042E39000000000095 +:042E3A000000000094 +:042E3B000000000093 +:042E3C000000000092 +:042E3D000000000091 +:042E3E000000000090 +:042E3F00000000008F +:042E4000000000008E +:042E4100000000008D +:042E4200000000008C +:042E4300000000008B +:042E4400000000008A +:042E45000000000089 +:042E46000000000088 +:042E47000000000087 +:042E48000000000086 +:042E49000000000085 +:042E4A000000000084 +:042E4B000000000083 +:042E4C000000000082 +:042E4D000000000081 +:042E4E000000000080 +:042E4F00000000007F +:042E5000000000007E +:042E5100000000007D +:042E5200000000007C +:042E5300000000007B +:042E5400000000007A +:042E55000000000079 +:042E56000000000078 +:042E57000000000077 +:042E58000000000076 +:042E59000000000075 +:042E5A000000000074 +:042E5B000000000073 +:042E5C000000000072 +:042E5D000000000071 +:042E5E000000000070 +:042E5F00000000006F +:042E6000000000006E +:042E6100000000006D +:042E6200000000006C +:042E6300000000006B +:042E6400000000006A +:042E65000000000069 +:042E66000000000068 +:042E67000000000067 +:042E68000000000066 +:042E69000000000065 +:042E6A000000000064 +:042E6B000000000063 +:042E6C000000000062 +:042E6D000000000061 +:042E6E000000000060 +:042E6F00000000005F +:042E7000000000005E +:042E7100000000005D +:042E7200000000005C +:042E7300000000005B +:042E7400000000005A +:042E75000000000059 +:042E76000000000058 +:042E77000000000057 +:042E78000000000056 +:042E79000000000055 +:042E7A000000000054 +:042E7B000000000053 +:042E7C000000000052 +:042E7D000000000051 +:042E7E000000000050 +:042E7F00000000004F +:042E8000000000004E +:042E8100000000004D +:042E8200000000004C +:042E8300000000004B +:042E8400000000004A +:042E85000000000049 +:042E86000000000048 +:042E87000000000047 +:042E88000000000046 +:042E89000000000045 +:042E8A000000000044 +:042E8B000000000043 +:042E8C000000000042 +:042E8D000000000041 +:042E8E000000000040 +:042E8F00000000003F +:042E9000000000003E +:042E9100000000003D +:042E9200000000003C +:042E9300000000003B +:042E9400000000003A +:042E95000000000039 +:042E96000000000038 +:042E97000000000037 +:042E98000000000036 +:042E99000000000035 +:042E9A000000000034 +:042E9B000000000033 +:042E9C000000000032 +:042E9D000000000031 +:042E9E000000000030 +:042E9F00000000002F +:042EA000000000002E +:042EA100000000002D +:042EA200000000002C +:042EA300000000002B +:042EA400000000002A +:042EA5000000000029 +:042EA6000000000028 +:042EA7000000000027 +:042EA8000000000026 +:042EA9000000000025 +:042EAA000000000024 +:042EAB000000000023 +:042EAC000000000022 +:042EAD000000000021 +:042EAE000000000020 +:042EAF00000000001F +:042EB000000000001E +:042EB100000000001D +:042EB200000000001C +:042EB300000000001B +:042EB400000000001A +:042EB5000000000019 +:042EB6000000000018 +:042EB7000000000017 +:042EB8000000000016 +:042EB9000000000015 +:042EBA000000000014 +:042EBB000000000013 +:042EBC000000000012 +:042EBD000000000011 +:042EBE000000000010 +:042EBF00000000000F +:042EC000000000000E +:042EC100000000000D +:042EC200000000000C +:042EC300000000000B +:042EC400000000000A +:042EC5000000000009 +:042EC6000000000008 +:042EC7000000000007 +:042EC8000000000006 +:042EC9000000000005 +:042ECA000000000004 +:042ECB000000000003 +:042ECC000000000002 +:042ECD000000000001 +:042ECE000000000000 +:042ECF0000000000FF +:042ED00000000000FE +:042ED10000000000FD +:042ED20000000000FC +:042ED30000000000FB +:042ED40000000000FA +:042ED50000000000F9 +:042ED60000000000F8 +:042ED70000000000F7 +:042ED80000000000F6 +:042ED90000000000F5 +:042EDA0000000000F4 +:042EDB0000000000F3 +:042EDC0000000000F2 +:042EDD0000000000F1 +:042EDE0000000000F0 +:042EDF0000000000EF +:042EE00000000000EE +:042EE10000000000ED +:042EE20000000000EC +:042EE30000000000EB +:042EE40000000000EA +:042EE50000000000E9 +:042EE60000000000E8 +:042EE70000000000E7 +:042EE80000000000E6 +:042EE90000000000E5 +:042EEA0000000000E4 +:042EEB0000000000E3 +:042EEC0000000000E2 +:042EED0000000000E1 +:042EEE0000000000E0 +:042EEF0000000000DF +:042EF00000000000DE +:042EF10000000000DD +:042EF20000000000DC +:042EF30000000000DB +:042EF40000000000DA +:042EF50000000000D9 +:042EF60000000000D8 +:042EF70000000000D7 +:042EF80000000000D6 +:042EF90000000000D5 +:042EFA0000000000D4 +:042EFB0000000000D3 +:042EFC0000000000D2 +:042EFD0000000000D1 +:042EFE0000000000D0 +:042EFF0000000000CF +:042F000000000000CD +:042F010000000000CC +:042F020000000000CB +:042F030000000000CA +:042F040000000000C9 +:042F050000000000C8 +:042F060000000000C7 +:042F070000000000C6 +:042F080000000000C5 +:042F090000000000C4 +:042F0A0000000000C3 +:042F0B0000000000C2 +:042F0C0000000000C1 +:042F0D0000000000C0 +:042F0E0000000000BF +:042F0F0000000000BE +:042F100000000000BD +:042F110000000000BC +:042F120000000000BB +:042F130000000000BA +:042F140000000000B9 +:042F150000000000B8 +:042F160000000000B7 +:042F170000000000B6 +:042F180000000000B5 +:042F190000000000B4 +:042F1A0000000000B3 +:042F1B0000000000B2 +:042F1C0000000000B1 +:042F1D0000000000B0 +:042F1E0000000000AF +:042F1F0000000000AE +:042F200000000000AD +:042F210000000000AC +:042F220000000000AB +:042F230000000000AA +:042F240000000000A9 +:042F250000000000A8 +:042F260000000000A7 +:042F270000000000A6 +:042F280000000000A5 +:042F290000000000A4 +:042F2A0000000000A3 +:042F2B0000000000A2 +:042F2C0000000000A1 +:042F2D0000000000A0 +:042F2E00000000009F +:042F2F00000000009E +:042F3000000000009D +:042F3100000000009C +:042F3200000000009B +:042F3300000000009A +:042F34000000000099 +:042F35000000000098 +:042F36000000000097 +:042F37000000000096 +:042F38000000000095 +:042F39000000000094 +:042F3A000000000093 +:042F3B000000000092 +:042F3C000000000091 +:042F3D000000000090 +:042F3E00000000008F +:042F3F00000000008E +:042F4000000000008D +:042F4100000000008C +:042F4200000000008B +:042F4300000000008A +:042F44000000000089 +:042F45000000000088 +:042F46000000000087 +:042F47000000000086 +:042F48000000000085 +:042F49000000000084 +:042F4A000000000083 +:042F4B000000000082 +:042F4C000000000081 +:042F4D000000000080 +:042F4E00000000007F +:042F4F00000000007E +:042F5000000000007D +:042F5100000000007C +:042F5200000000007B +:042F5300000000007A +:042F54000000000079 +:042F55000000000078 +:042F56000000000077 +:042F57000000000076 +:042F58000000000075 +:042F59000000000074 +:042F5A000000000073 +:042F5B000000000072 +:042F5C000000000071 +:042F5D000000000070 +:042F5E00000000006F +:042F5F00000000006E +:042F6000000000006D +:042F6100000000006C +:042F6200000000006B +:042F6300000000006A +:042F64000000000069 +:042F65000000000068 +:042F66000000000067 +:042F67000000000066 +:042F68000000000065 +:042F69000000000064 +:042F6A000000000063 +:042F6B000000000062 +:042F6C000000000061 +:042F6D000000000060 +:042F6E00000000005F +:042F6F00000000005E +:042F7000000000005D +:042F7100000000005C +:042F7200000000005B +:042F7300000000005A +:042F74000000000059 +:042F75000000000058 +:042F76000000000057 +:042F77000000000056 +:042F78000000000055 +:042F79000000000054 +:042F7A000000000053 +:042F7B000000000052 +:042F7C000000000051 +:042F7D000000000050 +:042F7E00000000004F +:042F7F00000000004E +:042F8000000000004D +:042F8100000000004C +:042F8200000000004B +:042F8300000000004A +:042F84000000000049 +:042F85000000000048 +:042F86000000000047 +:042F87000000000046 +:042F88000000000045 +:042F89000000000044 +:042F8A000000000043 +:042F8B000000000042 +:042F8C000000000041 +:042F8D000000000040 +:042F8E00000000003F +:042F8F00000000003E +:042F9000000000003D +:042F9100000000003C +:042F9200000000003B +:042F9300000000003A +:042F94000000000039 +:042F95000000000038 +:042F96000000000037 +:042F97000000000036 +:042F98000000000035 +:042F99000000000034 +:042F9A000000000033 +:042F9B000000000032 +:042F9C000000000031 +:042F9D000000000030 +:042F9E00000000002F +:042F9F00000000002E +:042FA000000000002D +:042FA100000000002C +:042FA200000000002B +:042FA300000000002A +:042FA4000000000029 +:042FA5000000000028 +:042FA6000000000027 +:042FA7000000000026 +:042FA8000000000025 +:042FA9000000000024 +:042FAA000000000023 +:042FAB000000000022 +:042FAC000000000021 +:042FAD000000000020 +:042FAE00000000001F +:042FAF00000000001E +:042FB000000000001D +:042FB100000000001C +:042FB200000000001B +:042FB300000000001A +:042FB4000000000019 +:042FB5000000000018 +:042FB6000000000017 +:042FB7000000000016 +:042FB8000000000015 +:042FB9000000000014 +:042FBA000000000013 +:042FBB000000000012 +:042FBC000000000011 +:042FBD000000000010 +:042FBE00000000000F +:042FBF00000000000E +:042FC000000000000D +:042FC100000000000C +:042FC200000000000B +:042FC300000000000A +:042FC4000000000009 +:042FC5000000000008 +:042FC6000000000007 +:042FC7000000000006 +:042FC8000000000005 +:042FC9000000000004 +:042FCA000000000003 +:042FCB000000000002 +:042FCC000000000001 +:042FCD000000000000 +:042FCE0000000000FF +:042FCF0000000000FE +:042FD00000000000FD +:042FD10000000000FC +:042FD20000000000FB +:042FD30000000000FA +:042FD40000000000F9 +:042FD50000000000F8 +:042FD60000000000F7 +:042FD70000000000F6 +:042FD80000000000F5 +:042FD90000000000F4 +:042FDA0000000000F3 +:042FDB0000000000F2 +:042FDC0000000000F1 +:042FDD0000000000F0 +:042FDE0000000000EF +:042FDF0000000000EE +:042FE00000000000ED +:042FE10000000000EC +:042FE20000000000EB +:042FE30000000000EA +:042FE40000000000E9 +:042FE50000000000E8 +:042FE60000000000E7 +:042FE70000000000E6 +:042FE80000000000E5 +:042FE90000000000E4 +:042FEA0000000000E3 +:042FEB0000000000E2 +:042FEC0000000000E1 +:042FED0000000000E0 +:042FEE0000000000DF +:042FEF0000000000DE +:042FF00000000000DD +:042FF10000000000DC +:042FF20000000000DB +:042FF30000000000DA +:042FF40000000000D9 +:042FF50000000000D8 +:042FF60000000000D7 +:042FF70000000000D6 +:042FF80000000000D5 +:042FF90000000000D4 +:042FFA0000000000D3 +:042FFB0000000000D2 +:042FFC0000000000D1 +:042FFD0000000000D0 +:042FFE0000000000CF +:042FFF0000000000CE +:0430000000000000CC +:0430010000000000CB +:0430020000000000CA +:0430030000000000C9 +:0430040000000000C8 +:0430050000000000C7 +:0430060000000000C6 +:0430070000000000C5 +:0430080000000000C4 +:0430090000000000C3 +:04300A0000000000C2 +:04300B0000000000C1 +:04300C0000000000C0 +:04300D0000000000BF +:04300E0000000000BE +:04300F0000000000BD +:0430100000000000BC +:0430110000000000BB +:0430120000000000BA +:0430130000000000B9 +:0430140000000000B8 +:0430150000000000B7 +:0430160000000000B6 +:0430170000000000B5 +:0430180000000000B4 +:0430190000000000B3 +:04301A0000000000B2 +:04301B0000000000B1 +:04301C0000000000B0 +:04301D0000000000AF +:04301E0000000000AE +:04301F0000000000AD +:0430200000000000AC +:0430210000000000AB +:0430220000000000AA +:0430230000000000A9 +:0430240000000000A8 +:0430250000000000A7 +:0430260000000000A6 +:0430270000000000A5 +:0430280000000000A4 +:0430290000000000A3 +:04302A0000000000A2 +:04302B0000000000A1 +:04302C0000000000A0 +:04302D00000000009F +:04302E00000000009E +:04302F00000000009D +:04303000000000009C +:04303100000000009B +:04303200000000009A +:043033000000000099 +:043034000000000098 +:043035000000000097 +:043036000000000096 +:043037000000000095 +:043038000000000094 +:043039000000000093 +:04303A000000000092 +:04303B000000000091 +:04303C000000000090 +:04303D00000000008F +:04303E00000000008E +:04303F00000000008D +:04304000000000008C +:04304100000000008B +:04304200000000008A +:043043000000000089 +:043044000000000088 +:043045000000000087 +:043046000000000086 +:043047000000000085 +:043048000000000084 +:043049000000000083 +:04304A000000000082 +:04304B000000000081 +:04304C000000000080 +:04304D00000000007F +:04304E00000000007E +:04304F00000000007D +:04305000000000007C +:04305100000000007B +:04305200000000007A +:043053000000000079 +:043054000000000078 +:043055000000000077 +:043056000000000076 +:043057000000000075 +:043058000000000074 +:043059000000000073 +:04305A000000000072 +:04305B000000000071 +:04305C000000000070 +:04305D00000000006F +:04305E00000000006E +:04305F00000000006D +:04306000000000006C +:04306100000000006B +:04306200000000006A +:043063000000000069 +:043064000000000068 +:043065000000000067 +:043066000000000066 +:043067000000000065 +:043068000000000064 +:043069000000000063 +:04306A000000000062 +:04306B000000000061 +:04306C000000000060 +:04306D00000000005F +:04306E00000000005E +:04306F00000000005D +:04307000000000005C +:04307100000000005B +:04307200000000005A +:043073000000000059 +:043074000000000058 +:043075000000000057 +:043076000000000056 +:043077000000000055 +:043078000000000054 +:043079000000000053 +:04307A000000000052 +:04307B000000000051 +:04307C000000000050 +:04307D00000000004F +:04307E00000000004E +:04307F00000000004D +:04308000000000004C +:04308100000000004B +:04308200000000004A +:043083000000000049 +:043084000000000048 +:043085000000000047 +:043086000000000046 +:043087000000000045 +:043088000000000044 +:043089000000000043 +:04308A000000000042 +:04308B000000000041 +:04308C000000000040 +:04308D00000000003F +:04308E00000000003E +:04308F00000000003D +:04309000000000003C +:04309100000000003B +:04309200000000003A +:043093000000000039 +:043094000000000038 +:043095000000000037 +:043096000000000036 +:043097000000000035 +:043098000000000034 +:043099000000000033 +:04309A000000000032 +:04309B000000000031 +:04309C000000000030 +:04309D00000000002F +:04309E00000000002E +:04309F00000000002D +:0430A000000000002C +:0430A100000000002B +:0430A200000000002A +:0430A3000000000029 +:0430A4000000000028 +:0430A5000000000027 +:0430A6000000000026 +:0430A7000000000025 +:0430A8000000000024 +:0430A9000000000023 +:0430AA000000000022 +:0430AB000000000021 +:0430AC000000000020 +:0430AD00000000001F +:0430AE00000000001E +:0430AF00000000001D +:0430B000000000001C +:0430B100000000001B +:0430B200000000001A +:0430B3000000000019 +:0430B4000000000018 +:0430B5000000000017 +:0430B6000000000016 +:0430B7000000000015 +:0430B8000000000014 +:0430B9000000000013 +:0430BA000000000012 +:0430BB000000000011 +:0430BC000000000010 +:0430BD00000000000F +:0430BE00000000000E +:0430BF00000000000D +:0430C000000000000C +:0430C100000000000B +:0430C200000000000A +:0430C3000000000009 +:0430C4000000000008 +:0430C5000000000007 +:0430C6000000000006 +:0430C7000000000005 +:0430C8000000000004 +:0430C9000000000003 +:0430CA000000000002 +:0430CB000000000001 +:0430CC000000000000 +:0430CD0000000000FF +:0430CE0000000000FE +:0430CF0000000000FD +:0430D00000000000FC +:0430D10000000000FB +:0430D20000000000FA +:0430D30000000000F9 +:0430D40000000000F8 +:0430D50000000000F7 +:0430D60000000000F6 +:0430D70000000000F5 +:0430D80000000000F4 +:0430D90000000000F3 +:0430DA0000000000F2 +:0430DB0000000000F1 +:0430DC0000000000F0 +:0430DD0000000000EF +:0430DE0000000000EE +:0430DF0000000000ED +:0430E00000000000EC +:0430E10000000000EB +:0430E20000000000EA +:0430E30000000000E9 +:0430E40000000000E8 +:0430E50000000000E7 +:0430E60000000000E6 +:0430E70000000000E5 +:0430E80000000000E4 +:0430E90000000000E3 +:0430EA0000000000E2 +:0430EB0000000000E1 +:0430EC0000000000E0 +:0430ED0000000000DF +:0430EE0000000000DE +:0430EF0000000000DD +:0430F00000000000DC +:0430F10000000000DB +:0430F20000000000DA +:0430F30000000000D9 +:0430F40000000000D8 +:0430F50000000000D7 +:0430F60000000000D6 +:0430F70000000000D5 +:0430F80000000000D4 +:0430F90000000000D3 +:0430FA0000000000D2 +:0430FB0000000000D1 +:0430FC0000000000D0 +:0430FD0000000000CF +:0430FE0000000000CE +:0430FF0000000000CD +:0431000000000000CB +:0431010000000000CA +:0431020000000000C9 +:0431030000000000C8 +:0431040000000000C7 +:0431050000000000C6 +:0431060000000000C5 +:0431070000000000C4 +:0431080000000000C3 +:0431090000000000C2 +:04310A0000000000C1 +:04310B0000000000C0 +:04310C0000000000BF +:04310D0000000000BE +:04310E0000000000BD +:04310F0000000000BC +:0431100000000000BB +:0431110000000000BA +:0431120000000000B9 +:0431130000000000B8 +:0431140000000000B7 +:0431150000000000B6 +:0431160000000000B5 +:0431170000000000B4 +:0431180000000000B3 +:0431190000000000B2 +:04311A0000000000B1 +:04311B0000000000B0 +:04311C0000000000AF +:04311D0000000000AE +:04311E0000000000AD +:04311F0000000000AC +:0431200000000000AB +:0431210000000000AA +:0431220000000000A9 +:0431230000000000A8 +:0431240000000000A7 +:0431250000000000A6 +:0431260000000000A5 +:0431270000000000A4 +:0431280000000000A3 +:0431290000000000A2 +:04312A0000000000A1 +:04312B0000000000A0 +:04312C00000000009F +:04312D00000000009E +:04312E00000000009D +:04312F00000000009C +:04313000000000009B +:04313100000000009A +:043132000000000099 +:043133000000000098 +:043134000000000097 +:043135000000000096 +:043136000000000095 +:043137000000000094 +:043138000000000093 +:043139000000000092 +:04313A000000000091 +:04313B000000000090 +:04313C00000000008F +:04313D00000000008E +:04313E00000000008D +:04313F00000000008C +:04314000000000008B +:04314100000000008A +:043142000000000089 +:043143000000000088 +:043144000000000087 +:043145000000000086 +:043146000000000085 +:043147000000000084 +:043148000000000083 +:043149000000000082 +:04314A000000000081 +:04314B000000000080 +:04314C00000000007F +:04314D00000000007E +:04314E00000000007D +:04314F00000000007C +:04315000000000007B +:04315100000000007A +:043152000000000079 +:043153000000000078 +:043154000000000077 +:043155000000000076 +:043156000000000075 +:043157000000000074 +:043158000000000073 +:043159000000000072 +:04315A000000000071 +:04315B000000000070 +:04315C00000000006F +:04315D00000000006E +:04315E00000000006D +:04315F00000000006C +:04316000000000006B +:04316100000000006A +:043162000000000069 +:043163000000000068 +:043164000000000067 +:043165000000000066 +:043166000000000065 +:043167000000000064 +:043168000000000063 +:043169000000000062 +:04316A000000000061 +:04316B000000000060 +:04316C00000000005F +:04316D00000000005E +:04316E00000000005D +:04316F00000000005C +:04317000000000005B +:04317100000000005A +:043172000000000059 +:043173000000000058 +:043174000000000057 +:043175000000000056 +:043176000000000055 +:043177000000000054 +:043178000000000053 +:043179000000000052 +:04317A000000000051 +:04317B000000000050 +:04317C00000000004F +:04317D00000000004E +:04317E00000000004D +:04317F00000000004C +:04318000000000004B +:04318100000000004A +:043182000000000049 +:043183000000000048 +:043184000000000047 +:043185000000000046 +:043186000000000045 +:043187000000000044 +:043188000000000043 +:043189000000000042 +:04318A000000000041 +:04318B000000000040 +:04318C00000000003F +:04318D00000000003E +:04318E00000000003D +:04318F00000000003C +:04319000000000003B +:04319100000000003A +:043192000000000039 +:043193000000000038 +:043194000000000037 +:043195000000000036 +:043196000000000035 +:043197000000000034 +:043198000000000033 +:043199000000000032 +:04319A000000000031 +:04319B000000000030 +:04319C00000000002F +:04319D00000000002E +:04319E00000000002D +:04319F00000000002C +:0431A000000000002B +:0431A100000000002A +:0431A2000000000029 +:0431A3000000000028 +:0431A4000000000027 +:0431A5000000000026 +:0431A6000000000025 +:0431A7000000000024 +:0431A8000000000023 +:0431A9000000000022 +:0431AA000000000021 +:0431AB000000000020 +:0431AC00000000001F +:0431AD00000000001E +:0431AE00000000001D +:0431AF00000000001C +:0431B000000000001B +:0431B100000000001A +:0431B2000000000019 +:0431B3000000000018 +:0431B4000000000017 +:0431B5000000000016 +:0431B6000000000015 +:0431B7000000000014 +:0431B8000000000013 +:0431B9000000000012 +:0431BA000000000011 +:0431BB000000000010 +:0431BC00000000000F +:0431BD00000000000E +:0431BE00000000000D +:0431BF00000000000C +:0431C000000000000B +:0431C100000000000A +:0431C2000000000009 +:0431C3000000000008 +:0431C4000000000007 +:0431C5000000000006 +:0431C6000000000005 +:0431C7000000000004 +:0431C8000000000003 +:0431C9000000000002 +:0431CA000000000001 +:0431CB000000000000 +:0431CC0000000000FF +:0431CD0000000000FE +:0431CE0000000000FD +:0431CF0000000000FC +:0431D00000000000FB +:0431D10000000000FA +:0431D20000000000F9 +:0431D30000000000F8 +:0431D40000000000F7 +:0431D50000000000F6 +:0431D60000000000F5 +:0431D70000000000F4 +:0431D80000000000F3 +:0431D90000000000F2 +:0431DA0000000000F1 +:0431DB0000000000F0 +:0431DC0000000000EF +:0431DD0000000000EE +:0431DE0000000000ED +:0431DF0000000000EC +:0431E00000000000EB +:0431E10000000000EA +:0431E20000000000E9 +:0431E30000000000E8 +:0431E40000000000E7 +:0431E50000000000E6 +:0431E60000000000E5 +:0431E70000000000E4 +:0431E80000000000E3 +:0431E90000000000E2 +:0431EA0000000000E1 +:0431EB0000000000E0 +:0431EC0000000000DF +:0431ED0000000000DE +:0431EE0000000000DD +:0431EF0000000000DC +:0431F00000000000DB +:0431F10000000000DA +:0431F20000000000D9 +:0431F30000000000D8 +:0431F40000000000D7 +:0431F50000000000D6 +:0431F60000000000D5 +:0431F70000000000D4 +:0431F80000000000D3 +:0431F90000000000D2 +:0431FA0000000000D1 +:0431FB0000000000D0 +:0431FC0000000000CF +:0431FD0000000000CE +:0431FE0000000000CD +:0431FF0000000000CC +:0432000000000000CA +:0432010000000000C9 +:0432020000000000C8 +:0432030000000000C7 +:0432040000000000C6 +:0432050000000000C5 +:0432060000000000C4 +:0432070000000000C3 +:0432080000000000C2 +:0432090000000000C1 +:04320A0000000000C0 +:04320B0000000000BF +:04320C0000000000BE +:04320D0000000000BD +:04320E0000000000BC +:04320F0000000000BB +:0432100000000000BA +:0432110000000000B9 +:0432120000000000B8 +:0432130000000000B7 +:0432140000000000B6 +:0432150000000000B5 +:0432160000000000B4 +:0432170000000000B3 +:0432180000000000B2 +:0432190000000000B1 +:04321A0000000000B0 +:04321B0000000000AF +:04321C0000000000AE +:04321D0000000000AD +:04321E0000000000AC +:04321F0000000000AB +:0432200000000000AA +:0432210000000000A9 +:0432220000000000A8 +:0432230000000000A7 +:0432240000000000A6 +:0432250000000000A5 +:0432260000000000A4 +:0432270000000000A3 +:0432280000000000A2 +:0432290000000000A1 +:04322A0000000000A0 +:04322B00000000009F +:04322C00000000009E +:04322D00000000009D +:04322E00000000009C +:04322F00000000009B +:04323000000000009A +:043231000000000099 +:043232000000000098 +:043233000000000097 +:043234000000000096 +:043235000000000095 +:043236000000000094 +:043237000000000093 +:043238000000000092 +:043239000000000091 +:04323A000000000090 +:04323B00000000008F +:04323C00000000008E +:04323D00000000008D +:04323E00000000008C +:04323F00000000008B +:04324000000000008A +:043241000000000089 +:043242000000000088 +:043243000000000087 +:043244000000000086 +:043245000000000085 +:043246000000000084 +:043247000000000083 +:043248000000000082 +:043249000000000081 +:04324A000000000080 +:04324B00000000007F +:04324C00000000007E +:04324D00000000007D +:04324E00000000007C +:04324F00000000007B +:04325000000000007A +:043251000000000079 +:043252000000000078 +:043253000000000077 +:043254000000000076 +:043255000000000075 +:043256000000000074 +:043257000000000073 +:043258000000000072 +:043259000000000071 +:04325A000000000070 +:04325B00000000006F +:04325C00000000006E +:04325D00000000006D +:04325E00000000006C +:04325F00000000006B +:04326000000000006A +:043261000000000069 +:043262000000000068 +:043263000000000067 +:043264000000000066 +:043265000000000065 +:043266000000000064 +:043267000000000063 +:043268000000000062 +:043269000000000061 +:04326A000000000060 +:04326B00000000005F +:04326C00000000005E +:04326D00000000005D +:04326E00000000005C +:04326F00000000005B +:04327000000000005A +:043271000000000059 +:043272000000000058 +:043273000000000057 +:043274000000000056 +:043275000000000055 +:043276000000000054 +:043277000000000053 +:043278000000000052 +:043279000000000051 +:04327A000000000050 +:04327B00000000004F +:04327C00000000004E +:04327D00000000004D +:04327E00000000004C +:04327F00000000004B +:04328000000000004A +:043281000000000049 +:043282000000000048 +:043283000000000047 +:043284000000000046 +:043285000000000045 +:043286000000000044 +:043287000000000043 +:043288000000000042 +:043289000000000041 +:04328A000000000040 +:04328B00000000003F +:04328C00000000003E +:04328D00000000003D +:04328E00000000003C +:04328F00000000003B +:04329000000000003A +:043291000000000039 +:043292000000000038 +:043293000000000037 +:043294000000000036 +:043295000000000035 +:043296000000000034 +:043297000000000033 +:043298000000000032 +:043299000000000031 +:04329A000000000030 +:04329B00000000002F +:04329C00000000002E +:04329D00000000002D +:04329E00000000002C +:04329F00000000002B +:0432A000000000002A +:0432A1000000000029 +:0432A2000000000028 +:0432A3000000000027 +:0432A4000000000026 +:0432A5000000000025 +:0432A6000000000024 +:0432A7000000000023 +:0432A8000000000022 +:0432A9000000000021 +:0432AA000000000020 +:0432AB00000000001F +:0432AC00000000001E +:0432AD00000000001D +:0432AE00000000001C +:0432AF00000000001B +:0432B000000000001A +:0432B1000000000019 +:0432B2000000000018 +:0432B3000000000017 +:0432B4000000000016 +:0432B5000000000015 +:0432B6000000000014 +:0432B7000000000013 +:0432B8000000000012 +:0432B9000000000011 +:0432BA000000000010 +:0432BB00000000000F +:0432BC00000000000E +:0432BD00000000000D +:0432BE00000000000C +:0432BF00000000000B +:0432C000000000000A +:0432C1000000000009 +:0432C2000000000008 +:0432C3000000000007 +:0432C4000000000006 +:0432C5000000000005 +:0432C6000000000004 +:0432C7000000000003 +:0432C8000000000002 +:0432C9000000000001 +:0432CA000000000000 +:0432CB0000000000FF +:0432CC0000000000FE +:0432CD0000000000FD +:0432CE0000000000FC +:0432CF0000000000FB +:0432D00000000000FA +:0432D10000000000F9 +:0432D20000000000F8 +:0432D30000000000F7 +:0432D40000000000F6 +:0432D50000000000F5 +:0432D60000000000F4 +:0432D70000000000F3 +:0432D80000000000F2 +:0432D90000000000F1 +:0432DA0000000000F0 +:0432DB0000000000EF +:0432DC0000000000EE +:0432DD0000000000ED +:0432DE0000000000EC +:0432DF0000000000EB +:0432E00000000000EA +:0432E10000000000E9 +:0432E20000000000E8 +:0432E30000000000E7 +:0432E40000000000E6 +:0432E50000000000E5 +:0432E60000000000E4 +:0432E70000000000E3 +:0432E80000000000E2 +:0432E90000000000E1 +:0432EA0000000000E0 +:0432EB0000000000DF +:0432EC0000000000DE +:0432ED0000000000DD +:0432EE0000000000DC +:0432EF0000000000DB +:0432F00000000000DA +:0432F10000000000D9 +:0432F20000000000D8 +:0432F30000000000D7 +:0432F40000000000D6 +:0432F50000000000D5 +:0432F60000000000D4 +:0432F70000000000D3 +:0432F80000000000D2 +:0432F90000000000D1 +:0432FA0000000000D0 +:0432FB0000000000CF +:0432FC0000000000CE +:0432FD0000000000CD +:0432FE0000000000CC +:0432FF0000000000CB +:0433000000000000C9 +:0433010000000000C8 +:0433020000000000C7 +:0433030000000000C6 +:0433040000000000C5 +:0433050000000000C4 +:0433060000000000C3 +:0433070000000000C2 +:0433080000000000C1 +:0433090000000000C0 +:04330A0000000000BF +:04330B0000000000BE +:04330C0000000000BD +:04330D0000000000BC +:04330E0000000000BB +:04330F0000000000BA +:0433100000000000B9 +:0433110000000000B8 +:0433120000000000B7 +:0433130000000000B6 +:0433140000000000B5 +:0433150000000000B4 +:0433160000000000B3 +:0433170000000000B2 +:0433180000000000B1 +:0433190000000000B0 +:04331A0000000000AF +:04331B0000000000AE +:04331C0000000000AD +:04331D0000000000AC +:04331E0000000000AB +:04331F0000000000AA +:0433200000000000A9 +:0433210000000000A8 +:0433220000000000A7 +:0433230000000000A6 +:0433240000000000A5 +:0433250000000000A4 +:0433260000000000A3 +:0433270000000000A2 +:0433280000000000A1 +:0433290000000000A0 +:04332A00000000009F +:04332B00000000009E +:04332C00000000009D +:04332D00000000009C +:04332E00000000009B +:04332F00000000009A +:043330000000000099 +:043331000000000098 +:043332000000000097 +:043333000000000096 +:043334000000000095 +:043335000000000094 +:043336000000000093 +:043337000000000092 +:043338000000000091 +:043339000000000090 +:04333A00000000008F +:04333B00000000008E +:04333C00000000008D +:04333D00000000008C +:04333E00000000008B +:04333F00000000008A +:043340000000000089 +:043341000000000088 +:043342000000000087 +:043343000000000086 +:043344000000000085 +:043345000000000084 +:043346000000000083 +:043347000000000082 +:043348000000000081 +:043349000000000080 +:04334A00000000007F +:04334B00000000007E +:04334C00000000007D +:04334D00000000007C +:04334E00000000007B +:04334F00000000007A +:043350000000000079 +:043351000000000078 +:043352000000000077 +:043353000000000076 +:043354000000000075 +:043355000000000074 +:043356000000000073 +:043357000000000072 +:043358000000000071 +:043359000000000070 +:04335A00000000006F +:04335B00000000006E +:04335C00000000006D +:04335D00000000006C +:04335E00000000006B +:04335F00000000006A +:043360000000000069 +:043361000000000068 +:043362000000000067 +:043363000000000066 +:043364000000000065 +:043365000000000064 +:043366000000000063 +:043367000000000062 +:043368000000000061 +:043369000000000060 +:04336A00000000005F +:04336B00000000005E +:04336C00000000005D +:04336D00000000005C +:04336E00000000005B +:04336F00000000005A +:043370000000000059 +:043371000000000058 +:043372000000000057 +:043373000000000056 +:043374000000000055 +:043375000000000054 +:043376000000000053 +:043377000000000052 +:043378000000000051 +:043379000000000050 +:04337A00000000004F +:04337B00000000004E +:04337C00000000004D +:04337D00000000004C +:04337E00000000004B +:04337F00000000004A +:043380000000000049 +:043381000000000048 +:043382000000000047 +:043383000000000046 +:043384000000000045 +:043385000000000044 +:043386000000000043 +:043387000000000042 +:043388000000000041 +:043389000000000040 +:04338A00000000003F +:04338B00000000003E +:04338C00000000003D +:04338D00000000003C +:04338E00000000003B +:04338F00000000003A +:043390000000000039 +:043391000000000038 +:043392000000000037 +:043393000000000036 +:043394000000000035 +:043395000000000034 +:043396000000000033 +:043397000000000032 +:043398000000000031 +:043399000000000030 +:04339A00000000002F +:04339B00000000002E +:04339C00000000002D +:04339D00000000002C +:04339E00000000002B +:04339F00000000002A +:0433A0000000000029 +:0433A1000000000028 +:0433A2000000000027 +:0433A3000000000026 +:0433A4000000000025 +:0433A5000000000024 +:0433A6000000000023 +:0433A7000000000022 +:0433A8000000000021 +:0433A9000000000020 +:0433AA00000000001F +:0433AB00000000001E +:0433AC00000000001D +:0433AD00000000001C +:0433AE00000000001B +:0433AF00000000001A +:0433B0000000000019 +:0433B1000000000018 +:0433B2000000000017 +:0433B3000000000016 +:0433B4000000000015 +:0433B5000000000014 +:0433B6000000000013 +:0433B7000000000012 +:0433B8000000000011 +:0433B9000000000010 +:0433BA00000000000F +:0433BB00000000000E +:0433BC00000000000D +:0433BD00000000000C +:0433BE00000000000B +:0433BF00000000000A +:0433C0000000000009 +:0433C1000000000008 +:0433C2000000000007 +:0433C3000000000006 +:0433C4000000000005 +:0433C5000000000004 +:0433C6000000000003 +:0433C7000000000002 +:0433C8000000000001 +:0433C9000000000000 +:0433CA0000000000FF +:0433CB0000000000FE +:0433CC0000000000FD +:0433CD0000000000FC +:0433CE0000000000FB +:0433CF0000000000FA +:0433D00000000000F9 +:0433D10000000000F8 +:0433D20000000000F7 +:0433D30000000000F6 +:0433D40000000000F5 +:0433D50000000000F4 +:0433D60000000000F3 +:0433D70000000000F2 +:0433D80000000000F1 +:0433D90000000000F0 +:0433DA0000000000EF +:0433DB0000000000EE +:0433DC0000000000ED +:0433DD0000000000EC +:0433DE0000000000EB +:0433DF0000000000EA +:0433E00000000000E9 +:0433E10000000000E8 +:0433E20000000000E7 +:0433E30000000000E6 +:0433E40000000000E5 +:0433E50000000000E4 +:0433E60000000000E3 +:0433E70000000000E2 +:0433E80000000000E1 +:0433E90000000000E0 +:0433EA0000000000DF +:0433EB0000000000DE +:0433EC0000000000DD +:0433ED0000000000DC +:0433EE0000000000DB +:0433EF0000000000DA +:0433F00000000000D9 +:0433F10000000000D8 +:0433F20000000000D7 +:0433F30000000000D6 +:0433F40000000000D5 +:0433F50000000000D4 +:0433F60000000000D3 +:0433F70000000000D2 +:0433F80000000000D1 +:0433F90000000000D0 +:0433FA0000000000CF +:0433FB0000000000CE +:0433FC0000000000CD +:0433FD0000000000CC +:0433FE0000000000CB +:0433FF0000000000CA +:0434000000000000C8 +:0434010000000000C7 +:0434020000000000C6 +:0434030000000000C5 +:0434040000000000C4 +:0434050000000000C3 +:0434060000000000C2 +:0434070000000000C1 +:0434080000000000C0 +:0434090000000000BF +:04340A0000000000BE +:04340B0000000000BD +:04340C0000000000BC +:04340D0000000000BB +:04340E0000000000BA +:04340F0000000000B9 +:0434100000000000B8 +:0434110000000000B7 +:0434120000000000B6 +:0434130000000000B5 +:0434140000000000B4 +:0434150000000000B3 +:0434160000000000B2 +:0434170000000000B1 +:0434180000000000B0 +:0434190000000000AF +:04341A0000000000AE +:04341B0000000000AD +:04341C0000000000AC +:04341D0000000000AB +:04341E0000000000AA +:04341F0000000000A9 +:0434200000000000A8 +:0434210000000000A7 +:0434220000000000A6 +:0434230000000000A5 +:0434240000000000A4 +:0434250000000000A3 +:0434260000000000A2 +:0434270000000000A1 +:0434280000000000A0 +:04342900000000009F +:04342A00000000009E +:04342B00000000009D +:04342C00000000009C +:04342D00000000009B +:04342E00000000009A +:04342F000000000099 +:043430000000000098 +:043431000000000097 +:043432000000000096 +:043433000000000095 +:043434000000000094 +:043435000000000093 +:043436000000000092 +:043437000000000091 +:043438000000000090 +:04343900000000008F +:04343A00000000008E +:04343B00000000008D +:04343C00000000008C +:04343D00000000008B +:04343E00000000008A +:04343F000000000089 +:043440000000000088 +:043441000000000087 +:043442000000000086 +:043443000000000085 +:043444000000000084 +:043445000000000083 +:043446000000000082 +:043447000000000081 +:043448000000000080 +:04344900000000007F +:04344A00000000007E +:04344B00000000007D +:04344C00000000007C +:04344D00000000007B +:04344E00000000007A +:04344F000000000079 +:043450000000000078 +:043451000000000077 +:043452000000000076 +:043453000000000075 +:043454000000000074 +:043455000000000073 +:043456000000000072 +:043457000000000071 +:043458000000000070 +:04345900000000006F +:04345A00000000006E +:04345B00000000006D +:04345C00000000006C +:04345D00000000006B +:04345E00000000006A +:04345F000000000069 +:043460000000000068 +:043461000000000067 +:043462000000000066 +:043463000000000065 +:043464000000000064 +:043465000000000063 +:043466000000000062 +:043467000000000061 +:043468000000000060 +:04346900000000005F +:04346A00000000005E +:04346B00000000005D +:04346C00000000005C +:04346D00000000005B +:04346E00000000005A +:04346F000000000059 +:043470000000000058 +:043471000000000057 +:043472000000000056 +:043473000000000055 +:043474000000000054 +:043475000000000053 +:043476000000000052 +:043477000000000051 +:043478000000000050 +:04347900000000004F +:04347A00000000004E +:04347B00000000004D +:04347C00000000004C +:04347D00000000004B +:04347E00000000004A +:04347F000000000049 +:043480000000000048 +:043481000000000047 +:043482000000000046 +:043483000000000045 +:043484000000000044 +:043485000000000043 +:043486000000000042 +:043487000000000041 +:043488000000000040 +:04348900000000003F +:04348A00000000003E +:04348B00000000003D +:04348C00000000003C +:04348D00000000003B +:04348E00000000003A +:04348F000000000039 +:043490000000000038 +:043491000000000037 +:043492000000000036 +:043493000000000035 +:043494000000000034 +:043495000000000033 +:043496000000000032 +:043497000000000031 +:043498000000000030 +:04349900000000002F +:04349A00000000002E +:04349B00000000002D +:04349C00000000002C +:04349D00000000002B +:04349E00000000002A +:04349F000000000029 +:0434A0000000000028 +:0434A1000000000027 +:0434A2000000000026 +:0434A3000000000025 +:0434A4000000000024 +:0434A5000000000023 +:0434A6000000000022 +:0434A7000000000021 +:0434A8000000000020 +:0434A900000000001F +:0434AA00000000001E +:0434AB00000000001D +:0434AC00000000001C +:0434AD00000000001B +:0434AE00000000001A +:0434AF000000000019 +:0434B0000000000018 +:0434B1000000000017 +:0434B2000000000016 +:0434B3000000000015 +:0434B4000000000014 +:0434B5000000000013 +:0434B6000000000012 +:0434B7000000000011 +:0434B8000000000010 +:0434B900000000000F +:0434BA00000000000E +:0434BB00000000000D +:0434BC00000000000C +:0434BD00000000000B +:0434BE00000000000A +:0434BF000000000009 +:0434C0000000000008 +:0434C1000000000007 +:0434C2000000000006 +:0434C3000000000005 +:0434C4000000000004 +:0434C5000000000003 +:0434C6000000000002 +:0434C7000000000001 +:0434C8000000000000 +:0434C90000000000FF +:0434CA0000000000FE +:0434CB0000000000FD +:0434CC0000000000FC +:0434CD0000000000FB +:0434CE0000000000FA +:0434CF0000000000F9 +:0434D00000000000F8 +:0434D10000000000F7 +:0434D20000000000F6 +:0434D30000000000F5 +:0434D40000000000F4 +:0434D50000000000F3 +:0434D60000000000F2 +:0434D70000000000F1 +:0434D80000000000F0 +:0434D90000000000EF +:0434DA0000000000EE +:0434DB0000000000ED +:0434DC0000000000EC +:0434DD0000000000EB +:0434DE0000000000EA +:0434DF0000000000E9 +:0434E00000000000E8 +:0434E10000000000E7 +:0434E20000000000E6 +:0434E30000000000E5 +:0434E40000000000E4 +:0434E50000000000E3 +:0434E60000000000E2 +:0434E70000000000E1 +:0434E80000000000E0 +:0434E90000000000DF +:0434EA0000000000DE +:0434EB0000000000DD +:0434EC0000000000DC +:0434ED0000000000DB +:0434EE0000000000DA +:0434EF0000000000D9 +:0434F00000000000D8 +:0434F10000000000D7 +:0434F20000000000D6 +:0434F30000000000D5 +:0434F40000000000D4 +:0434F50000000000D3 +:0434F60000000000D2 +:0434F70000000000D1 +:0434F80000000000D0 +:0434F90000000000CF +:0434FA0000000000CE +:0434FB0000000000CD +:0434FC0000000000CC +:0434FD0000000000CB +:0434FE0000000000CA +:0434FF0000000000C9 +:0435000000000000C7 +:0435010000000000C6 +:0435020000000000C5 +:0435030000000000C4 +:0435040000000000C3 +:0435050000000000C2 +:0435060000000000C1 +:0435070000000000C0 +:0435080000000000BF +:0435090000000000BE +:04350A0000000000BD +:04350B0000000000BC +:04350C0000000000BB +:04350D0000000000BA +:04350E0000000000B9 +:04350F0000000000B8 +:0435100000000000B7 +:0435110000000000B6 +:0435120000000000B5 +:0435130000000000B4 +:0435140000000000B3 +:0435150000000000B2 +:0435160000000000B1 +:0435170000000000B0 +:0435180000000000AF +:0435190000000000AE +:04351A0000000000AD +:04351B0000000000AC +:04351C0000000000AB +:04351D0000000000AA +:04351E0000000000A9 +:04351F0000000000A8 +:0435200000000000A7 +:0435210000000000A6 +:0435220000000000A5 +:0435230000000000A4 +:0435240000000000A3 +:0435250000000000A2 +:0435260000000000A1 +:0435270000000000A0 +:04352800000000009F +:04352900000000009E +:04352A00000000009D +:04352B00000000009C +:04352C00000000009B +:04352D00000000009A +:04352E000000000099 +:04352F000000000098 +:043530000000000097 +:043531000000000096 +:043532000000000095 +:043533000000000094 +:043534000000000093 +:043535000000000092 +:043536000000000091 +:043537000000000090 +:04353800000000008F +:04353900000000008E +:04353A00000000008D +:04353B00000000008C +:04353C00000000008B +:04353D00000000008A +:04353E000000000089 +:04353F000000000088 +:043540000000000087 +:043541000000000086 +:043542000000000085 +:043543000000000084 +:043544000000000083 +:043545000000000082 +:043546000000000081 +:043547000000000080 +:04354800000000007F +:04354900000000007E +:04354A00000000007D +:04354B00000000007C +:04354C00000000007B +:04354D00000000007A +:04354E000000000079 +:04354F000000000078 +:043550000000000077 +:043551000000000076 +:043552000000000075 +:043553000000000074 +:043554000000000073 +:043555000000000072 +:043556000000000071 +:043557000000000070 +:04355800000000006F +:04355900000000006E +:04355A00000000006D +:04355B00000000006C +:04355C00000000006B +:04355D00000000006A +:04355E000000000069 +:04355F000000000068 +:043560000000000067 +:043561000000000066 +:043562000000000065 +:043563000000000064 +:043564000000000063 +:043565000000000062 +:043566000000000061 +:043567000000000060 +:04356800000000005F +:04356900000000005E +:04356A00000000005D +:04356B00000000005C +:04356C00000000005B +:04356D00000000005A +:04356E000000000059 +:04356F000000000058 +:043570000000000057 +:043571000000000056 +:043572000000000055 +:043573000000000054 +:043574000000000053 +:043575000000000052 +:043576000000000051 +:043577000000000050 +:04357800000000004F +:04357900000000004E +:04357A00000000004D +:04357B00000000004C +:04357C00000000004B +:04357D00000000004A +:04357E000000000049 +:04357F000000000048 +:043580000000000047 +:043581000000000046 +:043582000000000045 +:043583000000000044 +:043584000000000043 +:043585000000000042 +:043586000000000041 +:043587000000000040 +:04358800000000003F +:04358900000000003E +:04358A00000000003D +:04358B00000000003C +:04358C00000000003B +:04358D00000000003A +:04358E000000000039 +:04358F000000000038 +:043590000000000037 +:043591000000000036 +:043592000000000035 +:043593000000000034 +:043594000000000033 +:043595000000000032 +:043596000000000031 +:043597000000000030 +:04359800000000002F +:04359900000000002E +:04359A00000000002D +:04359B00000000002C +:04359C00000000002B +:04359D00000000002A +:04359E000000000029 +:04359F000000000028 +:0435A0000000000027 +:0435A1000000000026 +:0435A2000000000025 +:0435A3000000000024 +:0435A4000000000023 +:0435A5000000000022 +:0435A6000000000021 +:0435A7000000000020 +:0435A800000000001F +:0435A900000000001E +:0435AA00000000001D +:0435AB00000000001C +:0435AC00000000001B +:0435AD00000000001A +:0435AE000000000019 +:0435AF000000000018 +:0435B0000000000017 +:0435B1000000000016 +:0435B2000000000015 +:0435B3000000000014 +:0435B4000000000013 +:0435B5000000000012 +:0435B6000000000011 +:0435B7000000000010 +:0435B800000000000F +:0435B900000000000E +:0435BA00000000000D +:0435BB00000000000C +:0435BC00000000000B +:0435BD00000000000A +:0435BE000000000009 +:0435BF000000000008 +:0435C0000000000007 +:0435C1000000000006 +:0435C2000000000005 +:0435C3000000000004 +:0435C4000000000003 +:0435C5000000000002 +:0435C6000000000001 +:0435C7000000000000 +:0435C80000000000FF +:0435C90000000000FE +:0435CA0000000000FD +:0435CB0000000000FC +:0435CC0000000000FB +:0435CD0000000000FA +:0435CE0000000000F9 +:0435CF0000000000F8 +:0435D00000000000F7 +:0435D10000000000F6 +:0435D20000000000F5 +:0435D30000000000F4 +:0435D40000000000F3 +:0435D50000000000F2 +:0435D60000000000F1 +:0435D70000000000F0 +:0435D80000000000EF +:0435D90000000000EE +:0435DA0000000000ED +:0435DB0000000000EC +:0435DC0000000000EB +:0435DD0000000000EA +:0435DE0000000000E9 +:0435DF0000000000E8 +:0435E00000000000E7 +:0435E10000000000E6 +:0435E20000000000E5 +:0435E30000000000E4 +:0435E40000000000E3 +:0435E50000000000E2 +:0435E60000000000E1 +:0435E70000000000E0 +:0435E80000000000DF +:0435E90000000000DE +:0435EA0000000000DD +:0435EB0000000000DC +:0435EC0000000000DB +:0435ED0000000000DA +:0435EE0000000000D9 +:0435EF0000000000D8 +:0435F00000000000D7 +:0435F10000000000D6 +:0435F20000000000D5 +:0435F30000000000D4 +:0435F40000000000D3 +:0435F50000000000D2 +:0435F60000000000D1 +:0435F70000000000D0 +:0435F80000000000CF +:0435F90000000000CE +:0435FA0000000000CD +:0435FB0000000000CC +:0435FC0000000000CB +:0435FD0000000000CA +:0435FE0000000000C9 +:0435FF0000000000C8 +:0436000000000000C6 +:0436010000000000C5 +:0436020000000000C4 +:0436030000000000C3 +:0436040000000000C2 +:0436050000000000C1 +:0436060000000000C0 +:0436070000000000BF +:0436080000000000BE +:0436090000000000BD +:04360A0000000000BC +:04360B0000000000BB +:04360C0000000000BA +:04360D0000000000B9 +:04360E0000000000B8 +:04360F0000000000B7 +:0436100000000000B6 +:0436110000000000B5 +:0436120000000000B4 +:0436130000000000B3 +:0436140000000000B2 +:0436150000000000B1 +:0436160000000000B0 +:0436170000000000AF +:0436180000000000AE +:0436190000000000AD +:04361A0000000000AC +:04361B0000000000AB +:04361C0000000000AA +:04361D0000000000A9 +:04361E0000000000A8 +:04361F0000000000A7 +:0436200000000000A6 +:0436210000000000A5 +:0436220000000000A4 +:0436230000000000A3 +:0436240000000000A2 +:0436250000000000A1 +:0436260000000000A0 +:04362700000000009F +:04362800000000009E +:04362900000000009D +:04362A00000000009C +:04362B00000000009B +:04362C00000000009A +:04362D000000000099 +:04362E000000000098 +:04362F000000000097 +:043630000000000096 +:043631000000000095 +:043632000000000094 +:043633000000000093 +:043634000000000092 +:043635000000000091 +:043636000000000090 +:04363700000000008F +:04363800000000008E +:04363900000000008D +:04363A00000000008C +:04363B00000000008B +:04363C00000000008A +:04363D000000000089 +:04363E000000000088 +:04363F000000000087 +:043640000000000086 +:043641000000000085 +:043642000000000084 +:043643000000000083 +:043644000000000082 +:043645000000000081 +:043646000000000080 +:04364700000000007F +:04364800000000007E +:04364900000000007D +:04364A00000000007C +:04364B00000000007B +:04364C00000000007A +:04364D000000000079 +:04364E000000000078 +:04364F000000000077 +:043650000000000076 +:043651000000000075 +:043652000000000074 +:043653000000000073 +:043654000000000072 +:043655000000000071 +:043656000000000070 +:04365700000000006F +:04365800000000006E +:04365900000000006D +:04365A00000000006C +:04365B00000000006B +:04365C00000000006A +:04365D000000000069 +:04365E000000000068 +:04365F000000000067 +:043660000000000066 +:043661000000000065 +:043662000000000064 +:043663000000000063 +:043664000000000062 +:043665000000000061 +:043666000000000060 +:04366700000000005F +:04366800000000005E +:04366900000000005D +:04366A00000000005C +:04366B00000000005B +:04366C00000000005A +:04366D000000000059 +:04366E000000000058 +:04366F000000000057 +:043670000000000056 +:043671000000000055 +:043672000000000054 +:043673000000000053 +:043674000000000052 +:043675000000000051 +:043676000000000050 +:04367700000000004F +:04367800000000004E +:04367900000000004D +:04367A00000000004C +:04367B00000000004B +:04367C00000000004A +:04367D000000000049 +:04367E000000000048 +:04367F000000000047 +:043680000000000046 +:043681000000000045 +:043682000000000044 +:043683000000000043 +:043684000000000042 +:043685000000000041 +:043686000000000040 +:04368700000000003F +:04368800000000003E +:04368900000000003D +:04368A00000000003C +:04368B00000000003B +:04368C00000000003A +:04368D000000000039 +:04368E000000000038 +:04368F000000000037 +:043690000000000036 +:043691000000000035 +:043692000000000034 +:043693000000000033 +:043694000000000032 +:043695000000000031 +:043696000000000030 +:04369700000000002F +:04369800000000002E +:04369900000000002D +:04369A00000000002C +:04369B00000000002B +:04369C00000000002A +:04369D000000000029 +:04369E000000000028 +:04369F000000000027 +:0436A0000000000026 +:0436A1000000000025 +:0436A2000000000024 +:0436A3000000000023 +:0436A4000000000022 +:0436A5000000000021 +:0436A6000000000020 +:0436A700000000001F +:0436A800000000001E +:0436A900000000001D +:0436AA00000000001C +:0436AB00000000001B +:0436AC00000000001A +:0436AD000000000019 +:0436AE000000000018 +:0436AF000000000017 +:0436B0000000000016 +:0436B1000000000015 +:0436B2000000000014 +:0436B3000000000013 +:0436B4000000000012 +:0436B5000000000011 +:0436B6000000000010 +:0436B700000000000F +:0436B800000000000E +:0436B900000000000D +:0436BA00000000000C +:0436BB00000000000B +:0436BC00000000000A +:0436BD000000000009 +:0436BE000000000008 +:0436BF000000000007 +:0436C0000000000006 +:0436C1000000000005 +:0436C2000000000004 +:0436C3000000000003 +:0436C4000000000002 +:0436C5000000000001 +:0436C6000000000000 +:0436C70000000000FF +:0436C80000000000FE +:0436C90000000000FD +:0436CA0000000000FC +:0436CB0000000000FB +:0436CC0000000000FA +:0436CD0000000000F9 +:0436CE0000000000F8 +:0436CF0000000000F7 +:0436D00000000000F6 +:0436D10000000000F5 +:0436D20000000000F4 +:0436D30000000000F3 +:0436D40000000000F2 +:0436D50000000000F1 +:0436D60000000000F0 +:0436D70000000000EF +:0436D80000000000EE +:0436D90000000000ED +:0436DA0000000000EC +:0436DB0000000000EB +:0436DC0000000000EA +:0436DD0000000000E9 +:0436DE0000000000E8 +:0436DF0000000000E7 +:0436E00000000000E6 +:0436E10000000000E5 +:0436E20000000000E4 +:0436E30000000000E3 +:0436E40000000000E2 +:0436E50000000000E1 +:0436E60000000000E0 +:0436E70000000000DF +:0436E80000000000DE +:0436E90000000000DD +:0436EA0000000000DC +:0436EB0000000000DB +:0436EC0000000000DA +:0436ED0000000000D9 +:0436EE0000000000D8 +:0436EF0000000000D7 +:0436F00000000000D6 +:0436F10000000000D5 +:0436F20000000000D4 +:0436F30000000000D3 +:0436F40000000000D2 +:0436F50000000000D1 +:0436F60000000000D0 +:0436F70000000000CF +:0436F80000000000CE +:0436F90000000000CD +:0436FA0000000000CC +:0436FB0000000000CB +:0436FC0000000000CA +:0436FD0000000000C9 +:0436FE0000000000C8 +:0436FF0000000000C7 +:0437000000000000C5 +:0437010000000000C4 +:0437020000000000C3 +:0437030000000000C2 +:0437040000000000C1 +:0437050000000000C0 +:0437060000000000BF +:0437070000000000BE +:0437080000000000BD +:0437090000000000BC +:04370A0000000000BB +:04370B0000000000BA +:04370C0000000000B9 +:04370D0000000000B8 +:04370E0000000000B7 +:04370F0000000000B6 +:0437100000000000B5 +:0437110000000000B4 +:0437120000000000B3 +:0437130000000000B2 +:0437140000000000B1 +:0437150000000000B0 +:0437160000000000AF +:0437170000000000AE +:0437180000000000AD +:0437190000000000AC +:04371A0000000000AB +:04371B0000000000AA +:04371C0000000000A9 +:04371D0000000000A8 +:04371E0000000000A7 +:04371F0000000000A6 +:0437200000000000A5 +:0437210000000000A4 +:0437220000000000A3 +:0437230000000000A2 +:0437240000000000A1 +:0437250000000000A0 +:04372600000000009F +:04372700000000009E +:04372800000000009D +:04372900000000009C +:04372A00000000009B +:04372B00000000009A +:04372C000000000099 +:04372D000000000098 +:04372E000000000097 +:04372F000000000096 +:043730000000000095 +:043731000000000094 +:043732000000000093 +:043733000000000092 +:043734000000000091 +:043735000000000090 +:04373600000000008F +:04373700000000008E +:04373800000000008D +:04373900000000008C +:04373A00000000008B +:04373B00000000008A +:04373C000000000089 +:04373D000000000088 +:04373E000000000087 +:04373F000000000086 +:043740000000000085 +:043741000000000084 +:043742000000000083 +:043743000000000082 +:043744000000000081 +:043745000000000080 +:04374600000000007F +:04374700000000007E +:04374800000000007D +:04374900000000007C +:04374A00000000007B +:04374B00000000007A +:04374C000000000079 +:04374D000000000078 +:04374E000000000077 +:04374F000000000076 +:043750000000000075 +:043751000000000074 +:043752000000000073 +:043753000000000072 +:043754000000000071 +:043755000000000070 +:04375600000000006F +:04375700000000006E +:04375800000000006D +:04375900000000006C +:04375A00000000006B +:04375B00000000006A +:04375C000000000069 +:04375D000000000068 +:04375E000000000067 +:04375F000000000066 +:043760000000000065 +:043761000000000064 +:043762000000000063 +:043763000000000062 +:043764000000000061 +:043765000000000060 +:04376600000000005F +:04376700000000005E +:04376800000000005D +:04376900000000005C +:04376A00000000005B +:04376B00000000005A +:04376C000000000059 +:04376D000000000058 +:04376E000000000057 +:04376F000000000056 +:043770000000000055 +:043771000000000054 +:043772000000000053 +:043773000000000052 +:043774000000000051 +:043775000000000050 +:04377600000000004F +:04377700000000004E +:04377800000000004D +:04377900000000004C +:04377A00000000004B +:04377B00000000004A +:04377C000000000049 +:04377D000000000048 +:04377E000000000047 +:04377F000000000046 +:043780000000000045 +:043781000000000044 +:043782000000000043 +:043783000000000042 +:043784000000000041 +:043785000000000040 +:04378600000000003F +:04378700000000003E +:04378800000000003D +:04378900000000003C +:04378A00000000003B +:04378B00000000003A +:04378C000000000039 +:04378D000000000038 +:04378E000000000037 +:04378F000000000036 +:043790000000000035 +:043791000000000034 +:043792000000000033 +:043793000000000032 +:043794000000000031 +:043795000000000030 +:04379600000000002F +:04379700000000002E +:04379800000000002D +:04379900000000002C +:04379A00000000002B +:04379B00000000002A +:04379C000000000029 +:04379D000000000028 +:04379E000000000027 +:04379F000000000026 +:0437A0000000000025 +:0437A1000000000024 +:0437A2000000000023 +:0437A3000000000022 +:0437A4000000000021 +:0437A5000000000020 +:0437A600000000001F +:0437A700000000001E +:0437A800000000001D +:0437A900000000001C +:0437AA00000000001B +:0437AB00000000001A +:0437AC000000000019 +:0437AD000000000018 +:0437AE000000000017 +:0437AF000000000016 +:0437B0000000000015 +:0437B1000000000014 +:0437B2000000000013 +:0437B3000000000012 +:0437B4000000000011 +:0437B5000000000010 +:0437B600000000000F +:0437B700000000000E +:0437B800000000000D +:0437B900000000000C +:0437BA00000000000B +:0437BB00000000000A +:0437BC000000000009 +:0437BD000000000008 +:0437BE000000000007 +:0437BF000000000006 +:0437C0000000000005 +:0437C1000000000004 +:0437C2000000000003 +:0437C3000000000002 +:0437C4000000000001 +:0437C5000000000000 +:0437C60000000000FF +:0437C70000000000FE +:0437C80000000000FD +:0437C90000000000FC +:0437CA0000000000FB +:0437CB0000000000FA +:0437CC0000000000F9 +:0437CD0000000000F8 +:0437CE0000000000F7 +:0437CF0000000000F6 +:0437D00000000000F5 +:0437D10000000000F4 +:0437D20000000000F3 +:0437D30000000000F2 +:0437D40000000000F1 +:0437D50000000000F0 +:0437D60000000000EF +:0437D70000000000EE +:0437D80000000000ED +:0437D90000000000EC +:0437DA0000000000EB +:0437DB0000000000EA +:0437DC0000000000E9 +:0437DD0000000000E8 +:0437DE0000000000E7 +:0437DF0000000000E6 +:0437E00000000000E5 +:0437E10000000000E4 +:0437E20000000000E3 +:0437E30000000000E2 +:0437E40000000000E1 +:0437E50000000000E0 +:0437E60000000000DF +:0437E70000000000DE +:0437E80000000000DD +:0437E90000000000DC +:0437EA0000000000DB +:0437EB0000000000DA +:0437EC0000000000D9 +:0437ED0000000000D8 +:0437EE0000000000D7 +:0437EF0000000000D6 +:0437F00000000000D5 +:0437F10000000000D4 +:0437F20000000000D3 +:0437F30000000000D2 +:0437F40000000000D1 +:0437F50000000000D0 +:0437F60000000000CF +:0437F70000000000CE +:0437F80000000000CD +:0437F90000000000CC +:0437FA0000000000CB +:0437FB0000000000CA +:0437FC0000000000C9 +:0437FD0000000000C8 +:0437FE0000000000C7 +:0437FF0000000000C6 +:0438000000000000C4 +:0438010000000000C3 +:0438020000000000C2 +:0438030000000000C1 +:0438040000000000C0 +:0438050000000000BF +:0438060000000000BE +:0438070000000000BD +:0438080000000000BC +:0438090000000000BB +:04380A0000000000BA +:04380B0000000000B9 +:04380C0000000000B8 +:04380D0000000000B7 +:04380E0000000000B6 +:04380F0000000000B5 +:0438100000000000B4 +:0438110000000000B3 +:0438120000000000B2 +:0438130000000000B1 +:0438140000000000B0 +:0438150000000000AF +:0438160000000000AE +:0438170000000000AD +:0438180000000000AC +:0438190000000000AB +:04381A0000000000AA +:04381B0000000000A9 +:04381C0000000000A8 +:04381D0000000000A7 +:04381E0000000000A6 +:04381F0000000000A5 +:0438200000000000A4 +:0438210000000000A3 +:0438220000000000A2 +:0438230000000000A1 +:0438240000000000A0 +:04382500000000009F +:04382600000000009E +:04382700000000009D +:04382800000000009C +:04382900000000009B +:04382A00000000009A +:04382B000000000099 +:04382C000000000098 +:04382D000000000097 +:04382E000000000096 +:04382F000000000095 +:043830000000000094 +:043831000000000093 +:043832000000000092 +:043833000000000091 +:043834000000000090 +:04383500000000008F +:04383600000000008E +:04383700000000008D +:04383800000000008C +:04383900000000008B +:04383A00000000008A +:04383B000000000089 +:04383C000000000088 +:04383D000000000087 +:04383E000000000086 +:04383F000000000085 +:043840000000000084 +:043841000000000083 +:043842000000000082 +:043843000000000081 +:043844000000000080 +:04384500000000007F +:04384600000000007E +:04384700000000007D +:04384800000000007C +:04384900000000007B +:04384A00000000007A +:04384B000000000079 +:04384C000000000078 +:04384D000000000077 +:04384E000000000076 +:04384F000000000075 +:043850000000000074 +:043851000000000073 +:043852000000000072 +:043853000000000071 +:043854000000000070 +:04385500000000006F +:04385600000000006E +:04385700000000006D +:04385800000000006C +:04385900000000006B +:04385A00000000006A +:04385B000000000069 +:04385C000000000068 +:04385D000000000067 +:04385E000000000066 +:04385F000000000065 +:043860000000000064 +:043861000000000063 +:043862000000000062 +:043863000000000061 +:043864000000000060 +:04386500000000005F +:04386600000000005E +:04386700000000005D +:04386800000000005C +:04386900000000005B +:04386A00000000005A +:04386B000000000059 +:04386C000000000058 +:04386D000000000057 +:04386E000000000056 +:04386F000000000055 +:043870000000000054 +:043871000000000053 +:043872000000000052 +:043873000000000051 +:043874000000000050 +:04387500000000004F +:04387600000000004E +:04387700000000004D +:04387800000000004C +:04387900000000004B +:04387A00000000004A +:04387B000000000049 +:04387C000000000048 +:04387D000000000047 +:04387E000000000046 +:04387F000000000045 +:043880000000000044 +:043881000000000043 +:043882000000000042 +:043883000000000041 +:043884000000000040 +:04388500000000003F +:04388600000000003E +:04388700000000003D +:04388800000000003C +:04388900000000003B +:04388A00000000003A +:04388B000000000039 +:04388C000000000038 +:04388D000000000037 +:04388E000000000036 +:04388F000000000035 +:043890000000000034 +:043891000000000033 +:043892000000000032 +:043893000000000031 +:043894000000000030 +:04389500000000002F +:04389600000000002E +:04389700000000002D +:04389800000000002C +:04389900000000002B +:04389A00000000002A +:04389B000000000029 +:04389C000000000028 +:04389D000000000027 +:04389E000000000026 +:04389F000000000025 +:0438A0000000000024 +:0438A1000000000023 +:0438A2000000000022 +:0438A3000000000021 +:0438A4000000000020 +:0438A500000000001F +:0438A600000000001E +:0438A700000000001D +:0438A800000000001C +:0438A900000000001B +:0438AA00000000001A +:0438AB000000000019 +:0438AC000000000018 +:0438AD000000000017 +:0438AE000000000016 +:0438AF000000000015 +:0438B0000000000014 +:0438B1000000000013 +:0438B2000000000012 +:0438B3000000000011 +:0438B4000000000010 +:0438B500000000000F +:0438B600000000000E +:0438B700000000000D +:0438B800000000000C +:0438B900000000000B +:0438BA00000000000A +:0438BB000000000009 +:0438BC000000000008 +:0438BD000000000007 +:0438BE000000000006 +:0438BF000000000005 +:0438C0000000000004 +:0438C1000000000003 +:0438C2000000000002 +:0438C3000000000001 +:0438C4000000000000 +:0438C50000000000FF +:0438C60000000000FE +:0438C70000000000FD +:0438C80000000000FC +:0438C90000000000FB +:0438CA0000000000FA +:0438CB0000000000F9 +:0438CC0000000000F8 +:0438CD0000000000F7 +:0438CE0000000000F6 +:0438CF0000000000F5 +:0438D00000000000F4 +:0438D10000000000F3 +:0438D20000000000F2 +:0438D30000000000F1 +:0438D40000000000F0 +:0438D50000000000EF +:0438D60000000000EE +:0438D70000000000ED +:0438D80000000000EC +:0438D90000000000EB +:0438DA0000000000EA +:0438DB0000000000E9 +:0438DC0000000000E8 +:0438DD0000000000E7 +:0438DE0000000000E6 +:0438DF0000000000E5 +:0438E00000000000E4 +:0438E10000000000E3 +:0438E20000000000E2 +:0438E30000000000E1 +:0438E40000000000E0 +:0438E50000000000DF +:0438E60000000000DE +:0438E70000000000DD +:0438E80000000000DC +:0438E90000000000DB +:0438EA0000000000DA +:0438EB0000000000D9 +:0438EC0000000000D8 +:0438ED0000000000D7 +:0438EE0000000000D6 +:0438EF0000000000D5 +:0438F00000000000D4 +:0438F10000000000D3 +:0438F20000000000D2 +:0438F30000000000D1 +:0438F40000000000D0 +:0438F50000000000CF +:0438F60000000000CE +:0438F70000000000CD +:0438F80000000000CC +:0438F90000000000CB +:0438FA0000000000CA +:0438FB0000000000C9 +:0438FC0000000000C8 +:0438FD0000000000C7 +:0438FE0000000000C6 +:0438FF0000000000C5 +:0439000000000000C3 +:0439010000000000C2 +:0439020000000000C1 +:0439030000000000C0 +:0439040000000000BF +:0439050000000000BE +:0439060000000000BD +:0439070000000000BC +:0439080000000000BB +:0439090000000000BA +:04390A0000000000B9 +:04390B0000000000B8 +:04390C0000000000B7 +:04390D0000000000B6 +:04390E0000000000B5 +:04390F0000000000B4 +:0439100000000000B3 +:0439110000000000B2 +:0439120000000000B1 +:0439130000000000B0 +:0439140000000000AF +:0439150000000000AE +:0439160000000000AD +:0439170000000000AC +:0439180000000000AB +:0439190000000000AA +:04391A0000000000A9 +:04391B0000000000A8 +:04391C0000000000A7 +:04391D0000000000A6 +:04391E0000000000A5 +:04391F0000000000A4 +:0439200000000000A3 +:0439210000000000A2 +:0439220000000000A1 +:0439230000000000A0 +:04392400000000009F +:04392500000000009E +:04392600000000009D +:04392700000000009C +:04392800000000009B +:04392900000000009A +:04392A000000000099 +:04392B000000000098 +:04392C000000000097 +:04392D000000000096 +:04392E000000000095 +:04392F000000000094 +:043930000000000093 +:043931000000000092 +:043932000000000091 +:043933000000000090 +:04393400000000008F +:04393500000000008E +:04393600000000008D +:04393700000000008C +:04393800000000008B +:04393900000000008A +:04393A000000000089 +:04393B000000000088 +:04393C000000000087 +:04393D000000000086 +:04393E000000000085 +:04393F000000000084 +:043940000000000083 +:043941000000000082 +:043942000000000081 +:043943000000000080 +:04394400000000007F +:04394500000000007E +:04394600000000007D +:04394700000000007C +:04394800000000007B +:04394900000000007A +:04394A000000000079 +:04394B000000000078 +:04394C000000000077 +:04394D000000000076 +:04394E000000000075 +:04394F000000000074 +:043950000000000073 +:043951000000000072 +:043952000000000071 +:043953000000000070 +:04395400000000006F +:04395500000000006E +:04395600000000006D +:04395700000000006C +:04395800000000006B +:04395900000000006A +:04395A000000000069 +:04395B000000000068 +:04395C000000000067 +:04395D000000000066 +:04395E000000000065 +:04395F000000000064 +:043960000000000063 +:043961000000000062 +:043962000000000061 +:043963000000000060 +:04396400000000005F +:04396500000000005E +:04396600000000005D +:04396700000000005C +:04396800000000005B +:04396900000000005A +:04396A000000000059 +:04396B000000000058 +:04396C000000000057 +:04396D000000000056 +:04396E000000000055 +:04396F000000000054 +:043970000000000053 +:043971000000000052 +:043972000000000051 +:043973000000000050 +:04397400000000004F +:04397500000000004E +:04397600000000004D +:04397700000000004C +:04397800000000004B +:04397900000000004A +:04397A000000000049 +:04397B000000000048 +:04397C000000000047 +:04397D000000000046 +:04397E000000000045 +:04397F000000000044 +:043980000000000043 +:043981000000000042 +:043982000000000041 +:043983000000000040 +:04398400000000003F +:04398500000000003E +:04398600000000003D +:04398700000000003C +:04398800000000003B +:04398900000000003A +:04398A000000000039 +:04398B000000000038 +:04398C000000000037 +:04398D000000000036 +:04398E000000000035 +:04398F000000000034 +:043990000000000033 +:043991000000000032 +:043992000000000031 +:043993000000000030 +:04399400000000002F +:04399500000000002E +:04399600000000002D +:04399700000000002C +:04399800000000002B +:04399900000000002A +:04399A000000000029 +:04399B000000000028 +:04399C000000000027 +:04399D000000000026 +:04399E000000000025 +:04399F000000000024 +:0439A0000000000023 +:0439A1000000000022 +:0439A2000000000021 +:0439A3000000000020 +:0439A400000000001F +:0439A500000000001E +:0439A600000000001D +:0439A700000000001C +:0439A800000000001B +:0439A900000000001A +:0439AA000000000019 +:0439AB000000000018 +:0439AC000000000017 +:0439AD000000000016 +:0439AE000000000015 +:0439AF000000000014 +:0439B0000000000013 +:0439B1000000000012 +:0439B2000000000011 +:0439B3000000000010 +:0439B400000000000F +:0439B500000000000E +:0439B600000000000D +:0439B700000000000C +:0439B800000000000B +:0439B900000000000A +:0439BA000000000009 +:0439BB000000000008 +:0439BC000000000007 +:0439BD000000000006 +:0439BE000000000005 +:0439BF000000000004 +:0439C0000000000003 +:0439C1000000000002 +:0439C2000000000001 +:0439C3000000000000 +:0439C40000000000FF +:0439C50000000000FE +:0439C60000000000FD +:0439C70000000000FC +:0439C80000000000FB +:0439C90000000000FA +:0439CA0000000000F9 +:0439CB0000000000F8 +:0439CC0000000000F7 +:0439CD0000000000F6 +:0439CE0000000000F5 +:0439CF0000000000F4 +:0439D00000000000F3 +:0439D10000000000F2 +:0439D20000000000F1 +:0439D30000000000F0 +:0439D40000000000EF +:0439D50000000000EE +:0439D60000000000ED +:0439D70000000000EC +:0439D80000000000EB +:0439D90000000000EA +:0439DA0000000000E9 +:0439DB0000000000E8 +:0439DC0000000000E7 +:0439DD0000000000E6 +:0439DE0000000000E5 +:0439DF0000000000E4 +:0439E00000000000E3 +:0439E10000000000E2 +:0439E20000000000E1 +:0439E30000000000E0 +:0439E40000000000DF +:0439E50000000000DE +:0439E60000000000DD +:0439E70000000000DC +:0439E80000000000DB +:0439E90000000000DA +:0439EA0000000000D9 +:0439EB0000000000D8 +:0439EC0000000000D7 +:0439ED0000000000D6 +:0439EE0000000000D5 +:0439EF0000000000D4 +:0439F00000000000D3 +:0439F10000000000D2 +:0439F20000000000D1 +:0439F30000000000D0 +:0439F40000000000CF +:0439F50000000000CE +:0439F60000000000CD +:0439F70000000000CC +:0439F80000000000CB +:0439F90000000000CA +:0439FA0000000000C9 +:0439FB0000000000C8 +:0439FC0000000000C7 +:0439FD0000000000C6 +:0439FE0000000000C5 +:0439FF0000000000C4 +:043A000000000000C2 +:043A010000000000C1 +:043A020000000000C0 +:043A030000000000BF +:043A040000000000BE +:043A050000000000BD +:043A060000000000BC +:043A070000000000BB +:043A080000000000BA +:043A090000000000B9 +:043A0A0000000000B8 +:043A0B0000000000B7 +:043A0C0000000000B6 +:043A0D0000000000B5 +:043A0E0000000000B4 +:043A0F0000000000B3 +:043A100000000000B2 +:043A110000000000B1 +:043A120000000000B0 +:043A130000000000AF +:043A140000000000AE +:043A150000000000AD +:043A160000000000AC +:043A170000000000AB +:043A180000000000AA +:043A190000000000A9 +:043A1A0000000000A8 +:043A1B0000000000A7 +:043A1C0000000000A6 +:043A1D0000000000A5 +:043A1E0000000000A4 +:043A1F0000000000A3 +:043A200000000000A2 +:043A210000000000A1 +:043A220000000000A0 +:043A2300000000009F +:043A2400000000009E +:043A2500000000009D +:043A2600000000009C +:043A2700000000009B +:043A2800000000009A +:043A29000000000099 +:043A2A000000000098 +:043A2B000000000097 +:043A2C000000000096 +:043A2D000000000095 +:043A2E000000000094 +:043A2F000000000093 +:043A30000000000092 +:043A31000000000091 +:043A32000000000090 +:043A3300000000008F +:043A3400000000008E +:043A3500000000008D +:043A3600000000008C +:043A3700000000008B +:043A3800000000008A +:043A39000000000089 +:043A3A000000000088 +:043A3B000000000087 +:043A3C000000000086 +:043A3D000000000085 +:043A3E000000000084 +:043A3F000000000083 +:043A40000000000082 +:043A41000000000081 +:043A42000000000080 +:043A4300000000007F +:043A4400000000007E +:043A4500000000007D +:043A4600000000007C +:043A4700000000007B +:043A4800000000007A +:043A49000000000079 +:043A4A000000000078 +:043A4B000000000077 +:043A4C000000000076 +:043A4D000000000075 +:043A4E000000000074 +:043A4F000000000073 +:043A50000000000072 +:043A51000000000071 +:043A52000000000070 +:043A5300000000006F +:043A5400000000006E +:043A5500000000006D +:043A5600000000006C +:043A5700000000006B +:043A5800000000006A +:043A59000000000069 +:043A5A000000000068 +:043A5B000000000067 +:043A5C000000000066 +:043A5D000000000065 +:043A5E000000000064 +:043A5F000000000063 +:043A60000000000062 +:043A61000000000061 +:043A62000000000060 +:043A6300000000005F +:043A6400000000005E +:043A6500000000005D +:043A6600000000005C +:043A6700000000005B +:043A6800000000005A +:043A69000000000059 +:043A6A000000000058 +:043A6B000000000057 +:043A6C000000000056 +:043A6D000000000055 +:043A6E000000000054 +:043A6F000000000053 +:043A70000000000052 +:043A71000000000051 +:043A72000000000050 +:043A7300000000004F +:043A7400000000004E +:043A7500000000004D +:043A7600000000004C +:043A7700000000004B +:043A7800000000004A +:043A79000000000049 +:043A7A000000000048 +:043A7B000000000047 +:043A7C000000000046 +:043A7D000000000045 +:043A7E000000000044 +:043A7F000000000043 +:043A80000000000042 +:043A81000000000041 +:043A82000000000040 +:043A8300000000003F +:043A8400000000003E +:043A8500000000003D +:043A8600000000003C +:043A8700000000003B +:043A8800000000003A +:043A89000000000039 +:043A8A000000000038 +:043A8B000000000037 +:043A8C000000000036 +:043A8D000000000035 +:043A8E000000000034 +:043A8F000000000033 +:043A90000000000032 +:043A91000000000031 +:043A92000000000030 +:043A9300000000002F +:043A9400000000002E +:043A9500000000002D +:043A9600000000002C +:043A9700000000002B +:043A9800000000002A +:043A99000000000029 +:043A9A000000000028 +:043A9B000000000027 +:043A9C000000000026 +:043A9D000000000025 +:043A9E000000000024 +:043A9F000000000023 +:043AA0000000000022 +:043AA1000000000021 +:043AA2000000000020 +:043AA300000000001F +:043AA400000000001E +:043AA500000000001D +:043AA600000000001C +:043AA700000000001B +:043AA800000000001A +:043AA9000000000019 +:043AAA000000000018 +:043AAB000000000017 +:043AAC000000000016 +:043AAD000000000015 +:043AAE000000000014 +:043AAF000000000013 +:043AB0000000000012 +:043AB1000000000011 +:043AB2000000000010 +:043AB300000000000F +:043AB400000000000E +:043AB500000000000D +:043AB600000000000C +:043AB700000000000B +:043AB800000000000A +:043AB9000000000009 +:043ABA000000000008 +:043ABB000000000007 +:043ABC000000000006 +:043ABD000000000005 +:043ABE000000000004 +:043ABF000000000003 +:043AC0000000000002 +:043AC1000000000001 +:043AC2000000000000 +:043AC30000000000FF +:043AC40000000000FE +:043AC50000000000FD +:043AC60000000000FC +:043AC70000000000FB +:043AC80000000000FA +:043AC90000000000F9 +:043ACA0000000000F8 +:043ACB0000000000F7 +:043ACC0000000000F6 +:043ACD0000000000F5 +:043ACE0000000000F4 +:043ACF0000000000F3 +:043AD00000000000F2 +:043AD10000000000F1 +:043AD20000000000F0 +:043AD30000000000EF +:043AD40000000000EE +:043AD50000000000ED +:043AD60000000000EC +:043AD70000000000EB +:043AD80000000000EA +:043AD90000000000E9 +:043ADA0000000000E8 +:043ADB0000000000E7 +:043ADC0000000000E6 +:043ADD0000000000E5 +:043ADE0000000000E4 +:043ADF0000000000E3 +:043AE00000000000E2 +:043AE10000000000E1 +:043AE20000000000E0 +:043AE30000000000DF +:043AE40000000000DE +:043AE50000000000DD +:043AE60000000000DC +:043AE70000000000DB +:043AE80000000000DA +:043AE90000000000D9 +:043AEA0000000000D8 +:043AEB0000000000D7 +:043AEC0000000000D6 +:043AED0000000000D5 +:043AEE0000000000D4 +:043AEF0000000000D3 +:043AF00000000000D2 +:043AF10000000000D1 +:043AF20000000000D0 +:043AF30000000000CF +:043AF40000000000CE +:043AF50000000000CD +:043AF60000000000CC +:043AF70000000000CB +:043AF80000000000CA +:043AF90000000000C9 +:043AFA0000000000C8 +:043AFB0000000000C7 +:043AFC0000000000C6 +:043AFD0000000000C5 +:043AFE0000000000C4 +:043AFF0000000000C3 +:043B000000000000C1 +:043B010000000000C0 +:043B020000000000BF +:043B030000000000BE +:043B040000000000BD +:043B050000000000BC +:043B060000000000BB +:043B070000000000BA +:043B080000000000B9 +:043B090000000000B8 +:043B0A0000000000B7 +:043B0B0000000000B6 +:043B0C0000000000B5 +:043B0D0000000000B4 +:043B0E0000000000B3 +:043B0F0000000000B2 +:043B100000000000B1 +:043B110000000000B0 +:043B120000000000AF +:043B130000000000AE +:043B140000000000AD +:043B150000000000AC +:043B160000000000AB +:043B170000000000AA +:043B180000000000A9 +:043B190000000000A8 +:043B1A0000000000A7 +:043B1B0000000000A6 +:043B1C0000000000A5 +:043B1D0000000000A4 +:043B1E0000000000A3 +:043B1F0000000000A2 +:043B200000000000A1 +:043B210000000000A0 +:043B2200000000009F +:043B2300000000009E +:043B2400000000009D +:043B2500000000009C +:043B2600000000009B +:043B2700000000009A +:043B28000000000099 +:043B29000000000098 +:043B2A000000000097 +:043B2B000000000096 +:043B2C000000000095 +:043B2D000000000094 +:043B2E000000000093 +:043B2F000000000092 +:043B30000000000091 +:043B31000000000090 +:043B3200000000008F +:043B3300000000008E +:043B3400000000008D +:043B3500000000008C +:043B3600000000008B +:043B3700000000008A +:043B38000000000089 +:043B39000000000088 +:043B3A000000000087 +:043B3B000000000086 +:043B3C000000000085 +:043B3D000000000084 +:043B3E000000000083 +:043B3F000000000082 +:043B40000000000081 +:043B41000000000080 +:043B4200000000007F +:043B4300000000007E +:043B4400000000007D +:043B4500000000007C +:043B4600000000007B +:043B4700000000007A +:043B48000000000079 +:043B49000000000078 +:043B4A000000000077 +:043B4B000000000076 +:043B4C000000000075 +:043B4D000000000074 +:043B4E000000000073 +:043B4F000000000072 +:043B50000000000071 +:043B51000000000070 +:043B5200000000006F +:043B5300000000006E +:043B5400000000006D +:043B5500000000006C +:043B5600000000006B +:043B5700000000006A +:043B58000000000069 +:043B59000000000068 +:043B5A000000000067 +:043B5B000000000066 +:043B5C000000000065 +:043B5D000000000064 +:043B5E000000000063 +:043B5F000000000062 +:043B60000000000061 +:043B61000000000060 +:043B6200000000005F +:043B6300000000005E +:043B6400000000005D +:043B6500000000005C +:043B6600000000005B +:043B6700000000005A +:043B68000000000059 +:043B69000000000058 +:043B6A000000000057 +:043B6B000000000056 +:043B6C000000000055 +:043B6D000000000054 +:043B6E000000000053 +:043B6F000000000052 +:043B70000000000051 +:043B71000000000050 +:043B7200000000004F +:043B7300000000004E +:043B7400000000004D +:043B7500000000004C +:043B7600000000004B +:043B7700000000004A +:043B78000000000049 +:043B79000000000048 +:043B7A000000000047 +:043B7B000000000046 +:043B7C000000000045 +:043B7D000000000044 +:043B7E000000000043 +:043B7F000000000042 +:043B80000000000041 +:043B81000000000040 +:043B8200000000003F +:043B8300000000003E +:043B8400000000003D +:043B8500000000003C +:043B8600000000003B +:043B8700000000003A +:043B88000000000039 +:043B89000000000038 +:043B8A000000000037 +:043B8B000000000036 +:043B8C000000000035 +:043B8D000000000034 +:043B8E000000000033 +:043B8F000000000032 +:043B90000000000031 +:043B91000000000030 +:043B9200000000002F +:043B9300000000002E +:043B9400000000002D +:043B9500000000002C +:043B9600000000002B +:043B9700000000002A +:043B98000000000029 +:043B99000000000028 +:043B9A000000000027 +:043B9B000000000026 +:043B9C000000000025 +:043B9D000000000024 +:043B9E000000000023 +:043B9F000000000022 +:043BA0000000000021 +:043BA1000000000020 +:043BA200000000001F +:043BA300000000001E +:043BA400000000001D +:043BA500000000001C +:043BA600000000001B +:043BA700000000001A +:043BA8000000000019 +:043BA9000000000018 +:043BAA000000000017 +:043BAB000000000016 +:043BAC000000000015 +:043BAD000000000014 +:043BAE000000000013 +:043BAF000000000012 +:043BB0000000000011 +:043BB1000000000010 +:043BB200000000000F +:043BB300000000000E +:043BB400000000000D +:043BB500000000000C +:043BB600000000000B +:043BB700000000000A +:043BB8000000000009 +:043BB9000000000008 +:043BBA000000000007 +:043BBB000000000006 +:043BBC000000000005 +:043BBD000000000004 +:043BBE000000000003 +:043BBF000000000002 +:043BC0000000000001 +:043BC1000000000000 +:043BC20000000000FF +:043BC30000000000FE +:043BC40000000000FD +:043BC50000000000FC +:043BC60000000000FB +:043BC70000000000FA +:043BC80000000000F9 +:043BC90000000000F8 +:043BCA0000000000F7 +:043BCB0000000000F6 +:043BCC0000000000F5 +:043BCD0000000000F4 +:043BCE0000000000F3 +:043BCF0000000000F2 +:043BD00000000000F1 +:043BD10000000000F0 +:043BD20000000000EF +:043BD30000000000EE +:043BD40000000000ED +:043BD50000000000EC +:043BD60000000000EB +:043BD70000000000EA +:043BD80000000000E9 +:043BD90000000000E8 +:043BDA0000000000E7 +:043BDB0000000000E6 +:043BDC0000000000E5 +:043BDD0000000000E4 +:043BDE0000000000E3 +:043BDF0000000000E2 +:043BE00000000000E1 +:043BE10000000000E0 +:043BE20000000000DF +:043BE30000000000DE +:043BE40000000000DD +:043BE50000000000DC +:043BE60000000000DB +:043BE70000000000DA +:043BE80000000000D9 +:043BE90000000000D8 +:043BEA0000000000D7 +:043BEB0000000000D6 +:043BEC0000000000D5 +:043BED0000000000D4 +:043BEE0000000000D3 +:043BEF0000000000D2 +:043BF00000000000D1 +:043BF10000000000D0 +:043BF20000000000CF +:043BF30000000000CE +:043BF40000000000CD +:043BF50000000000CC +:043BF60000000000CB +:043BF70000000000CA +:043BF80000000000C9 +:043BF90000000000C8 +:043BFA0000000000C7 +:043BFB0000000000C6 +:043BFC0000000000C5 +:043BFD0000000000C4 +:043BFE0000000000C3 +:043BFF0000000000C2 +:043C000000000000C0 +:043C010000000000BF +:043C020000000000BE +:043C030000000000BD +:043C040000000000BC +:043C050000000000BB +:043C060000000000BA +:043C070000000000B9 +:043C080000000000B8 +:043C090000000000B7 +:043C0A0000000000B6 +:043C0B0000000000B5 +:043C0C0000000000B4 +:043C0D0000000000B3 +:043C0E0000000000B2 +:043C0F0000000000B1 +:043C100000000000B0 +:043C110000000000AF +:043C120000000000AE +:043C130000000000AD +:043C140000000000AC +:043C150000000000AB +:043C160000000000AA +:043C170000000000A9 +:043C180000000000A8 +:043C190000000000A7 +:043C1A0000000000A6 +:043C1B0000000000A5 +:043C1C0000000000A4 +:043C1D0000000000A3 +:043C1E0000000000A2 +:043C1F0000000000A1 +:043C200000000000A0 +:043C2100000000009F +:043C2200000000009E +:043C2300000000009D +:043C2400000000009C +:043C2500000000009B +:043C2600000000009A +:043C27000000000099 +:043C28000000000098 +:043C29000000000097 +:043C2A000000000096 +:043C2B000000000095 +:043C2C000000000094 +:043C2D000000000093 +:043C2E000000000092 +:043C2F000000000091 +:043C30000000000090 +:043C3100000000008F +:043C3200000000008E +:043C3300000000008D +:043C3400000000008C +:043C3500000000008B +:043C3600000000008A +:043C37000000000089 +:043C38000000000088 +:043C39000000000087 +:043C3A000000000086 +:043C3B000000000085 +:043C3C000000000084 +:043C3D000000000083 +:043C3E000000000082 +:043C3F000000000081 +:043C40000000000080 +:043C4100000000007F +:043C4200000000007E +:043C4300000000007D +:043C4400000000007C +:043C4500000000007B +:043C4600000000007A +:043C47000000000079 +:043C48000000000078 +:043C49000000000077 +:043C4A000000000076 +:043C4B000000000075 +:043C4C000000000074 +:043C4D000000000073 +:043C4E000000000072 +:043C4F000000000071 +:043C50000000000070 +:043C5100000000006F +:043C5200000000006E +:043C5300000000006D +:043C5400000000006C +:043C5500000000006B +:043C5600000000006A +:043C57000000000069 +:043C58000000000068 +:043C59000000000067 +:043C5A000000000066 +:043C5B000000000065 +:043C5C000000000064 +:043C5D000000000063 +:043C5E000000000062 +:043C5F000000000061 +:043C60000000000060 +:043C6100000000005F +:043C6200000000005E +:043C6300000000005D +:043C6400000000005C +:043C6500000000005B +:043C6600000000005A +:043C67000000000059 +:043C68000000000058 +:043C69000000000057 +:043C6A000000000056 +:043C6B000000000055 +:043C6C000000000054 +:043C6D000000000053 +:043C6E000000000052 +:043C6F000000000051 +:043C70000000000050 +:043C7100000000004F +:043C7200000000004E +:043C7300000000004D +:043C7400000000004C +:043C7500000000004B +:043C7600000000004A +:043C77000000000049 +:043C78000000000048 +:043C79000000000047 +:043C7A000000000046 +:043C7B000000000045 +:043C7C000000000044 +:043C7D000000000043 +:043C7E000000000042 +:043C7F000000000041 +:043C80000000000040 +:043C8100000000003F +:043C8200000000003E +:043C8300000000003D +:043C8400000000003C +:043C8500000000003B +:043C8600000000003A +:043C87000000000039 +:043C88000000000038 +:043C89000000000037 +:043C8A000000000036 +:043C8B000000000035 +:043C8C000000000034 +:043C8D000000000033 +:043C8E000000000032 +:043C8F000000000031 +:043C90000000000030 +:043C9100000000002F +:043C9200000000002E +:043C9300000000002D +:043C9400000000002C +:043C9500000000002B +:043C9600000000002A +:043C97000000000029 +:043C98000000000028 +:043C99000000000027 +:043C9A000000000026 +:043C9B000000000025 +:043C9C000000000024 +:043C9D000000000023 +:043C9E000000000022 +:043C9F000000000021 +:043CA0000000000020 +:043CA100000000001F +:043CA200000000001E +:043CA300000000001D +:043CA400000000001C +:043CA500000000001B +:043CA600000000001A +:043CA7000000000019 +:043CA8000000000018 +:043CA9000000000017 +:043CAA000000000016 +:043CAB000000000015 +:043CAC000000000014 +:043CAD000000000013 +:043CAE000000000012 +:043CAF000000000011 +:043CB0000000000010 +:043CB100000000000F +:043CB200000000000E +:043CB300000000000D +:043CB400000000000C +:043CB500000000000B +:043CB600000000000A +:043CB7000000000009 +:043CB8000000000008 +:043CB9000000000007 +:043CBA000000000006 +:043CBB000000000005 +:043CBC000000000004 +:043CBD000000000003 +:043CBE000000000002 +:043CBF000000000001 +:043CC0000000000000 +:043CC10000000000FF +:043CC20000000000FE +:043CC30000000000FD +:043CC40000000000FC +:043CC50000000000FB +:043CC60000000000FA +:043CC70000000000F9 +:043CC80000000000F8 +:043CC90000000000F7 +:043CCA0000000000F6 +:043CCB0000000000F5 +:043CCC0000000000F4 +:043CCD0000000000F3 +:043CCE0000000000F2 +:043CCF0000000000F1 +:043CD00000000000F0 +:043CD10000000000EF +:043CD20000000000EE +:043CD30000000000ED +:043CD40000000000EC +:043CD50000000000EB +:043CD60000000000EA +:043CD70000000000E9 +:043CD80000000000E8 +:043CD90000000000E7 +:043CDA0000000000E6 +:043CDB0000000000E5 +:043CDC0000000000E4 +:043CDD0000000000E3 +:043CDE0000000000E2 +:043CDF0000000000E1 +:043CE00000000000E0 +:043CE10000000000DF +:043CE20000000000DE +:043CE30000000000DD +:043CE40000000000DC +:043CE50000000000DB +:043CE60000000000DA +:043CE70000000000D9 +:043CE80000000000D8 +:043CE90000000000D7 +:043CEA0000000000D6 +:043CEB0000000000D5 +:043CEC0000000000D4 +:043CED0000000000D3 +:043CEE0000000000D2 +:043CEF0000000000D1 +:043CF00000000000D0 +:043CF10000000000CF +:043CF20000000000CE +:043CF30000000000CD +:043CF40000000000CC +:043CF50000000000CB +:043CF60000000000CA +:043CF70000000000C9 +:043CF80000000000C8 +:043CF90000000000C7 +:043CFA0000000000C6 +:043CFB0000000000C5 +:043CFC0000000000C4 +:043CFD0000000000C3 +:043CFE0000000000C2 +:043CFF0000000000C1 +:043D000000000000BF +:043D010000000000BE +:043D020000000000BD +:043D030000000000BC +:043D040000000000BB +:043D050000000000BA +:043D060000000000B9 +:043D070000000000B8 +:043D080000000000B7 +:043D090000000000B6 +:043D0A0000000000B5 +:043D0B0000000000B4 +:043D0C0000000000B3 +:043D0D0000000000B2 +:043D0E0000000000B1 +:043D0F0000000000B0 +:043D100000000000AF +:043D110000000000AE +:043D120000000000AD +:043D130000000000AC +:043D140000000000AB +:043D150000000000AA +:043D160000000000A9 +:043D170000000000A8 +:043D180000000000A7 +:043D190000000000A6 +:043D1A0000000000A5 +:043D1B0000000000A4 +:043D1C0000000000A3 +:043D1D0000000000A2 +:043D1E0000000000A1 +:043D1F0000000000A0 +:043D2000000000009F +:043D2100000000009E +:043D2200000000009D +:043D2300000000009C +:043D2400000000009B +:043D2500000000009A +:043D26000000000099 +:043D27000000000098 +:043D28000000000097 +:043D29000000000096 +:043D2A000000000095 +:043D2B000000000094 +:043D2C000000000093 +:043D2D000000000092 +:043D2E000000000091 +:043D2F000000000090 +:043D3000000000008F +:043D3100000000008E +:043D3200000000008D +:043D3300000000008C +:043D3400000000008B +:043D3500000000008A +:043D36000000000089 +:043D37000000000088 +:043D38000000000087 +:043D39000000000086 +:043D3A000000000085 +:043D3B000000000084 +:043D3C000000000083 +:043D3D000000000082 +:043D3E000000000081 +:043D3F000000000080 +:043D4000000000007F +:043D4100000000007E +:043D4200000000007D +:043D4300000000007C +:043D4400000000007B +:043D4500000000007A +:043D46000000000079 +:043D47000000000078 +:043D48000000000077 +:043D49000000000076 +:043D4A000000000075 +:043D4B000000000074 +:043D4C000000000073 +:043D4D000000000072 +:043D4E000000000071 +:043D4F000000000070 +:043D5000000000006F +:043D5100000000006E +:043D5200000000006D +:043D5300000000006C +:043D5400000000006B +:043D5500000000006A +:043D56000000000069 +:043D57000000000068 +:043D58000000000067 +:043D59000000000066 +:043D5A000000000065 +:043D5B000000000064 +:043D5C000000000063 +:043D5D000000000062 +:043D5E000000000061 +:043D5F000000000060 +:043D6000000000005F +:043D6100000000005E +:043D6200000000005D +:043D6300000000005C +:043D6400000000005B +:043D6500000000005A +:043D66000000000059 +:043D67000000000058 +:043D68000000000057 +:043D69000000000056 +:043D6A000000000055 +:043D6B000000000054 +:043D6C000000000053 +:043D6D000000000052 +:043D6E000000000051 +:043D6F000000000050 +:043D7000000000004F +:043D7100000000004E +:043D7200000000004D +:043D7300000000004C +:043D7400000000004B +:043D7500000000004A +:043D76000000000049 +:043D77000000000048 +:043D78000000000047 +:043D79000000000046 +:043D7A000000000045 +:043D7B000000000044 +:043D7C000000000043 +:043D7D000000000042 +:043D7E000000000041 +:043D7F000000000040 +:043D8000000000003F +:043D8100000000003E +:043D8200000000003D +:043D8300000000003C +:043D8400000000003B +:043D8500000000003A +:043D86000000000039 +:043D87000000000038 +:043D88000000000037 +:043D89000000000036 +:043D8A000000000035 +:043D8B000000000034 +:043D8C000000000033 +:043D8D000000000032 +:043D8E000000000031 +:043D8F000000000030 +:043D9000000000002F +:043D9100000000002E +:043D9200000000002D +:043D9300000000002C +:043D9400000000002B +:043D9500000000002A +:043D96000000000029 +:043D97000000000028 +:043D98000000000027 +:043D99000000000026 +:043D9A000000000025 +:043D9B000000000024 +:043D9C000000000023 +:043D9D000000000022 +:043D9E000000000021 +:043D9F000000000020 +:043DA000000000001F +:043DA100000000001E +:043DA200000000001D +:043DA300000000001C +:043DA400000000001B +:043DA500000000001A +:043DA6000000000019 +:043DA7000000000018 +:043DA8000000000017 +:043DA9000000000016 +:043DAA000000000015 +:043DAB000000000014 +:043DAC000000000013 +:043DAD000000000012 +:043DAE000000000011 +:043DAF000000000010 +:043DB000000000000F +:043DB100000000000E +:043DB200000000000D +:043DB300000000000C +:043DB400000000000B +:043DB500000000000A +:043DB6000000000009 +:043DB7000000000008 +:043DB8000000000007 +:043DB9000000000006 +:043DBA000000000005 +:043DBB000000000004 +:043DBC000000000003 +:043DBD000000000002 +:043DBE000000000001 +:043DBF000000000000 +:043DC00000000000FF +:043DC10000000000FE +:043DC20000000000FD +:043DC30000000000FC +:043DC40000000000FB +:043DC50000000000FA +:043DC60000000000F9 +:043DC70000000000F8 +:043DC80000000000F7 +:043DC90000000000F6 +:043DCA0000000000F5 +:043DCB0000000000F4 +:043DCC0000000000F3 +:043DCD0000000000F2 +:043DCE0000000000F1 +:043DCF0000000000F0 +:043DD00000000000EF +:043DD10000000000EE +:043DD20000000000ED +:043DD30000000000EC +:043DD40000000000EB +:043DD50000000000EA +:043DD60000000000E9 +:043DD70000000000E8 +:043DD80000000000E7 +:043DD90000000000E6 +:043DDA0000000000E5 +:043DDB0000000000E4 +:043DDC0000000000E3 +:043DDD0000000000E2 +:043DDE0000000000E1 +:043DDF0000000000E0 +:043DE00000000000DF +:043DE10000000000DE +:043DE20000000000DD +:043DE30000000000DC +:043DE40000000000DB +:043DE50000000000DA +:043DE60000000000D9 +:043DE70000000000D8 +:043DE80000000000D7 +:043DE90000000000D6 +:043DEA0000000000D5 +:043DEB0000000000D4 +:043DEC0000000000D3 +:043DED0000000000D2 +:043DEE0000000000D1 +:043DEF0000000000D0 +:043DF00000000000CF +:043DF10000000000CE +:043DF20000000000CD +:043DF30000000000CC +:043DF40000000000CB +:043DF50000000000CA +:043DF60000000000C9 +:043DF70000000000C8 +:043DF80000000000C7 +:043DF90000000000C6 +:043DFA0000000000C5 +:043DFB0000000000C4 +:043DFC0000000000C3 +:043DFD0000000000C2 +:043DFE0000000000C1 +:043DFF0000000000C0 +:043E000000000000BE +:043E010000000000BD +:043E020000000000BC +:043E030000000000BB +:043E040000000000BA +:043E050000000000B9 +:043E060000000000B8 +:043E070000000000B7 +:043E080000000000B6 +:043E090000000000B5 +:043E0A0000000000B4 +:043E0B0000000000B3 +:043E0C0000000000B2 +:043E0D0000000000B1 +:043E0E0000000000B0 +:043E0F0000000000AF +:043E100000000000AE +:043E110000000000AD +:043E120000000000AC +:043E130000000000AB +:043E140000000000AA +:043E150000000000A9 +:043E160000000000A8 +:043E170000000000A7 +:043E180000000000A6 +:043E190000000000A5 +:043E1A0000000000A4 +:043E1B0000000000A3 +:043E1C0000000000A2 +:043E1D0000000000A1 +:043E1E0000000000A0 +:043E1F00000000009F +:043E2000000000009E +:043E2100000000009D +:043E2200000000009C +:043E2300000000009B +:043E2400000000009A +:043E25000000000099 +:043E26000000000098 +:043E27000000000097 +:043E28000000000096 +:043E29000000000095 +:043E2A000000000094 +:043E2B000000000093 +:043E2C000000000092 +:043E2D000000000091 +:043E2E000000000090 +:043E2F00000000008F +:043E3000000000008E +:043E3100000000008D +:043E3200000000008C +:043E3300000000008B +:043E3400000000008A +:043E35000000000089 +:043E36000000000088 +:043E37000000000087 +:043E38000000000086 +:043E39000000000085 +:043E3A000000000084 +:043E3B000000000083 +:043E3C000000000082 +:043E3D000000000081 +:043E3E000000000080 +:043E3F00000000007F +:043E4000000000007E +:043E4100000000007D +:043E4200000000007C +:043E4300000000007B +:043E4400000000007A +:043E45000000000079 +:043E46000000000078 +:043E47000000000077 +:043E48000000000076 +:043E49000000000075 +:043E4A000000000074 +:043E4B000000000073 +:043E4C000000000072 +:043E4D000000000071 +:043E4E000000000070 +:043E4F00000000006F +:043E5000000000006E +:043E5100000000006D +:043E5200000000006C +:043E5300000000006B +:043E5400000000006A +:043E55000000000069 +:043E56000000000068 +:043E57000000000067 +:043E58000000000066 +:043E59000000000065 +:043E5A000000000064 +:043E5B000000000063 +:043E5C000000000062 +:043E5D000000000061 +:043E5E000000000060 +:043E5F00000000005F +:043E6000000000005E +:043E6100000000005D +:043E6200000000005C +:043E6300000000005B +:043E6400000000005A +:043E65000000000059 +:043E66000000000058 +:043E67000000000057 +:043E68000000000056 +:043E69000000000055 +:043E6A000000000054 +:043E6B000000000053 +:043E6C000000000052 +:043E6D000000000051 +:043E6E000000000050 +:043E6F00000000004F +:043E7000000000004E +:043E7100000000004D +:043E7200000000004C +:043E7300000000004B +:043E7400000000004A +:043E75000000000049 +:043E76000000000048 +:043E77000000000047 +:043E78000000000046 +:043E79000000000045 +:043E7A000000000044 +:043E7B000000000043 +:043E7C000000000042 +:043E7D000000000041 +:043E7E000000000040 +:043E7F00000000003F +:043E8000000000003E +:043E8100000000003D +:043E8200000000003C +:043E8300000000003B +:043E8400000000003A +:043E85000000000039 +:043E86000000000038 +:043E87000000000037 +:043E88000000000036 +:043E89000000000035 +:043E8A000000000034 +:043E8B000000000033 +:043E8C000000000032 +:043E8D000000000031 +:043E8E000000000030 +:043E8F00000000002F +:043E9000000000002E +:043E9100000000002D +:043E9200000000002C +:043E9300000000002B +:043E9400000000002A +:043E95000000000029 +:043E96000000000028 +:043E97000000000027 +:043E98000000000026 +:043E99000000000025 +:043E9A000000000024 +:043E9B000000000023 +:043E9C000000000022 +:043E9D000000000021 +:043E9E000000000020 +:043E9F00000000001F +:043EA000000000001E +:043EA100000000001D +:043EA200000000001C +:043EA300000000001B +:043EA400000000001A +:043EA5000000000019 +:043EA6000000000018 +:043EA7000000000017 +:043EA8000000000016 +:043EA9000000000015 +:043EAA000000000014 +:043EAB000000000013 +:043EAC000000000012 +:043EAD000000000011 +:043EAE000000000010 +:043EAF00000000000F +:043EB000000000000E +:043EB100000000000D +:043EB200000000000C +:043EB300000000000B +:043EB400000000000A +:043EB5000000000009 +:043EB6000000000008 +:043EB7000000000007 +:043EB8000000000006 +:043EB9000000000005 +:043EBA000000000004 +:043EBB000000000003 +:043EBC000000000002 +:043EBD000000000001 +:043EBE000000000000 +:043EBF0000000000FF +:043EC00000000000FE +:043EC10000000000FD +:043EC20000000000FC +:043EC30000000000FB +:043EC40000000000FA +:043EC50000000000F9 +:043EC60000000000F8 +:043EC70000000000F7 +:043EC80000000000F6 +:043EC90000000000F5 +:043ECA0000000000F4 +:043ECB0000000000F3 +:043ECC0000000000F2 +:043ECD0000000000F1 +:043ECE0000000000F0 +:043ECF0000000000EF +:043ED00000000000EE +:043ED10000000000ED +:043ED20000000000EC +:043ED30000000000EB +:043ED40000000000EA +:043ED50000000000E9 +:043ED60000000000E8 +:043ED70000000000E7 +:043ED80000000000E6 +:043ED90000000000E5 +:043EDA0000000000E4 +:043EDB0000000000E3 +:043EDC0000000000E2 +:043EDD0000000000E1 +:043EDE0000000000E0 +:043EDF0000000000DF +:043EE00000000000DE +:043EE10000000000DD +:043EE20000000000DC +:043EE30000000000DB +:043EE40000000000DA +:043EE50000000000D9 +:043EE60000000000D8 +:043EE70000000000D7 +:043EE80000000000D6 +:043EE90000000000D5 +:043EEA0000000000D4 +:043EEB0000000000D3 +:043EEC0000000000D2 +:043EED0000000000D1 +:043EEE0000000000D0 +:043EEF0000000000CF +:043EF00000000000CE +:043EF10000000000CD +:043EF20000000000CC +:043EF30000000000CB +:043EF40000000000CA +:043EF50000000000C9 +:043EF60000000000C8 +:043EF70000000000C7 +:043EF80000000000C6 +:043EF90000000000C5 +:043EFA0000000000C4 +:043EFB0000000000C3 +:043EFC0000000000C2 +:043EFD0000000000C1 +:043EFE0000000000C0 +:043EFF0000000000BF +:043F000000000000BD +:043F010000000000BC +:043F020000000000BB +:043F030000000000BA +:043F040000000000B9 +:043F050000000000B8 +:043F060000000000B7 +:043F070000000000B6 +:043F080000000000B5 +:043F090000000000B4 +:043F0A0000000000B3 +:043F0B0000000000B2 +:043F0C0000000000B1 +:043F0D0000000000B0 +:043F0E0000000000AF +:043F0F0000000000AE +:043F100000000000AD +:043F110000000000AC +:043F120000000000AB +:043F130000000000AA +:043F140000000000A9 +:043F150000000000A8 +:043F160000000000A7 +:043F170000000000A6 +:043F180000000000A5 +:043F190000000000A4 +:043F1A0000000000A3 +:043F1B0000000000A2 +:043F1C0000000000A1 +:043F1D0000000000A0 +:043F1E00000000009F +:043F1F00000000009E +:043F2000000000009D +:043F2100000000009C +:043F2200000000009B +:043F2300000000009A +:043F24000000000099 +:043F25000000000098 +:043F26000000000097 +:043F27000000000096 +:043F28000000000095 +:043F29000000000094 +:043F2A000000000093 +:043F2B000000000092 +:043F2C000000000091 +:043F2D000000000090 +:043F2E00000000008F +:043F2F00000000008E +:043F3000000000008D +:043F3100000000008C +:043F3200000000008B +:043F3300000000008A +:043F34000000000089 +:043F35000000000088 +:043F36000000000087 +:043F37000000000086 +:043F38000000000085 +:043F39000000000084 +:043F3A000000000083 +:043F3B000000000082 +:043F3C000000000081 +:043F3D000000000080 +:043F3E00000000007F +:043F3F00000000007E +:043F4000000000007D +:043F4100000000007C +:043F4200000000007B +:043F4300000000007A +:043F44000000000079 +:043F45000000000078 +:043F46000000000077 +:043F47000000000076 +:043F48000000000075 +:043F49000000000074 +:043F4A000000000073 +:043F4B000000000072 +:043F4C000000000071 +:043F4D000000000070 +:043F4E00000000006F +:043F4F00000000006E +:043F5000000000006D +:043F5100000000006C +:043F5200000000006B +:043F5300000000006A +:043F54000000000069 +:043F55000000000068 +:043F56000000000067 +:043F57000000000066 +:043F58000000000065 +:043F59000000000064 +:043F5A000000000063 +:043F5B000000000062 +:043F5C000000000061 +:043F5D000000000060 +:043F5E00000000005F +:043F5F00000000005E +:043F6000000000005D +:043F6100000000005C +:043F6200000000005B +:043F6300000000005A +:043F64000000000059 +:043F65000000000058 +:043F66000000000057 +:043F67000000000056 +:043F68000000000055 +:043F69000000000054 +:043F6A000000000053 +:043F6B000000000052 +:043F6C000000000051 +:043F6D000000000050 +:043F6E00000000004F +:043F6F00000000004E +:043F7000000000004D +:043F7100000000004C +:043F7200000000004B +:043F7300000000004A +:043F74000000000049 +:043F75000000000048 +:043F76000000000047 +:043F77000000000046 +:043F78000000000045 +:043F79000000000044 +:043F7A000000000043 +:043F7B000000000042 +:043F7C000000000041 +:043F7D000000000040 +:043F7E00000000003F +:043F7F00000000003E +:043F8000000000003D +:043F8100000000003C +:043F8200000000003B +:043F8300000000003A +:043F84000000000039 +:043F85000000000038 +:043F86000000000037 +:043F87000000000036 +:043F88000000000035 +:043F89000000000034 +:043F8A000000000033 +:043F8B000000000032 +:043F8C000000000031 +:043F8D000000000030 +:043F8E00000000002F +:043F8F00000000002E +:043F9000000000002D +:043F9100000000002C +:043F9200000000002B +:043F9300000000002A +:043F94000000000029 +:043F95000000000028 +:043F96000000000027 +:043F97000000000026 +:043F98000000000025 +:043F99000000000024 +:043F9A000000000023 +:043F9B000000000022 +:043F9C000000000021 +:043F9D000000000020 +:043F9E00000000001F +:043F9F00000000001E +:043FA000000000001D +:043FA100000000001C +:043FA200000000001B +:043FA300000000001A +:043FA4000000000019 +:043FA5000000000018 +:043FA6000000000017 +:043FA7000000000016 +:043FA8000000000015 +:043FA9000000000014 +:043FAA000000000013 +:043FAB000000000012 +:043FAC000000000011 +:043FAD000000000010 +:043FAE00000000000F +:043FAF00000000000E +:043FB000000000000D +:043FB100000000000C +:043FB200000000000B +:043FB300000000000A +:043FB4000000000009 +:043FB5000000000008 +:043FB6000000000007 +:043FB7000000000006 +:043FB8000000000005 +:043FB9000000000004 +:043FBA000000000003 +:043FBB000000000002 +:043FBC000000000001 +:043FBD000000000000 +:043FBE0000000000FF +:043FBF0000000000FE +:043FC00000000000FD +:043FC10000000000FC +:043FC20000000000FB +:043FC30000000000FA +:043FC40000000000F9 +:043FC50000000000F8 +:043FC60000000000F7 +:043FC70000000000F6 +:043FC80000000000F5 +:043FC90000000000F4 +:043FCA0000000000F3 +:043FCB0000000000F2 +:043FCC0000000000F1 +:043FCD0000000000F0 +:043FCE0000000000EF +:043FCF0000000000EE +:043FD00000000000ED +:043FD10000000000EC +:043FD20000000000EB +:043FD30000000000EA +:043FD40000000000E9 +:043FD50000000000E8 +:043FD60000000000E7 +:043FD70000000000E6 +:043FD80000000000E5 +:043FD90000000000E4 +:043FDA0000000000E3 +:043FDB0000000000E2 +:043FDC0000000000E1 +:043FDD0000000000E0 +:043FDE0000000000DF +:043FDF0000000000DE +:043FE00000000000DD +:043FE10000000000DC +:043FE20000000000DB +:043FE30000000000DA +:043FE40000000000D9 +:043FE50000000000D8 +:043FE60000000000D7 +:043FE70000000000D6 +:043FE80000000000D5 +:043FE90000000000D4 +:043FEA0000000000D3 +:043FEB0000000000D2 +:043FEC0000000000D1 +:043FED0000000000D0 +:043FEE0000000000CF +:043FEF0000000000CE +:043FF00000000000CD +:043FF10000000000CC +:043FF20000000000CB +:043FF30000000000CA +:043FF40000000000C9 +:043FF50000000000C8 +:043FF60000000000C7 +:043FF70000000000C6 +:043FF80000000000C5 +:043FF90000000000C4 +:043FFA0000000000C3 +:043FFB0000000000C2 +:043FFC0000000000C1 +:043FFD0000000000C0 +:043FFE0000000000BF +:043FFF0000000000BE +:0440000000000000BC +:0440010000000000BB +:0440020000000000BA +:0440030000000000B9 +:0440040000000000B8 +:0440050000000000B7 +:0440060000000000B6 +:0440070000000000B5 +:0440080000000000B4 +:0440090000000000B3 +:04400A0000000000B2 +:04400B0000000000B1 +:04400C0000000000B0 +:04400D0000000000AF +:04400E0000000000AE +:04400F0000000000AD +:0440100000000000AC +:0440110000000000AB +:0440120000000000AA +:0440130000000000A9 +:0440140000000000A8 +:0440150000000000A7 +:0440160000000000A6 +:0440170000000000A5 +:0440180000000000A4 +:0440190000000000A3 +:04401A0000000000A2 +:04401B0000000000A1 +:04401C0000000000A0 +:04401D00000000009F +:04401E00000000009E +:04401F00000000009D +:04402000000000009C +:04402100000000009B +:04402200000000009A +:044023000000000099 +:044024000000000098 +:044025000000000097 +:044026000000000096 +:044027000000000095 +:044028000000000094 +:044029000000000093 +:04402A000000000092 +:04402B000000000091 +:04402C000000000090 +:04402D00000000008F +:04402E00000000008E +:04402F00000000008D +:04403000000000008C +:04403100000000008B +:04403200000000008A +:044033000000000089 +:044034000000000088 +:044035000000000087 +:044036000000000086 +:044037000000000085 +:044038000000000084 +:044039000000000083 +:04403A000000000082 +:04403B000000000081 +:04403C000000000080 +:04403D00000000007F +:04403E00000000007E +:04403F00000000007D +:04404000000000007C +:04404100000000007B +:04404200000000007A +:044043000000000079 +:044044000000000078 +:044045000000000077 +:044046000000000076 +:044047000000000075 +:044048000000000074 +:044049000000000073 +:04404A000000000072 +:04404B000000000071 +:04404C000000000070 +:04404D00000000006F +:04404E00000000006E +:04404F00000000006D +:04405000000000006C +:04405100000000006B +:04405200000000006A +:044053000000000069 +:044054000000000068 +:044055000000000067 +:044056000000000066 +:044057000000000065 +:044058000000000064 +:044059000000000063 +:04405A000000000062 +:04405B000000000061 +:04405C000000000060 +:04405D00000000005F +:04405E00000000005E +:04405F00000000005D +:04406000000000005C +:04406100000000005B +:04406200000000005A +:044063000000000059 +:044064000000000058 +:044065000000000057 +:044066000000000056 +:044067000000000055 +:044068000000000054 +:044069000000000053 +:04406A000000000052 +:04406B000000000051 +:04406C000000000050 +:04406D00000000004F +:04406E00000000004E +:04406F00000000004D +:04407000000000004C +:04407100000000004B +:04407200000000004A +:044073000000000049 +:044074000000000048 +:044075000000000047 +:044076000000000046 +:044077000000000045 +:044078000000000044 +:044079000000000043 +:04407A000000000042 +:04407B000000000041 +:04407C000000000040 +:04407D00000000003F +:04407E00000000003E +:04407F00000000003D +:04408000000000003C +:04408100000000003B +:04408200000000003A +:044083000000000039 +:044084000000000038 +:044085000000000037 +:044086000000000036 +:044087000000000035 +:044088000000000034 +:044089000000000033 +:04408A000000000032 +:04408B000000000031 +:04408C000000000030 +:04408D00000000002F +:04408E00000000002E +:04408F00000000002D +:04409000000000002C +:04409100000000002B +:04409200000000002A +:044093000000000029 +:044094000000000028 +:044095000000000027 +:044096000000000026 +:044097000000000025 +:044098000000000024 +:044099000000000023 +:04409A000000000022 +:04409B000000000021 +:04409C000000000020 +:04409D00000000001F +:04409E00000000001E +:04409F00000000001D +:0440A000000000001C +:0440A100000000001B +:0440A200000000001A +:0440A3000000000019 +:0440A4000000000018 +:0440A5000000000017 +:0440A6000000000016 +:0440A7000000000015 +:0440A8000000000014 +:0440A9000000000013 +:0440AA000000000012 +:0440AB000000000011 +:0440AC000000000010 +:0440AD00000000000F +:0440AE00000000000E +:0440AF00000000000D +:0440B000000000000C +:0440B100000000000B +:0440B200000000000A +:0440B3000000000009 +:0440B4000000000008 +:0440B5000000000007 +:0440B6000000000006 +:0440B7000000000005 +:0440B8000000000004 +:0440B9000000000003 +:0440BA000000000002 +:0440BB000000000001 +:0440BC000000000000 +:0440BD0000000000FF +:0440BE0000000000FE +:0440BF0000000000FD +:0440C00000000000FC +:0440C10000000000FB +:0440C20000000000FA +:0440C30000000000F9 +:0440C40000000000F8 +:0440C50000000000F7 +:0440C60000000000F6 +:0440C70000000000F5 +:0440C80000000000F4 +:0440C90000000000F3 +:0440CA0000000000F2 +:0440CB0000000000F1 +:0440CC0000000000F0 +:0440CD0000000000EF +:0440CE0000000000EE +:0440CF0000000000ED +:0440D00000000000EC +:0440D10000000000EB +:0440D20000000000EA +:0440D30000000000E9 +:0440D40000000000E8 +:0440D50000000000E7 +:0440D60000000000E6 +:0440D70000000000E5 +:0440D80000000000E4 +:0440D90000000000E3 +:0440DA0000000000E2 +:0440DB0000000000E1 +:0440DC0000000000E0 +:0440DD0000000000DF +:0440DE0000000000DE +:0440DF0000000000DD +:0440E00000000000DC +:0440E10000000000DB +:0440E20000000000DA +:0440E30000000000D9 +:0440E40000000000D8 +:0440E50000000000D7 +:0440E60000000000D6 +:0440E70000000000D5 +:0440E80000000000D4 +:0440E90000000000D3 +:0440EA0000000000D2 +:0440EB0000000000D1 +:0440EC0000000000D0 +:0440ED0000000000CF +:0440EE0000000000CE +:0440EF0000000000CD +:0440F00000000000CC +:0440F10000000000CB +:0440F20000000000CA +:0440F30000000000C9 +:0440F40000000000C8 +:0440F50000000000C7 +:0440F60000000000C6 +:0440F70000000000C5 +:0440F80000000000C4 +:0440F90000000000C3 +:0440FA0000000000C2 +:0440FB0000000000C1 +:0440FC0000000000C0 +:0440FD0000000000BF +:0440FE0000000000BE +:0440FF0000000000BD +:0441000000000000BB +:0441010000000000BA +:0441020000000000B9 +:0441030000000000B8 +:0441040000000000B7 +:0441050000000000B6 +:0441060000000000B5 +:0441070000000000B4 +:0441080000000000B3 +:0441090000000000B2 +:04410A0000000000B1 +:04410B0000000000B0 +:04410C0000000000AF +:04410D0000000000AE +:04410E0000000000AD +:04410F0000000000AC +:0441100000000000AB +:0441110000000000AA +:0441120000000000A9 +:0441130000000000A8 +:0441140000000000A7 +:0441150000000000A6 +:0441160000000000A5 +:0441170000000000A4 +:0441180000000000A3 +:0441190000000000A2 +:04411A0000000000A1 +:04411B0000000000A0 +:04411C00000000009F +:04411D00000000009E +:04411E00000000009D +:04411F00000000009C +:04412000000000009B +:04412100000000009A +:044122000000000099 +:044123000000000098 +:044124000000000097 +:044125000000000096 +:044126000000000095 +:044127000000000094 +:044128000000000093 +:044129000000000092 +:04412A000000000091 +:04412B000000000090 +:04412C00000000008F +:04412D00000000008E +:04412E00000000008D +:04412F00000000008C +:04413000000000008B +:04413100000000008A +:044132000000000089 +:044133000000000088 +:044134000000000087 +:044135000000000086 +:044136000000000085 +:044137000000000084 +:044138000000000083 +:044139000000000082 +:04413A000000000081 +:04413B000000000080 +:04413C00000000007F +:04413D00000000007E +:04413E00000000007D +:04413F00000000007C +:04414000000000007B +:04414100000000007A +:044142000000000079 +:044143000000000078 +:044144000000000077 +:044145000000000076 +:044146000000000075 +:044147000000000074 +:044148000000000073 +:044149000000000072 +:04414A000000000071 +:04414B000000000070 +:04414C00000000006F +:04414D00000000006E +:04414E00000000006D +:04414F00000000006C +:04415000000000006B +:04415100000000006A +:044152000000000069 +:044153000000000068 +:044154000000000067 +:044155000000000066 +:044156000000000065 +:044157000000000064 +:044158000000000063 +:044159000000000062 +:04415A000000000061 +:04415B000000000060 +:04415C00000000005F +:04415D00000000005E +:04415E00000000005D +:04415F00000000005C +:04416000000000005B +:04416100000000005A +:044162000000000059 +:044163000000000058 +:044164000000000057 +:044165000000000056 +:044166000000000055 +:044167000000000054 +:044168000000000053 +:044169000000000052 +:04416A000000000051 +:04416B000000000050 +:04416C00000000004F +:04416D00000000004E +:04416E00000000004D +:04416F00000000004C +:04417000000000004B +:04417100000000004A +:044172000000000049 +:044173000000000048 +:044174000000000047 +:044175000000000046 +:044176000000000045 +:044177000000000044 +:044178000000000043 +:044179000000000042 +:04417A000000000041 +:04417B000000000040 +:04417C00000000003F +:04417D00000000003E +:04417E00000000003D +:04417F00000000003C +:04418000000000003B +:04418100000000003A +:044182000000000039 +:044183000000000038 +:044184000000000037 +:044185000000000036 +:044186000000000035 +:044187000000000034 +:044188000000000033 +:044189000000000032 +:04418A000000000031 +:04418B000000000030 +:04418C00000000002F +:04418D00000000002E +:04418E00000000002D +:04418F00000000002C +:04419000000000002B +:04419100000000002A +:044192000000000029 +:044193000000000028 +:044194000000000027 +:044195000000000026 +:044196000000000025 +:044197000000000024 +:044198000000000023 +:044199000000000022 +:04419A000000000021 +:04419B000000000020 +:04419C00000000001F +:04419D00000000001E +:04419E00000000001D +:04419F00000000001C +:0441A000000000001B +:0441A100000000001A +:0441A2000000000019 +:0441A3000000000018 +:0441A4000000000017 +:0441A5000000000016 +:0441A6000000000015 +:0441A7000000000014 +:0441A8000000000013 +:0441A9000000000012 +:0441AA000000000011 +:0441AB000000000010 +:0441AC00000000000F +:0441AD00000000000E +:0441AE00000000000D +:0441AF00000000000C +:0441B000000000000B +:0441B100000000000A +:0441B2000000000009 +:0441B3000000000008 +:0441B4000000000007 +:0441B5000000000006 +:0441B6000000000005 +:0441B7000000000004 +:0441B8000000000003 +:0441B9000000000002 +:0441BA000000000001 +:0441BB000000000000 +:0441BC0000000000FF +:0441BD0000000000FE +:0441BE0000000000FD +:0441BF0000000000FC +:0441C00000000000FB +:0441C10000000000FA +:0441C20000000000F9 +:0441C30000000000F8 +:0441C40000000000F7 +:0441C50000000000F6 +:0441C60000000000F5 +:0441C70000000000F4 +:0441C80000000000F3 +:0441C90000000000F2 +:0441CA0000000000F1 +:0441CB0000000000F0 +:0441CC0000000000EF +:0441CD0000000000EE +:0441CE0000000000ED +:0441CF0000000000EC +:0441D00000000000EB +:0441D10000000000EA +:0441D20000000000E9 +:0441D30000000000E8 +:0441D40000000000E7 +:0441D50000000000E6 +:0441D60000000000E5 +:0441D70000000000E4 +:0441D80000000000E3 +:0441D90000000000E2 +:0441DA0000000000E1 +:0441DB0000000000E0 +:0441DC0000000000DF +:0441DD0000000000DE +:0441DE0000000000DD +:0441DF0000000000DC +:0441E00000000000DB +:0441E10000000000DA +:0441E20000000000D9 +:0441E30000000000D8 +:0441E40000000000D7 +:0441E50000000000D6 +:0441E60000000000D5 +:0441E70000000000D4 +:0441E80000000000D3 +:0441E90000000000D2 +:0441EA0000000000D1 +:0441EB0000000000D0 +:0441EC0000000000CF +:0441ED0000000000CE +:0441EE0000000000CD +:0441EF0000000000CC +:0441F00000000000CB +:0441F10000000000CA +:0441F20000000000C9 +:0441F30000000000C8 +:0441F40000000000C7 +:0441F50000000000C6 +:0441F60000000000C5 +:0441F70000000000C4 +:0441F80000000000C3 +:0441F90000000000C2 +:0441FA0000000000C1 +:0441FB0000000000C0 +:0441FC0000000000BF +:0441FD0000000000BE +:0441FE0000000000BD +:0441FF0000000000BC +:0442000000000000BA +:0442010000000000B9 +:0442020000000000B8 +:0442030000000000B7 +:0442040000000000B6 +:0442050000000000B5 +:0442060000000000B4 +:0442070000000000B3 +:0442080000000000B2 +:0442090000000000B1 +:04420A0000000000B0 +:04420B0000000000AF +:04420C0000000000AE +:04420D0000000000AD +:04420E0000000000AC +:04420F0000000000AB +:0442100000000000AA +:0442110000000000A9 +:0442120000000000A8 +:0442130000000000A7 +:0442140000000000A6 +:0442150000000000A5 +:0442160000000000A4 +:0442170000000000A3 +:0442180000000000A2 +:0442190000000000A1 +:04421A0000000000A0 +:04421B00000000009F +:04421C00000000009E +:04421D00000000009D +:04421E00000000009C +:04421F00000000009B +:04422000000000009A +:044221000000000099 +:044222000000000098 +:044223000000000097 +:044224000000000096 +:044225000000000095 +:044226000000000094 +:044227000000000093 +:044228000000000092 +:044229000000000091 +:04422A000000000090 +:04422B00000000008F +:04422C00000000008E +:04422D00000000008D +:04422E00000000008C +:04422F00000000008B +:04423000000000008A +:044231000000000089 +:044232000000000088 +:044233000000000087 +:044234000000000086 +:044235000000000085 +:044236000000000084 +:044237000000000083 +:044238000000000082 +:044239000000000081 +:04423A000000000080 +:04423B00000000007F +:04423C00000000007E +:04423D00000000007D +:04423E00000000007C +:04423F00000000007B +:04424000000000007A +:044241000000000079 +:044242000000000078 +:044243000000000077 +:044244000000000076 +:044245000000000075 +:044246000000000074 +:044247000000000073 +:044248000000000072 +:044249000000000071 +:04424A000000000070 +:04424B00000000006F +:04424C00000000006E +:04424D00000000006D +:04424E00000000006C +:04424F00000000006B +:04425000000000006A +:044251000000000069 +:044252000000000068 +:044253000000000067 +:044254000000000066 +:044255000000000065 +:044256000000000064 +:044257000000000063 +:044258000000000062 +:044259000000000061 +:04425A000000000060 +:04425B00000000005F +:04425C00000000005E +:04425D00000000005D +:04425E00000000005C +:04425F00000000005B +:04426000000000005A +:044261000000000059 +:044262000000000058 +:044263000000000057 +:044264000000000056 +:044265000000000055 +:044266000000000054 +:044267000000000053 +:044268000000000052 +:044269000000000051 +:04426A000000000050 +:04426B00000000004F +:04426C00000000004E +:04426D00000000004D +:04426E00000000004C +:04426F00000000004B +:04427000000000004A +:044271000000000049 +:044272000000000048 +:044273000000000047 +:044274000000000046 +:044275000000000045 +:044276000000000044 +:044277000000000043 +:044278000000000042 +:044279000000000041 +:04427A000000000040 +:04427B00000000003F +:04427C00000000003E +:04427D00000000003D +:04427E00000000003C +:04427F00000000003B +:04428000000000003A +:044281000000000039 +:044282000000000038 +:044283000000000037 +:044284000000000036 +:044285000000000035 +:044286000000000034 +:044287000000000033 +:044288000000000032 +:044289000000000031 +:04428A000000000030 +:04428B00000000002F +:04428C00000000002E +:04428D00000000002D +:04428E00000000002C +:04428F00000000002B +:04429000000000002A +:044291000000000029 +:044292000000000028 +:044293000000000027 +:044294000000000026 +:044295000000000025 +:044296000000000024 +:044297000000000023 +:044298000000000022 +:044299000000000021 +:04429A000000000020 +:04429B00000000001F +:04429C00000000001E +:04429D00000000001D +:04429E00000000001C +:04429F00000000001B +:0442A000000000001A +:0442A1000000000019 +:0442A2000000000018 +:0442A3000000000017 +:0442A4000000000016 +:0442A5000000000015 +:0442A6000000000014 +:0442A7000000000013 +:0442A8000000000012 +:0442A9000000000011 +:0442AA000000000010 +:0442AB00000000000F +:0442AC00000000000E +:0442AD00000000000D +:0442AE00000000000C +:0442AF00000000000B +:0442B000000000000A +:0442B1000000000009 +:0442B2000000000008 +:0442B3000000000007 +:0442B4000000000006 +:0442B5000000000005 +:0442B6000000000004 +:0442B7000000000003 +:0442B8000000000002 +:0442B9000000000001 +:0442BA000000000000 +:0442BB0000000000FF +:0442BC0000000000FE +:0442BD0000000000FD +:0442BE0000000000FC +:0442BF0000000000FB +:0442C00000000000FA +:0442C10000000000F9 +:0442C20000000000F8 +:0442C30000000000F7 +:0442C40000000000F6 +:0442C50000000000F5 +:0442C60000000000F4 +:0442C70000000000F3 +:0442C80000000000F2 +:0442C90000000000F1 +:0442CA0000000000F0 +:0442CB0000000000EF +:0442CC0000000000EE +:0442CD0000000000ED +:0442CE0000000000EC +:0442CF0000000000EB +:0442D00000000000EA +:0442D10000000000E9 +:0442D20000000000E8 +:0442D30000000000E7 +:0442D40000000000E6 +:0442D50000000000E5 +:0442D60000000000E4 +:0442D70000000000E3 +:0442D80000000000E2 +:0442D90000000000E1 +:0442DA0000000000E0 +:0442DB0000000000DF +:0442DC0000000000DE +:0442DD0000000000DD +:0442DE0000000000DC +:0442DF0000000000DB +:0442E00000000000DA +:0442E10000000000D9 +:0442E20000000000D8 +:0442E30000000000D7 +:0442E40000000000D6 +:0442E50000000000D5 +:0442E60000000000D4 +:0442E70000000000D3 +:0442E80000000000D2 +:0442E90000000000D1 +:0442EA0000000000D0 +:0442EB0000000000CF +:0442EC0000000000CE +:0442ED0000000000CD +:0442EE0000000000CC +:0442EF0000000000CB +:0442F00000000000CA +:0442F10000000000C9 +:0442F20000000000C8 +:0442F30000000000C7 +:0442F40000000000C6 +:0442F50000000000C5 +:0442F60000000000C4 +:0442F70000000000C3 +:0442F80000000000C2 +:0442F90000000000C1 +:0442FA0000000000C0 +:0442FB0000000000BF +:0442FC0000000000BE +:0442FD0000000000BD +:0442FE0000000000BC +:0442FF0000000000BB +:0443000000000000B9 +:0443010000000000B8 +:0443020000000000B7 +:0443030000000000B6 +:0443040000000000B5 +:0443050000000000B4 +:0443060000000000B3 +:0443070000000000B2 +:0443080000000000B1 +:0443090000000000B0 +:04430A0000000000AF +:04430B0000000000AE +:04430C0000000000AD +:04430D0000000000AC +:04430E0000000000AB +:04430F0000000000AA +:0443100000000000A9 +:0443110000000000A8 +:0443120000000000A7 +:0443130000000000A6 +:0443140000000000A5 +:0443150000000000A4 +:0443160000000000A3 +:0443170000000000A2 +:0443180000000000A1 +:0443190000000000A0 +:04431A00000000009F +:04431B00000000009E +:04431C00000000009D +:04431D00000000009C +:04431E00000000009B +:04431F00000000009A +:044320000000000099 +:044321000000000098 +:044322000000000097 +:044323000000000096 +:044324000000000095 +:044325000000000094 +:044326000000000093 +:044327000000000092 +:044328000000000091 +:044329000000000090 +:04432A00000000008F +:04432B00000000008E +:04432C00000000008D +:04432D00000000008C +:04432E00000000008B +:04432F00000000008A +:044330000000000089 +:044331000000000088 +:044332000000000087 +:044333000000000086 +:044334000000000085 +:044335000000000084 +:044336000000000083 +:044337000000000082 +:044338000000000081 +:044339000000000080 +:04433A00000000007F +:04433B00000000007E +:04433C00000000007D +:04433D00000000007C +:04433E00000000007B +:04433F00000000007A +:044340000000000079 +:044341000000000078 +:044342000000000077 +:044343000000000076 +:044344000000000075 +:044345000000000074 +:044346000000000073 +:044347000000000072 +:044348000000000071 +:044349000000000070 +:04434A00000000006F +:04434B00000000006E +:04434C00000000006D +:04434D00000000006C +:04434E00000000006B +:04434F00000000006A +:044350000000000069 +:044351000000000068 +:044352000000000067 +:044353000000000066 +:044354000000000065 +:044355000000000064 +:044356000000000063 +:044357000000000062 +:044358000000000061 +:044359000000000060 +:04435A00000000005F +:04435B00000000005E +:04435C00000000005D +:04435D00000000005C +:04435E00000000005B +:04435F00000000005A +:044360000000000059 +:044361000000000058 +:044362000000000057 +:044363000000000056 +:044364000000000055 +:044365000000000054 +:044366000000000053 +:044367000000000052 +:044368000000000051 +:044369000000000050 +:04436A00000000004F +:04436B00000000004E +:04436C00000000004D +:04436D00000000004C +:04436E00000000004B +:04436F00000000004A +:044370000000000049 +:044371000000000048 +:044372000000000047 +:044373000000000046 +:044374000000000045 +:044375000000000044 +:044376000000000043 +:044377000000000042 +:044378000000000041 +:044379000000000040 +:04437A00000000003F +:04437B00000000003E +:04437C00000000003D +:04437D00000000003C +:04437E00000000003B +:04437F00000000003A +:044380000000000039 +:044381000000000038 +:044382000000000037 +:044383000000000036 +:044384000000000035 +:044385000000000034 +:044386000000000033 +:044387000000000032 +:044388000000000031 +:044389000000000030 +:04438A00000000002F +:04438B00000000002E +:04438C00000000002D +:04438D00000000002C +:04438E00000000002B +:04438F00000000002A +:044390000000000029 +:044391000000000028 +:044392000000000027 +:044393000000000026 +:044394000000000025 +:044395000000000024 +:044396000000000023 +:044397000000000022 +:044398000000000021 +:044399000000000020 +:04439A00000000001F +:04439B00000000001E +:04439C00000000001D +:04439D00000000001C +:04439E00000000001B +:04439F00000000001A +:0443A0000000000019 +:0443A1000000000018 +:0443A2000000000017 +:0443A3000000000016 +:0443A4000000000015 +:0443A5000000000014 +:0443A6000000000013 +:0443A7000000000012 +:0443A8000000000011 +:0443A9000000000010 +:0443AA00000000000F +:0443AB00000000000E +:0443AC00000000000D +:0443AD00000000000C +:0443AE00000000000B +:0443AF00000000000A +:0443B0000000000009 +:0443B1000000000008 +:0443B2000000000007 +:0443B3000000000006 +:0443B4000000000005 +:0443B5000000000004 +:0443B6000000000003 +:0443B7000000000002 +:0443B8000000000001 +:0443B9000000000000 +:0443BA0000000000FF +:0443BB0000000000FE +:0443BC0000000000FD +:0443BD0000000000FC +:0443BE0000000000FB +:0443BF0000000000FA +:0443C00000000000F9 +:0443C10000000000F8 +:0443C20000000000F7 +:0443C30000000000F6 +:0443C40000000000F5 +:0443C50000000000F4 +:0443C60000000000F3 +:0443C70000000000F2 +:0443C80000000000F1 +:0443C90000000000F0 +:0443CA0000000000EF +:0443CB0000000000EE +:0443CC0000000000ED +:0443CD0000000000EC +:0443CE0000000000EB +:0443CF0000000000EA +:0443D00000000000E9 +:0443D10000000000E8 +:0443D20000000000E7 +:0443D30000000000E6 +:0443D40000000000E5 +:0443D50000000000E4 +:0443D60000000000E3 +:0443D70000000000E2 +:0443D80000000000E1 +:0443D90000000000E0 +:0443DA0000000000DF +:0443DB0000000000DE +:0443DC0000000000DD +:0443DD0000000000DC +:0443DE0000000000DB +:0443DF0000000000DA +:0443E00000000000D9 +:0443E10000000000D8 +:0443E20000000000D7 +:0443E30000000000D6 +:0443E40000000000D5 +:0443E50000000000D4 +:0443E60000000000D3 +:0443E70000000000D2 +:0443E80000000000D1 +:0443E90000000000D0 +:0443EA0000000000CF +:0443EB0000000000CE +:0443EC0000000000CD +:0443ED0000000000CC +:0443EE0000000000CB +:0443EF0000000000CA +:0443F00000000000C9 +:0443F10000000000C8 +:0443F20000000000C7 +:0443F30000000000C6 +:0443F40000000000C5 +:0443F50000000000C4 +:0443F60000000000C3 +:0443F70000000000C2 +:0443F80000000000C1 +:0443F90000000000C0 +:0443FA0000000000BF +:0443FB0000000000BE +:0443FC0000000000BD +:0443FD0000000000BC +:0443FE0000000000BB +:0443FF0000000000BA +:0444000000000000B8 +:0444010000000000B7 +:0444020000000000B6 +:0444030000000000B5 +:0444040000000000B4 +:0444050000000000B3 +:0444060000000000B2 +:0444070000000000B1 +:0444080000000000B0 +:0444090000000000AF +:04440A0000000000AE +:04440B0000000000AD +:04440C0000000000AC +:04440D0000000000AB +:04440E0000000000AA +:04440F0000000000A9 +:0444100000000000A8 +:0444110000000000A7 +:0444120000000000A6 +:0444130000000000A5 +:0444140000000000A4 +:0444150000000000A3 +:0444160000000000A2 +:0444170000000000A1 +:0444180000000000A0 +:04441900000000009F +:04441A00000000009E +:04441B00000000009D +:04441C00000000009C +:04441D00000000009B +:04441E00000000009A +:04441F000000000099 +:044420000000000098 +:044421000000000097 +:044422000000000096 +:044423000000000095 +:044424000000000094 +:044425000000000093 +:044426000000000092 +:044427000000000091 +:044428000000000090 +:04442900000000008F +:04442A00000000008E +:04442B00000000008D +:04442C00000000008C +:04442D00000000008B +:04442E00000000008A +:04442F000000000089 +:044430000000000088 +:044431000000000087 +:044432000000000086 +:044433000000000085 +:044434000000000084 +:044435000000000083 +:044436000000000082 +:044437000000000081 +:044438000000000080 +:04443900000000007F +:04443A00000000007E +:04443B00000000007D +:04443C00000000007C +:04443D00000000007B +:04443E00000000007A +:04443F000000000079 +:044440000000000078 +:044441000000000077 +:044442000000000076 +:044443000000000075 +:044444000000000074 +:044445000000000073 +:044446000000000072 +:044447000000000071 +:044448000000000070 +:04444900000000006F +:04444A00000000006E +:04444B00000000006D +:04444C00000000006C +:04444D00000000006B +:04444E00000000006A +:04444F000000000069 +:044450000000000068 +:044451000000000067 +:044452000000000066 +:044453000000000065 +:044454000000000064 +:044455000000000063 +:044456000000000062 +:044457000000000061 +:044458000000000060 +:04445900000000005F +:04445A00000000005E +:04445B00000000005D +:04445C00000000005C +:04445D00000000005B +:04445E00000000005A +:04445F000000000059 +:044460000000000058 +:044461000000000057 +:044462000000000056 +:044463000000000055 +:044464000000000054 +:044465000000000053 +:044466000000000052 +:044467000000000051 +:044468000000000050 +:04446900000000004F +:04446A00000000004E +:04446B00000000004D +:04446C00000000004C +:04446D00000000004B +:04446E00000000004A +:04446F000000000049 +:044470000000000048 +:044471000000000047 +:044472000000000046 +:044473000000000045 +:044474000000000044 +:044475000000000043 +:044476000000000042 +:044477000000000041 +:044478000000000040 +:04447900000000003F +:04447A00000000003E +:04447B00000000003D +:04447C00000000003C +:04447D00000000003B +:04447E00000000003A +:04447F000000000039 +:044480000000000038 +:044481000000000037 +:044482000000000036 +:044483000000000035 +:044484000000000034 +:044485000000000033 +:044486000000000032 +:044487000000000031 +:044488000000000030 +:04448900000000002F +:04448A00000000002E +:04448B00000000002D +:04448C00000000002C +:04448D00000000002B +:04448E00000000002A +:04448F000000000029 +:044490000000000028 +:044491000000000027 +:044492000000000026 +:044493000000000025 +:044494000000000024 +:044495000000000023 +:044496000000000022 +:044497000000000021 +:044498000000000020 +:04449900000000001F +:04449A00000000001E +:04449B00000000001D +:04449C00000000001C +:04449D00000000001B +:04449E00000000001A +:04449F000000000019 +:0444A0000000000018 +:0444A1000000000017 +:0444A2000000000016 +:0444A3000000000015 +:0444A4000000000014 +:0444A5000000000013 +:0444A6000000000012 +:0444A7000000000011 +:0444A8000000000010 +:0444A900000000000F +:0444AA00000000000E +:0444AB00000000000D +:0444AC00000000000C +:0444AD00000000000B +:0444AE00000000000A +:0444AF000000000009 +:0444B0000000000008 +:0444B1000000000007 +:0444B2000000000006 +:0444B3000000000005 +:0444B4000000000004 +:0444B5000000000003 +:0444B6000000000002 +:0444B7000000000001 +:0444B8000000000000 +:0444B90000000000FF +:0444BA0000000000FE +:0444BB0000000000FD +:0444BC0000000000FC +:0444BD0000000000FB +:0444BE0000000000FA +:0444BF0000000000F9 +:0444C00000000000F8 +:0444C10000000000F7 +:0444C20000000000F6 +:0444C30000000000F5 +:0444C40000000000F4 +:0444C50000000000F3 +:0444C60000000000F2 +:0444C70000000000F1 +:0444C80000000000F0 +:0444C90000000000EF +:0444CA0000000000EE +:0444CB0000000000ED +:0444CC0000000000EC +:0444CD0000000000EB +:0444CE0000000000EA +:0444CF0000000000E9 +:0444D00000000000E8 +:0444D10000000000E7 +:0444D20000000000E6 +:0444D30000000000E5 +:0444D40000000000E4 +:0444D50000000000E3 +:0444D60000000000E2 +:0444D70000000000E1 +:0444D80000000000E0 +:0444D90000000000DF +:0444DA0000000000DE +:0444DB0000000000DD +:0444DC0000000000DC +:0444DD0000000000DB +:0444DE0000000000DA +:0444DF0000000000D9 +:0444E00000000000D8 +:0444E10000000000D7 +:0444E20000000000D6 +:0444E30000000000D5 +:0444E40000000000D4 +:0444E50000000000D3 +:0444E60000000000D2 +:0444E70000000000D1 +:0444E80000000000D0 +:0444E90000000000CF +:0444EA0000000000CE +:0444EB0000000000CD +:0444EC0000000000CC +:0444ED0000000000CB +:0444EE0000000000CA +:0444EF0000000000C9 +:0444F00000000000C8 +:0444F10000000000C7 +:0444F20000000000C6 +:0444F30000000000C5 +:0444F40000000000C4 +:0444F50000000000C3 +:0444F60000000000C2 +:0444F70000000000C1 +:0444F80000000000C0 +:0444F90000000000BF +:0444FA0000000000BE +:0444FB0000000000BD +:0444FC0000000000BC +:0444FD0000000000BB +:0444FE0000000000BA +:0444FF0000000000B9 +:0445000000000000B7 +:0445010000000000B6 +:0445020000000000B5 +:0445030000000000B4 +:0445040000000000B3 +:0445050000000000B2 +:0445060000000000B1 +:0445070000000000B0 +:0445080000000000AF +:0445090000000000AE +:04450A0000000000AD +:04450B0000000000AC +:04450C0000000000AB +:04450D0000000000AA +:04450E0000000000A9 +:04450F0000000000A8 +:0445100000000000A7 +:0445110000000000A6 +:0445120000000000A5 +:0445130000000000A4 +:0445140000000000A3 +:0445150000000000A2 +:0445160000000000A1 +:0445170000000000A0 +:04451800000000009F +:04451900000000009E +:04451A00000000009D +:04451B00000000009C +:04451C00000000009B +:04451D00000000009A +:04451E000000000099 +:04451F000000000098 +:044520000000000097 +:044521000000000096 +:044522000000000095 +:044523000000000094 +:044524000000000093 +:044525000000000092 +:044526000000000091 +:044527000000000090 +:04452800000000008F +:04452900000000008E +:04452A00000000008D +:04452B00000000008C +:04452C00000000008B +:04452D00000000008A +:04452E000000000089 +:04452F000000000088 +:044530000000000087 +:044531000000000086 +:044532000000000085 +:044533000000000084 +:044534000000000083 +:044535000000000082 +:044536000000000081 +:044537000000000080 +:04453800000000007F +:04453900000000007E +:04453A00000000007D +:04453B00000000007C +:04453C00000000007B +:04453D00000000007A +:04453E000000000079 +:04453F000000000078 +:044540000000000077 +:044541000000000076 +:044542000000000075 +:044543000000000074 +:044544000000000073 +:044545000000000072 +:044546000000000071 +:044547000000000070 +:04454800000000006F +:04454900000000006E +:04454A00000000006D +:04454B00000000006C +:04454C00000000006B +:04454D00000000006A +:04454E000000000069 +:04454F000000000068 +:044550000000000067 +:044551000000000066 +:044552000000000065 +:044553000000000064 +:044554000000000063 +:044555000000000062 +:044556000000000061 +:044557000000000060 +:04455800000000005F +:04455900000000005E +:04455A00000000005D +:04455B00000000005C +:04455C00000000005B +:04455D00000000005A +:04455E000000000059 +:04455F000000000058 +:044560000000000057 +:044561000000000056 +:044562000000000055 +:044563000000000054 +:044564000000000053 +:044565000000000052 +:044566000000000051 +:044567000000000050 +:04456800000000004F +:04456900000000004E +:04456A00000000004D +:04456B00000000004C +:04456C00000000004B +:04456D00000000004A +:04456E000000000049 +:04456F000000000048 +:044570000000000047 +:044571000000000046 +:044572000000000045 +:044573000000000044 +:044574000000000043 +:044575000000000042 +:044576000000000041 +:044577000000000040 +:04457800000000003F +:04457900000000003E +:04457A00000000003D +:04457B00000000003C +:04457C00000000003B +:04457D00000000003A +:04457E000000000039 +:04457F000000000038 +:044580000000000037 +:044581000000000036 +:044582000000000035 +:044583000000000034 +:044584000000000033 +:044585000000000032 +:044586000000000031 +:044587000000000030 +:04458800000000002F +:04458900000000002E +:04458A00000000002D +:04458B00000000002C +:04458C00000000002B +:04458D00000000002A +:04458E000000000029 +:04458F000000000028 +:044590000000000027 +:044591000000000026 +:044592000000000025 +:044593000000000024 +:044594000000000023 +:044595000000000022 +:044596000000000021 +:044597000000000020 +:04459800000000001F +:04459900000000001E +:04459A00000000001D +:04459B00000000001C +:04459C00000000001B +:04459D00000000001A +:04459E000000000019 +:04459F000000000018 +:0445A0000000000017 +:0445A1000000000016 +:0445A2000000000015 +:0445A3000000000014 +:0445A4000000000013 +:0445A5000000000012 +:0445A6000000000011 +:0445A7000000000010 +:0445A800000000000F +:0445A900000000000E +:0445AA00000000000D +:0445AB00000000000C +:0445AC00000000000B +:0445AD00000000000A +:0445AE000000000009 +:0445AF000000000008 +:0445B0000000000007 +:0445B1000000000006 +:0445B2000000000005 +:0445B3000000000004 +:0445B4000000000003 +:0445B5000000000002 +:0445B6000000000001 +:0445B7000000000000 +:0445B80000000000FF +:0445B90000000000FE +:0445BA0000000000FD +:0445BB0000000000FC +:0445BC0000000000FB +:0445BD0000000000FA +:0445BE0000000000F9 +:0445BF0000000000F8 +:0445C00000000000F7 +:0445C10000000000F6 +:0445C20000000000F5 +:0445C30000000000F4 +:0445C40000000000F3 +:0445C50000000000F2 +:0445C60000000000F1 +:0445C70000000000F0 +:0445C80000000000EF +:0445C90000000000EE +:0445CA0000000000ED +:0445CB0000000000EC +:0445CC0000000000EB +:0445CD0000000000EA +:0445CE0000000000E9 +:0445CF0000000000E8 +:0445D00000000000E7 +:0445D10000000000E6 +:0445D20000000000E5 +:0445D30000000000E4 +:0445D40000000000E3 +:0445D50000000000E2 +:0445D60000000000E1 +:0445D70000000000E0 +:0445D80000000000DF +:0445D90000000000DE +:0445DA0000000000DD +:0445DB0000000000DC +:0445DC0000000000DB +:0445DD0000000000DA +:0445DE0000000000D9 +:0445DF0000000000D8 +:0445E00000000000D7 +:0445E10000000000D6 +:0445E20000000000D5 +:0445E30000000000D4 +:0445E40000000000D3 +:0445E50000000000D2 +:0445E60000000000D1 +:0445E70000000000D0 +:0445E80000000000CF +:0445E90000000000CE +:0445EA0000000000CD +:0445EB0000000000CC +:0445EC0000000000CB +:0445ED0000000000CA +:0445EE0000000000C9 +:0445EF0000000000C8 +:0445F00000000000C7 +:0445F10000000000C6 +:0445F20000000000C5 +:0445F30000000000C4 +:0445F40000000000C3 +:0445F50000000000C2 +:0445F60000000000C1 +:0445F70000000000C0 +:0445F80000000000BF +:0445F90000000000BE +:0445FA0000000000BD +:0445FB0000000000BC +:0445FC0000000000BB +:0445FD0000000000BA +:0445FE0000000000B9 +:0445FF0000000000B8 +:0446000000000000B6 +:0446010000000000B5 +:0446020000000000B4 +:0446030000000000B3 +:0446040000000000B2 +:0446050000000000B1 +:0446060000000000B0 +:0446070000000000AF +:0446080000000000AE +:0446090000000000AD +:04460A0000000000AC +:04460B0000000000AB +:04460C0000000000AA +:04460D0000000000A9 +:04460E0000000000A8 +:04460F0000000000A7 +:0446100000000000A6 +:0446110000000000A5 +:0446120000000000A4 +:0446130000000000A3 +:0446140000000000A2 +:0446150000000000A1 +:0446160000000000A0 +:04461700000000009F +:04461800000000009E +:04461900000000009D +:04461A00000000009C +:04461B00000000009B +:04461C00000000009A +:04461D000000000099 +:04461E000000000098 +:04461F000000000097 +:044620000000000096 +:044621000000000095 +:044622000000000094 +:044623000000000093 +:044624000000000092 +:044625000000000091 +:044626000000000090 +:04462700000000008F +:04462800000000008E +:04462900000000008D +:04462A00000000008C +:04462B00000000008B +:04462C00000000008A +:04462D000000000089 +:04462E000000000088 +:04462F000000000087 +:044630000000000086 +:044631000000000085 +:044632000000000084 +:044633000000000083 +:044634000000000082 +:044635000000000081 +:044636000000000080 +:04463700000000007F +:04463800000000007E +:04463900000000007D +:04463A00000000007C +:04463B00000000007B +:04463C00000000007A +:04463D000000000079 +:04463E000000000078 +:04463F000000000077 +:044640000000000076 +:044641000000000075 +:044642000000000074 +:044643000000000073 +:044644000000000072 +:044645000000000071 +:044646000000000070 +:04464700000000006F +:04464800000000006E +:04464900000000006D +:04464A00000000006C +:04464B00000000006B +:04464C00000000006A +:04464D000000000069 +:04464E000000000068 +:04464F000000000067 +:044650000000000066 +:044651000000000065 +:044652000000000064 +:044653000000000063 +:044654000000000062 +:044655000000000061 +:044656000000000060 +:04465700000000005F +:04465800000000005E +:04465900000000005D +:04465A00000000005C +:04465B00000000005B +:04465C00000000005A +:04465D000000000059 +:04465E000000000058 +:04465F000000000057 +:044660000000000056 +:044661000000000055 +:044662000000000054 +:044663000000000053 +:044664000000000052 +:044665000000000051 +:044666000000000050 +:04466700000000004F +:04466800000000004E +:04466900000000004D +:04466A00000000004C +:04466B00000000004B +:04466C00000000004A +:04466D000000000049 +:04466E000000000048 +:04466F000000000047 +:044670000000000046 +:044671000000000045 +:044672000000000044 +:044673000000000043 +:044674000000000042 +:044675000000000041 +:044676000000000040 +:04467700000000003F +:04467800000000003E +:04467900000000003D +:04467A00000000003C +:04467B00000000003B +:04467C00000000003A +:04467D000000000039 +:04467E000000000038 +:04467F000000000037 +:044680000000000036 +:044681000000000035 +:044682000000000034 +:044683000000000033 +:044684000000000032 +:044685000000000031 +:044686000000000030 +:04468700000000002F +:04468800000000002E +:04468900000000002D +:04468A00000000002C +:04468B00000000002B +:04468C00000000002A +:04468D000000000029 +:04468E000000000028 +:04468F000000000027 +:044690000000000026 +:044691000000000025 +:044692000000000024 +:044693000000000023 +:044694000000000022 +:044695000000000021 +:044696000000000020 +:04469700000000001F +:04469800000000001E +:04469900000000001D +:04469A00000000001C +:04469B00000000001B +:04469C00000000001A +:04469D000000000019 +:04469E000000000018 +:04469F000000000017 +:0446A0000000000016 +:0446A1000000000015 +:0446A2000000000014 +:0446A3000000000013 +:0446A4000000000012 +:0446A5000000000011 +:0446A6000000000010 +:0446A700000000000F +:0446A800000000000E +:0446A900000000000D +:0446AA00000000000C +:0446AB00000000000B +:0446AC00000000000A +:0446AD000000000009 +:0446AE000000000008 +:0446AF000000000007 +:0446B0000000000006 +:0446B1000000000005 +:0446B2000000000004 +:0446B3000000000003 +:0446B4000000000002 +:0446B5000000000001 +:0446B6000000000000 +:0446B70000000000FF +:0446B80000000000FE +:0446B90000000000FD +:0446BA0000000000FC +:0446BB0000000000FB +:0446BC0000000000FA +:0446BD0000000000F9 +:0446BE0000000000F8 +:0446BF0000000000F7 +:0446C00000000000F6 +:0446C10000000000F5 +:0446C20000000000F4 +:0446C30000000000F3 +:0446C40000000000F2 +:0446C50000000000F1 +:0446C60000000000F0 +:0446C70000000000EF +:0446C80000000000EE +:0446C90000000000ED +:0446CA0000000000EC +:0446CB0000000000EB +:0446CC0000000000EA +:0446CD0000000000E9 +:0446CE0000000000E8 +:0446CF0000000000E7 +:0446D00000000000E6 +:0446D10000000000E5 +:0446D20000000000E4 +:0446D30000000000E3 +:0446D40000000000E2 +:0446D50000000000E1 +:0446D60000000000E0 +:0446D70000000000DF +:0446D80000000000DE +:0446D90000000000DD +:0446DA0000000000DC +:0446DB0000000000DB +:0446DC0000000000DA +:0446DD0000000000D9 +:0446DE0000000000D8 +:0446DF0000000000D7 +:0446E00000000000D6 +:0446E10000000000D5 +:0446E20000000000D4 +:0446E30000000000D3 +:0446E40000000000D2 +:0446E50000000000D1 +:0446E60000000000D0 +:0446E70000000000CF +:0446E80000000000CE +:0446E90000000000CD +:0446EA0000000000CC +:0446EB0000000000CB +:0446EC0000000000CA +:0446ED0000000000C9 +:0446EE0000000000C8 +:0446EF0000000000C7 +:0446F00000000000C6 +:0446F10000000000C5 +:0446F20000000000C4 +:0446F30000000000C3 +:0446F40000000000C2 +:0446F50000000000C1 +:0446F60000000000C0 +:0446F70000000000BF +:0446F80000000000BE +:0446F90000000000BD +:0446FA0000000000BC +:0446FB0000000000BB +:0446FC0000000000BA +:0446FD0000000000B9 +:0446FE0000000000B8 +:0446FF0000000000B7 +:0447000000000000B5 +:0447010000000000B4 +:0447020000000000B3 +:0447030000000000B2 +:0447040000000000B1 +:0447050000000000B0 +:0447060000000000AF +:0447070000000000AE +:0447080000000000AD +:0447090000000000AC +:04470A0000000000AB +:04470B0000000000AA +:04470C0000000000A9 +:04470D0000000000A8 +:04470E0000000000A7 +:04470F0000000000A6 +:0447100000000000A5 +:0447110000000000A4 +:0447120000000000A3 +:0447130000000000A2 +:0447140000000000A1 +:0447150000000000A0 +:04471600000000009F +:04471700000000009E +:04471800000000009D +:04471900000000009C +:04471A00000000009B +:04471B00000000009A +:04471C000000000099 +:04471D000000000098 +:04471E000000000097 +:04471F000000000096 +:044720000000000095 +:044721000000000094 +:044722000000000093 +:044723000000000092 +:044724000000000091 +:044725000000000090 +:04472600000000008F +:04472700000000008E +:04472800000000008D +:04472900000000008C +:04472A00000000008B +:04472B00000000008A +:04472C000000000089 +:04472D000000000088 +:04472E000000000087 +:04472F000000000086 +:044730000000000085 +:044731000000000084 +:044732000000000083 +:044733000000000082 +:044734000000000081 +:044735000000000080 +:04473600000000007F +:04473700000000007E +:04473800000000007D +:04473900000000007C +:04473A00000000007B +:04473B00000000007A +:04473C000000000079 +:04473D000000000078 +:04473E000000000077 +:04473F000000000076 +:044740000000000075 +:044741000000000074 +:044742000000000073 +:044743000000000072 +:044744000000000071 +:044745000000000070 +:04474600000000006F +:04474700000000006E +:04474800000000006D +:04474900000000006C +:04474A00000000006B +:04474B00000000006A +:04474C000000000069 +:04474D000000000068 +:04474E000000000067 +:04474F000000000066 +:044750000000000065 +:044751000000000064 +:044752000000000063 +:044753000000000062 +:044754000000000061 +:044755000000000060 +:04475600000000005F +:04475700000000005E +:04475800000000005D +:04475900000000005C +:04475A00000000005B +:04475B00000000005A +:04475C000000000059 +:04475D000000000058 +:04475E000000000057 +:04475F000000000056 +:044760000000000055 +:044761000000000054 +:044762000000000053 +:044763000000000052 +:044764000000000051 +:044765000000000050 +:04476600000000004F +:04476700000000004E +:04476800000000004D +:04476900000000004C +:04476A00000000004B +:04476B00000000004A +:04476C000000000049 +:04476D000000000048 +:04476E000000000047 +:04476F000000000046 +:044770000000000045 +:044771000000000044 +:044772000000000043 +:044773000000000042 +:044774000000000041 +:044775000000000040 +:04477600000000003F +:04477700000000003E +:04477800000000003D +:04477900000000003C +:04477A00000000003B +:04477B00000000003A +:04477C000000000039 +:04477D000000000038 +:04477E000000000037 +:04477F000000000036 +:044780000000000035 +:044781000000000034 +:044782000000000033 +:044783000000000032 +:044784000000000031 +:044785000000000030 +:04478600000000002F +:04478700000000002E +:04478800000000002D +:04478900000000002C +:04478A00000000002B +:04478B00000000002A +:04478C000000000029 +:04478D000000000028 +:04478E000000000027 +:04478F000000000026 +:044790000000000025 +:044791000000000024 +:044792000000000023 +:044793000000000022 +:044794000000000021 +:044795000000000020 +:04479600000000001F +:04479700000000001E +:04479800000000001D +:04479900000000001C +:04479A00000000001B +:04479B00000000001A +:04479C000000000019 +:04479D000000000018 +:04479E000000000017 +:04479F000000000016 +:0447A0000000000015 +:0447A1000000000014 +:0447A2000000000013 +:0447A3000000000012 +:0447A4000000000011 +:0447A5000000000010 +:0447A600000000000F +:0447A700000000000E +:0447A800000000000D +:0447A900000000000C +:0447AA00000000000B +:0447AB00000000000A +:0447AC000000000009 +:0447AD000000000008 +:0447AE000000000007 +:0447AF000000000006 +:0447B0000000000005 +:0447B1000000000004 +:0447B2000000000003 +:0447B3000000000002 +:0447B4000000000001 +:0447B5000000000000 +:0447B60000000000FF +:0447B70000000000FE +:0447B80000000000FD +:0447B90000000000FC +:0447BA0000000000FB +:0447BB0000000000FA +:0447BC0000000000F9 +:0447BD0000000000F8 +:0447BE0000000000F7 +:0447BF0000000000F6 +:0447C00000000000F5 +:0447C10000000000F4 +:0447C20000000000F3 +:0447C30000000000F2 +:0447C40000000000F1 +:0447C50000000000F0 +:0447C60000000000EF +:0447C70000000000EE +:0447C80000000000ED +:0447C90000000000EC +:0447CA0000000000EB +:0447CB0000000000EA +:0447CC0000000000E9 +:0447CD0000000000E8 +:0447CE0000000000E7 +:0447CF0000000000E6 +:0447D00000000000E5 +:0447D10000000000E4 +:0447D20000000000E3 +:0447D30000000000E2 +:0447D40000000000E1 +:0447D50000000000E0 +:0447D60000000000DF +:0447D70000000000DE +:0447D80000000000DD +:0447D90000000000DC +:0447DA0000000000DB +:0447DB0000000000DA +:0447DC0000000000D9 +:0447DD0000000000D8 +:0447DE0000000000D7 +:0447DF0000000000D6 +:0447E00000000000D5 +:0447E10000000000D4 +:0447E20000000000D3 +:0447E30000000000D2 +:0447E40000000000D1 +:0447E50000000000D0 +:0447E60000000000CF +:0447E70000000000CE +:0447E80000000000CD +:0447E90000000000CC +:0447EA0000000000CB +:0447EB0000000000CA +:0447EC0000000000C9 +:0447ED0000000000C8 +:0447EE0000000000C7 +:0447EF0000000000C6 +:0447F00000000000C5 +:0447F10000000000C4 +:0447F20000000000C3 +:0447F30000000000C2 +:0447F40000000000C1 +:0447F50000000000C0 +:0447F60000000000BF +:0447F70000000000BE +:0447F80000000000BD +:0447F90000000000BC +:0447FA0000000000BB +:0447FB0000000000BA +:0447FC0000000000B9 +:0447FD0000000000B8 +:0447FE0000000000B7 +:0447FF0000000000B6 +:0448000000000000B4 +:0448010000000000B3 +:0448020000000000B2 +:0448030000000000B1 +:0448040000000000B0 +:0448050000000000AF +:0448060000000000AE +:0448070000000000AD +:0448080000000000AC +:0448090000000000AB +:04480A0000000000AA +:04480B0000000000A9 +:04480C0000000000A8 +:04480D0000000000A7 +:04480E0000000000A6 +:04480F0000000000A5 +:0448100000000000A4 +:0448110000000000A3 +:0448120000000000A2 +:0448130000000000A1 +:0448140000000000A0 +:04481500000000009F +:04481600000000009E +:04481700000000009D +:04481800000000009C +:04481900000000009B +:04481A00000000009A +:04481B000000000099 +:04481C000000000098 +:04481D000000000097 +:04481E000000000096 +:04481F000000000095 +:044820000000000094 +:044821000000000093 +:044822000000000092 +:044823000000000091 +:044824000000000090 +:04482500000000008F +:04482600000000008E +:04482700000000008D +:04482800000000008C +:04482900000000008B +:04482A00000000008A +:04482B000000000089 +:04482C000000000088 +:04482D000000000087 +:04482E000000000086 +:04482F000000000085 +:044830000000000084 +:044831000000000083 +:044832000000000082 +:044833000000000081 +:044834000000000080 +:04483500000000007F +:04483600000000007E +:04483700000000007D +:04483800000000007C +:04483900000000007B +:04483A00000000007A +:04483B000000000079 +:04483C000000000078 +:04483D000000000077 +:04483E000000000076 +:04483F000000000075 +:044840000000000074 +:044841000000000073 +:044842000000000072 +:044843000000000071 +:044844000000000070 +:04484500000000006F +:04484600000000006E +:04484700000000006D +:04484800000000006C +:04484900000000006B +:04484A00000000006A +:04484B000000000069 +:04484C000000000068 +:04484D000000000067 +:04484E000000000066 +:04484F000000000065 +:044850000000000064 +:044851000000000063 +:044852000000000062 +:044853000000000061 +:044854000000000060 +:04485500000000005F +:04485600000000005E +:04485700000000005D +:04485800000000005C +:04485900000000005B +:04485A00000000005A +:04485B000000000059 +:04485C000000000058 +:04485D000000000057 +:04485E000000000056 +:04485F000000000055 +:044860000000000054 +:044861000000000053 +:044862000000000052 +:044863000000000051 +:044864000000000050 +:04486500000000004F +:04486600000000004E +:04486700000000004D +:04486800000000004C +:04486900000000004B +:04486A00000000004A +:04486B000000000049 +:04486C000000000048 +:04486D000000000047 +:04486E000000000046 +:04486F000000000045 +:044870000000000044 +:044871000000000043 +:044872000000000042 +:044873000000000041 +:044874000000000040 +:04487500000000003F +:04487600000000003E +:04487700000000003D +:04487800000000003C +:04487900000000003B +:04487A00000000003A +:04487B000000000039 +:04487C000000000038 +:04487D000000000037 +:04487E000000000036 +:04487F000000000035 +:044880000000000034 +:044881000000000033 +:044882000000000032 +:044883000000000031 +:044884000000000030 +:04488500000000002F +:04488600000000002E +:04488700000000002D +:04488800000000002C +:04488900000000002B +:04488A00000000002A +:04488B000000000029 +:04488C000000000028 +:04488D000000000027 +:04488E000000000026 +:04488F000000000025 +:044890000000000024 +:044891000000000023 +:044892000000000022 +:044893000000000021 +:044894000000000020 +:04489500000000001F +:04489600000000001E +:04489700000000001D +:04489800000000001C +:04489900000000001B +:04489A00000000001A +:04489B000000000019 +:04489C000000000018 +:04489D000000000017 +:04489E000000000016 +:04489F000000000015 +:0448A0000000000014 +:0448A1000000000013 +:0448A2000000000012 +:0448A3000000000011 +:0448A4000000000010 +:0448A500000000000F +:0448A600000000000E +:0448A700000000000D +:0448A800000000000C +:0448A900000000000B +:0448AA00000000000A +:0448AB000000000009 +:0448AC000000000008 +:0448AD000000000007 +:0448AE000000000006 +:0448AF000000000005 +:0448B0000000000004 +:0448B1000000000003 +:0448B2000000000002 +:0448B3000000000001 +:0448B4000000000000 +:0448B50000000000FF +:0448B60000000000FE +:0448B70000000000FD +:0448B80000000000FC +:0448B90000000000FB +:0448BA0000000000FA +:0448BB0000000000F9 +:0448BC0000000000F8 +:0448BD0000000000F7 +:0448BE0000000000F6 +:0448BF0000000000F5 +:0448C00000000000F4 +:0448C10000000000F3 +:0448C20000000000F2 +:0448C30000000000F1 +:0448C40000000000F0 +:0448C50000000000EF +:0448C60000000000EE +:0448C70000000000ED +:0448C80000000000EC +:0448C90000000000EB +:0448CA0000000000EA +:0448CB0000000000E9 +:0448CC0000000000E8 +:0448CD0000000000E7 +:0448CE0000000000E6 +:0448CF0000000000E5 +:0448D00000000000E4 +:0448D10000000000E3 +:0448D20000000000E2 +:0448D30000000000E1 +:0448D40000000000E0 +:0448D50000000000DF +:0448D60000000000DE +:0448D70000000000DD +:0448D80000000000DC +:0448D90000000000DB +:0448DA0000000000DA +:0448DB0000000000D9 +:0448DC0000000000D8 +:0448DD0000000000D7 +:0448DE0000000000D6 +:0448DF0000000000D5 +:0448E00000000000D4 +:0448E10000000000D3 +:0448E20000000000D2 +:0448E30000000000D1 +:0448E40000000000D0 +:0448E50000000000CF +:0448E60000000000CE +:0448E70000000000CD +:0448E80000000000CC +:0448E90000000000CB +:0448EA0000000000CA +:0448EB0000000000C9 +:0448EC0000000000C8 +:0448ED0000000000C7 +:0448EE0000000000C6 +:0448EF0000000000C5 +:0448F00000000000C4 +:0448F10000000000C3 +:0448F20000000000C2 +:0448F30000000000C1 +:0448F40000000000C0 +:0448F50000000000BF +:0448F60000000000BE +:0448F70000000000BD +:0448F80000000000BC +:0448F90000000000BB +:0448FA0000000000BA +:0448FB0000000000B9 +:0448FC0000000000B8 +:0448FD0000000000B7 +:0448FE0000000000B6 +:0448FF0000000000B5 +:0449000000000000B3 +:0449010000000000B2 +:0449020000000000B1 +:0449030000000000B0 +:0449040000000000AF +:0449050000000000AE +:0449060000000000AD +:0449070000000000AC +:0449080000000000AB +:0449090000000000AA +:04490A0000000000A9 +:04490B0000000000A8 +:04490C0000000000A7 +:04490D0000000000A6 +:04490E0000000000A5 +:04490F0000000000A4 +:0449100000000000A3 +:0449110000000000A2 +:0449120000000000A1 +:0449130000000000A0 +:04491400000000009F +:04491500000000009E +:04491600000000009D +:04491700000000009C +:04491800000000009B +:04491900000000009A +:04491A000000000099 +:04491B000000000098 +:04491C000000000097 +:04491D000000000096 +:04491E000000000095 +:04491F000000000094 +:044920000000000093 +:044921000000000092 +:044922000000000091 +:044923000000000090 +:04492400000000008F +:04492500000000008E +:04492600000000008D +:04492700000000008C +:04492800000000008B +:04492900000000008A +:04492A000000000089 +:04492B000000000088 +:04492C000000000087 +:04492D000000000086 +:04492E000000000085 +:04492F000000000084 +:044930000000000083 +:044931000000000082 +:044932000000000081 +:044933000000000080 +:04493400000000007F +:04493500000000007E +:04493600000000007D +:04493700000000007C +:04493800000000007B +:04493900000000007A +:04493A000000000079 +:04493B000000000078 +:04493C000000000077 +:04493D000000000076 +:04493E000000000075 +:04493F000000000074 +:044940000000000073 +:044941000000000072 +:044942000000000071 +:044943000000000070 +:04494400000000006F +:04494500000000006E +:04494600000000006D +:04494700000000006C +:04494800000000006B +:04494900000000006A +:04494A000000000069 +:04494B000000000068 +:04494C000000000067 +:04494D000000000066 +:04494E000000000065 +:04494F000000000064 +:044950000000000063 +:044951000000000062 +:044952000000000061 +:044953000000000060 +:04495400000000005F +:04495500000000005E +:04495600000000005D +:04495700000000005C +:04495800000000005B +:04495900000000005A +:04495A000000000059 +:04495B000000000058 +:04495C000000000057 +:04495D000000000056 +:04495E000000000055 +:04495F000000000054 +:044960000000000053 +:044961000000000052 +:044962000000000051 +:044963000000000050 +:04496400000000004F +:04496500000000004E +:04496600000000004D +:04496700000000004C +:04496800000000004B +:04496900000000004A +:04496A000000000049 +:04496B000000000048 +:04496C000000000047 +:04496D000000000046 +:04496E000000000045 +:04496F000000000044 +:044970000000000043 +:044971000000000042 +:044972000000000041 +:044973000000000040 +:04497400000000003F +:04497500000000003E +:04497600000000003D +:04497700000000003C +:04497800000000003B +:04497900000000003A +:04497A000000000039 +:04497B000000000038 +:04497C000000000037 +:04497D000000000036 +:04497E000000000035 +:04497F000000000034 +:044980000000000033 +:044981000000000032 +:044982000000000031 +:044983000000000030 +:04498400000000002F +:04498500000000002E +:04498600000000002D +:04498700000000002C +:04498800000000002B +:04498900000000002A +:04498A000000000029 +:04498B000000000028 +:04498C000000000027 +:04498D000000000026 +:04498E000000000025 +:04498F000000000024 +:044990000000000023 +:044991000000000022 +:044992000000000021 +:044993000000000020 +:04499400000000001F +:04499500000000001E +:04499600000000001D +:04499700000000001C +:04499800000000001B +:04499900000000001A +:04499A000000000019 +:04499B000000000018 +:04499C000000000017 +:04499D000000000016 +:04499E000000000015 +:04499F000000000014 +:0449A0000000000013 +:0449A1000000000012 +:0449A2000000000011 +:0449A3000000000010 +:0449A400000000000F +:0449A500000000000E +:0449A600000000000D +:0449A700000000000C +:0449A800000000000B +:0449A900000000000A +:0449AA000000000009 +:0449AB000000000008 +:0449AC000000000007 +:0449AD000000000006 +:0449AE000000000005 +:0449AF000000000004 +:0449B0000000000003 +:0449B1000000000002 +:0449B2000000000001 +:0449B3000000000000 +:0449B40000000000FF +:0449B50000000000FE +:0449B60000000000FD +:0449B70000000000FC +:0449B80000000000FB +:0449B90000000000FA +:0449BA0000000000F9 +:0449BB0000000000F8 +:0449BC0000000000F7 +:0449BD0000000000F6 +:0449BE0000000000F5 +:0449BF0000000000F4 +:0449C00000000000F3 +:0449C10000000000F2 +:0449C20000000000F1 +:0449C30000000000F0 +:0449C40000000000EF +:0449C50000000000EE +:0449C60000000000ED +:0449C70000000000EC +:0449C80000000000EB +:0449C90000000000EA +:0449CA0000000000E9 +:0449CB0000000000E8 +:0449CC0000000000E7 +:0449CD0000000000E6 +:0449CE0000000000E5 +:0449CF0000000000E4 +:0449D00000000000E3 +:0449D10000000000E2 +:0449D20000000000E1 +:0449D30000000000E0 +:0449D40000000000DF +:0449D50000000000DE +:0449D60000000000DD +:0449D70000000000DC +:0449D80000000000DB +:0449D90000000000DA +:0449DA0000000000D9 +:0449DB0000000000D8 +:0449DC0000000000D7 +:0449DD0000000000D6 +:0449DE0000000000D5 +:0449DF0000000000D4 +:0449E00000000000D3 +:0449E10000000000D2 +:0449E20000000000D1 +:0449E30000000000D0 +:0449E40000000000CF +:0449E50000000000CE +:0449E60000000000CD +:0449E70000000000CC +:0449E80000000000CB +:0449E90000000000CA +:0449EA0000000000C9 +:0449EB0000000000C8 +:0449EC0000000000C7 +:0449ED0000000000C6 +:0449EE0000000000C5 +:0449EF0000000000C4 +:0449F00000000000C3 +:0449F10000000000C2 +:0449F20000000000C1 +:0449F30000000000C0 +:0449F40000000000BF +:0449F50000000000BE +:0449F60000000000BD +:0449F70000000000BC +:0449F80000000000BB +:0449F90000000000BA +:0449FA0000000000B9 +:0449FB0000000000B8 +:0449FC0000000000B7 +:0449FD0000000000B6 +:0449FE0000000000B5 +:0449FF0000000000B4 +:044A000000000000B2 +:044A010000000000B1 +:044A020000000000B0 +:044A030000000000AF +:044A040000000000AE +:044A050000000000AD +:044A060000000000AC +:044A070000000000AB +:044A080000000000AA +:044A090000000000A9 +:044A0A0000000000A8 +:044A0B0000000000A7 +:044A0C0000000000A6 +:044A0D0000000000A5 +:044A0E0000000000A4 +:044A0F0000000000A3 +:044A100000000000A2 +:044A110000000000A1 +:044A120000000000A0 +:044A1300000000009F +:044A1400000000009E +:044A1500000000009D +:044A1600000000009C +:044A1700000000009B +:044A1800000000009A +:044A19000000000099 +:044A1A000000000098 +:044A1B000000000097 +:044A1C000000000096 +:044A1D000000000095 +:044A1E000000000094 +:044A1F000000000093 +:044A20000000000092 +:044A21000000000091 +:044A22000000000090 +:044A2300000000008F +:044A2400000000008E +:044A2500000000008D +:044A2600000000008C +:044A2700000000008B +:044A2800000000008A +:044A29000000000089 +:044A2A000000000088 +:044A2B000000000087 +:044A2C000000000086 +:044A2D000000000085 +:044A2E000000000084 +:044A2F000000000083 +:044A30000000000082 +:044A31000000000081 +:044A32000000000080 +:044A3300000000007F +:044A3400000000007E +:044A3500000000007D +:044A3600000000007C +:044A3700000000007B +:044A3800000000007A +:044A39000000000079 +:044A3A000000000078 +:044A3B000000000077 +:044A3C000000000076 +:044A3D000000000075 +:044A3E000000000074 +:044A3F000000000073 +:044A40000000000072 +:044A41000000000071 +:044A42000000000070 +:044A4300000000006F +:044A4400000000006E +:044A4500000000006D +:044A4600000000006C +:044A4700000000006B +:044A4800000000006A +:044A49000000000069 +:044A4A000000000068 +:044A4B000000000067 +:044A4C000000000066 +:044A4D000000000065 +:044A4E000000000064 +:044A4F000000000063 +:044A50000000000062 +:044A51000000000061 +:044A52000000000060 +:044A5300000000005F +:044A5400000000005E +:044A5500000000005D +:044A5600000000005C +:044A5700000000005B +:044A5800000000005A +:044A59000000000059 +:044A5A000000000058 +:044A5B000000000057 +:044A5C000000000056 +:044A5D000000000055 +:044A5E000000000054 +:044A5F000000000053 +:044A60000000000052 +:044A61000000000051 +:044A62000000000050 +:044A6300000000004F +:044A6400000000004E +:044A6500000000004D +:044A6600000000004C +:044A6700000000004B +:044A6800000000004A +:044A69000000000049 +:044A6A000000000048 +:044A6B000000000047 +:044A6C000000000046 +:044A6D000000000045 +:044A6E000000000044 +:044A6F000000000043 +:044A70000000000042 +:044A71000000000041 +:044A72000000000040 +:044A7300000000003F +:044A7400000000003E +:044A7500000000003D +:044A7600000000003C +:044A7700000000003B +:044A7800000000003A +:044A79000000000039 +:044A7A000000000038 +:044A7B000000000037 +:044A7C000000000036 +:044A7D000000000035 +:044A7E000000000034 +:044A7F000000000033 +:044A80000000000032 +:044A81000000000031 +:044A82000000000030 +:044A8300000000002F +:044A8400000000002E +:044A8500000000002D +:044A8600000000002C +:044A8700000000002B +:044A8800000000002A +:044A89000000000029 +:044A8A000000000028 +:044A8B000000000027 +:044A8C000000000026 +:044A8D000000000025 +:044A8E000000000024 +:044A8F000000000023 +:044A90000000000022 +:044A91000000000021 +:044A92000000000020 +:044A9300000000001F +:044A9400000000001E +:044A9500000000001D +:044A9600000000001C +:044A9700000000001B +:044A9800000000001A +:044A99000000000019 +:044A9A000000000018 +:044A9B000000000017 +:044A9C000000000016 +:044A9D000000000015 +:044A9E000000000014 +:044A9F000000000013 +:044AA0000000000012 +:044AA1000000000011 +:044AA2000000000010 +:044AA300000000000F +:044AA400000000000E +:044AA500000000000D +:044AA600000000000C +:044AA700000000000B +:044AA800000000000A +:044AA9000000000009 +:044AAA000000000008 +:044AAB000000000007 +:044AAC000000000006 +:044AAD000000000005 +:044AAE000000000004 +:044AAF000000000003 +:044AB0000000000002 +:044AB1000000000001 +:044AB2000000000000 +:044AB30000000000FF +:044AB40000000000FE +:044AB50000000000FD +:044AB60000000000FC +:044AB70000000000FB +:044AB80000000000FA +:044AB90000000000F9 +:044ABA0000000000F8 +:044ABB0000000000F7 +:044ABC0000000000F6 +:044ABD0000000000F5 +:044ABE0000000000F4 +:044ABF0000000000F3 +:044AC00000000000F2 +:044AC10000000000F1 +:044AC20000000000F0 +:044AC30000000000EF +:044AC40000000000EE +:044AC50000000000ED +:044AC60000000000EC +:044AC70000000000EB +:044AC80000000000EA +:044AC90000000000E9 +:044ACA0000000000E8 +:044ACB0000000000E7 +:044ACC0000000000E6 +:044ACD0000000000E5 +:044ACE0000000000E4 +:044ACF0000000000E3 +:044AD00000000000E2 +:044AD10000000000E1 +:044AD20000000000E0 +:044AD30000000000DF +:044AD40000000000DE +:044AD50000000000DD +:044AD60000000000DC +:044AD70000000000DB +:044AD80000000000DA +:044AD90000000000D9 +:044ADA0000000000D8 +:044ADB0000000000D7 +:044ADC0000000000D6 +:044ADD0000000000D5 +:044ADE0000000000D4 +:044ADF0000000000D3 +:044AE00000000000D2 +:044AE10000000000D1 +:044AE20000000000D0 +:044AE30000000000CF +:044AE40000000000CE +:044AE50000000000CD +:044AE60000000000CC +:044AE70000000000CB +:044AE80000000000CA +:044AE90000000000C9 +:044AEA0000000000C8 +:044AEB0000000000C7 +:044AEC0000000000C6 +:044AED0000000000C5 +:044AEE0000000000C4 +:044AEF0000000000C3 +:044AF00000000000C2 +:044AF10000000000C1 +:044AF20000000000C0 +:044AF30000000000BF +:044AF40000000000BE +:044AF50000000000BD +:044AF60000000000BC +:044AF70000000000BB +:044AF80000000000BA +:044AF90000000000B9 +:044AFA0000000000B8 +:044AFB0000000000B7 +:044AFC0000000000B6 +:044AFD0000000000B5 +:044AFE0000000000B4 +:044AFF0000000000B3 +:044B000000000000B1 +:044B010000000000B0 +:044B020000000000AF +:044B030000000000AE +:044B040000000000AD +:044B050000000000AC +:044B060000000000AB +:044B070000000000AA +:044B080000000000A9 +:044B090000000000A8 +:044B0A0000000000A7 +:044B0B0000000000A6 +:044B0C0000000000A5 +:044B0D0000000000A4 +:044B0E0000000000A3 +:044B0F0000000000A2 +:044B100000000000A1 +:044B110000000000A0 +:044B1200000000009F +:044B1300000000009E +:044B1400000000009D +:044B1500000000009C +:044B1600000000009B +:044B1700000000009A +:044B18000000000099 +:044B19000000000098 +:044B1A000000000097 +:044B1B000000000096 +:044B1C000000000095 +:044B1D000000000094 +:044B1E000000000093 +:044B1F000000000092 +:044B20000000000091 +:044B21000000000090 +:044B2200000000008F +:044B2300000000008E +:044B2400000000008D +:044B2500000000008C +:044B2600000000008B +:044B2700000000008A +:044B28000000000089 +:044B29000000000088 +:044B2A000000000087 +:044B2B000000000086 +:044B2C000000000085 +:044B2D000000000084 +:044B2E000000000083 +:044B2F000000000082 +:044B30000000000081 +:044B31000000000080 +:044B3200000000007F +:044B3300000000007E +:044B3400000000007D +:044B3500000000007C +:044B3600000000007B +:044B3700000000007A +:044B38000000000079 +:044B39000000000078 +:044B3A000000000077 +:044B3B000000000076 +:044B3C000000000075 +:044B3D000000000074 +:044B3E000000000073 +:044B3F000000000072 +:044B40000000000071 +:044B41000000000070 +:044B4200000000006F +:044B4300000000006E +:044B4400000000006D +:044B4500000000006C +:044B4600000000006B +:044B4700000000006A +:044B48000000000069 +:044B49000000000068 +:044B4A000000000067 +:044B4B000000000066 +:044B4C000000000065 +:044B4D000000000064 +:044B4E000000000063 +:044B4F000000000062 +:044B50000000000061 +:044B51000000000060 +:044B5200000000005F +:044B5300000000005E +:044B5400000000005D +:044B5500000000005C +:044B5600000000005B +:044B5700000000005A +:044B58000000000059 +:044B59000000000058 +:044B5A000000000057 +:044B5B000000000056 +:044B5C000000000055 +:044B5D000000000054 +:044B5E000000000053 +:044B5F000000000052 +:044B60000000000051 +:044B61000000000050 +:044B6200000000004F +:044B6300000000004E +:044B6400000000004D +:044B6500000000004C +:044B6600000000004B +:044B6700000000004A +:044B68000000000049 +:044B69000000000048 +:044B6A000000000047 +:044B6B000000000046 +:044B6C000000000045 +:044B6D000000000044 +:044B6E000000000043 +:044B6F000000000042 +:044B70000000000041 +:044B71000000000040 +:044B7200000000003F +:044B7300000000003E +:044B7400000000003D +:044B7500000000003C +:044B7600000000003B +:044B7700000000003A +:044B78000000000039 +:044B79000000000038 +:044B7A000000000037 +:044B7B000000000036 +:044B7C000000000035 +:044B7D000000000034 +:044B7E000000000033 +:044B7F000000000032 +:044B80000000000031 +:044B81000000000030 +:044B8200000000002F +:044B8300000000002E +:044B8400000000002D +:044B8500000000002C +:044B8600000000002B +:044B8700000000002A +:044B88000000000029 +:044B89000000000028 +:044B8A000000000027 +:044B8B000000000026 +:044B8C000000000025 +:044B8D000000000024 +:044B8E000000000023 +:044B8F000000000022 +:044B90000000000021 +:044B91000000000020 +:044B9200000000001F +:044B9300000000001E +:044B9400000000001D +:044B9500000000001C +:044B9600000000001B +:044B9700000000001A +:044B98000000000019 +:044B99000000000018 +:044B9A000000000017 +:044B9B000000000016 +:044B9C000000000015 +:044B9D000000000014 +:044B9E000000000013 +:044B9F000000000012 +:044BA0000000000011 +:044BA1000000000010 +:044BA200000000000F +:044BA300000000000E +:044BA400000000000D +:044BA500000000000C +:044BA600000000000B +:044BA700000000000A +:044BA8000000000009 +:044BA9000000000008 +:044BAA000000000007 +:044BAB000000000006 +:044BAC000000000005 +:044BAD000000000004 +:044BAE000000000003 +:044BAF000000000002 +:044BB0000000000001 +:044BB1000000000000 +:044BB20000000000FF +:044BB30000000000FE +:044BB40000000000FD +:044BB50000000000FC +:044BB60000000000FB +:044BB70000000000FA +:044BB80000000000F9 +:044BB90000000000F8 +:044BBA0000000000F7 +:044BBB0000000000F6 +:044BBC0000000000F5 +:044BBD0000000000F4 +:044BBE0000000000F3 +:044BBF0000000000F2 +:044BC00000000000F1 +:044BC10000000000F0 +:044BC20000000000EF +:044BC30000000000EE +:044BC40000000000ED +:044BC50000000000EC +:044BC60000000000EB +:044BC70000000000EA +:044BC80000000000E9 +:044BC90000000000E8 +:044BCA0000000000E7 +:044BCB0000000000E6 +:044BCC0000000000E5 +:044BCD0000000000E4 +:044BCE0000000000E3 +:044BCF0000000000E2 +:044BD00000000000E1 +:044BD10000000000E0 +:044BD20000000000DF +:044BD30000000000DE +:044BD40000000000DD +:044BD50000000000DC +:044BD60000000000DB +:044BD70000000000DA +:044BD80000000000D9 +:044BD90000000000D8 +:044BDA0000000000D7 +:044BDB0000000000D6 +:044BDC0000000000D5 +:044BDD0000000000D4 +:044BDE0000000000D3 +:044BDF0000000000D2 +:044BE00000000000D1 +:044BE10000000000D0 +:044BE20000000000CF +:044BE30000000000CE +:044BE40000000000CD +:044BE50000000000CC +:044BE60000000000CB +:044BE70000000000CA +:044BE80000000000C9 +:044BE90000000000C8 +:044BEA0000000000C7 +:044BEB0000000000C6 +:044BEC0000000000C5 +:044BED0000000000C4 +:044BEE0000000000C3 +:044BEF0000000000C2 +:044BF00000000000C1 +:044BF10000000000C0 +:044BF20000000000BF +:044BF30000000000BE +:044BF40000000000BD +:044BF50000000000BC +:044BF60000000000BB +:044BF70000000000BA +:044BF80000000000B9 +:044BF90000000000B8 +:044BFA0000000000B7 +:044BFB0000000000B6 +:044BFC0000000000B5 +:044BFD0000000000B4 +:044BFE0000000000B3 +:044BFF0000000000B2 +:044C000000000000B0 +:044C010000000000AF +:044C020000000000AE +:044C030000000000AD +:044C040000000000AC +:044C050000000000AB +:044C060000000000AA +:044C070000000000A9 +:044C080000000000A8 +:044C090000000000A7 +:044C0A0000000000A6 +:044C0B0000000000A5 +:044C0C0000000000A4 +:044C0D0000000000A3 +:044C0E0000000000A2 +:044C0F0000000000A1 +:044C100000000000A0 +:044C1100000000009F +:044C1200000000009E +:044C1300000000009D +:044C1400000000009C +:044C1500000000009B +:044C1600000000009A +:044C17000000000099 +:044C18000000000098 +:044C19000000000097 +:044C1A000000000096 +:044C1B000000000095 +:044C1C000000000094 +:044C1D000000000093 +:044C1E000000000092 +:044C1F000000000091 +:044C20000000000090 +:044C2100000000008F +:044C2200000000008E +:044C2300000000008D +:044C2400000000008C +:044C2500000000008B +:044C2600000000008A +:044C27000000000089 +:044C28000000000088 +:044C29000000000087 +:044C2A000000000086 +:044C2B000000000085 +:044C2C000000000084 +:044C2D000000000083 +:044C2E000000000082 +:044C2F000000000081 +:044C30000000000080 +:044C3100000000007F +:044C3200000000007E +:044C3300000000007D +:044C3400000000007C +:044C3500000000007B +:044C3600000000007A +:044C37000000000079 +:044C38000000000078 +:044C39000000000077 +:044C3A000000000076 +:044C3B000000000075 +:044C3C000000000074 +:044C3D000000000073 +:044C3E000000000072 +:044C3F000000000071 +:044C40000000000070 +:044C4100000000006F +:044C4200000000006E +:044C4300000000006D +:044C4400000000006C +:044C4500000000006B +:044C4600000000006A +:044C47000000000069 +:044C48000000000068 +:044C49000000000067 +:044C4A000000000066 +:044C4B000000000065 +:044C4C000000000064 +:044C4D000000000063 +:044C4E000000000062 +:044C4F000000000061 +:044C50000000000060 +:044C5100000000005F +:044C5200000000005E +:044C5300000000005D +:044C5400000000005C +:044C5500000000005B +:044C5600000000005A +:044C57000000000059 +:044C58000000000058 +:044C59000000000057 +:044C5A000000000056 +:044C5B000000000055 +:044C5C000000000054 +:044C5D000000000053 +:044C5E000000000052 +:044C5F000000000051 +:044C60000000000050 +:044C6100000000004F +:044C6200000000004E +:044C6300000000004D +:044C6400000000004C +:044C6500000000004B +:044C6600000000004A +:044C67000000000049 +:044C68000000000048 +:044C69000000000047 +:044C6A000000000046 +:044C6B000000000045 +:044C6C000000000044 +:044C6D000000000043 +:044C6E000000000042 +:044C6F000000000041 +:044C70000000000040 +:044C7100000000003F +:044C7200000000003E +:044C7300000000003D +:044C7400000000003C +:044C7500000000003B +:044C7600000000003A +:044C77000000000039 +:044C78000000000038 +:044C79000000000037 +:044C7A000000000036 +:044C7B000000000035 +:044C7C000000000034 +:044C7D000000000033 +:044C7E000000000032 +:044C7F000000000031 +:044C80000000000030 +:044C8100000000002F +:044C8200000000002E +:044C8300000000002D +:044C8400000000002C +:044C8500000000002B +:044C8600000000002A +:044C87000000000029 +:044C88000000000028 +:044C89000000000027 +:044C8A000000000026 +:044C8B000000000025 +:044C8C000000000024 +:044C8D000000000023 +:044C8E000000000022 +:044C8F000000000021 +:044C90000000000020 +:044C9100000000001F +:044C9200000000001E +:044C9300000000001D +:044C9400000000001C +:044C9500000000001B +:044C9600000000001A +:044C97000000000019 +:044C98000000000018 +:044C99000000000017 +:044C9A000000000016 +:044C9B000000000015 +:044C9C000000000014 +:044C9D000000000013 +:044C9E000000000012 +:044C9F000000000011 +:044CA0000000000010 +:044CA100000000000F +:044CA200000000000E +:044CA300000000000D +:044CA400000000000C +:044CA500000000000B +:044CA600000000000A +:044CA7000000000009 +:044CA8000000000008 +:044CA9000000000007 +:044CAA000000000006 +:044CAB000000000005 +:044CAC000000000004 +:044CAD000000000003 +:044CAE000000000002 +:044CAF000000000001 +:044CB0000000000000 +:044CB10000000000FF +:044CB20000000000FE +:044CB30000000000FD +:044CB40000000000FC +:044CB50000000000FB +:044CB60000000000FA +:044CB70000000000F9 +:044CB80000000000F8 +:044CB90000000000F7 +:044CBA0000000000F6 +:044CBB0000000000F5 +:044CBC0000000000F4 +:044CBD0000000000F3 +:044CBE0000000000F2 +:044CBF0000000000F1 +:044CC00000000000F0 +:044CC10000000000EF +:044CC20000000000EE +:044CC30000000000ED +:044CC40000000000EC +:044CC50000000000EB +:044CC60000000000EA +:044CC70000000000E9 +:044CC80000000000E8 +:044CC90000000000E7 +:044CCA0000000000E6 +:044CCB0000000000E5 +:044CCC0000000000E4 +:044CCD0000000000E3 +:044CCE0000000000E2 +:044CCF0000000000E1 +:044CD00000000000E0 +:044CD10000000000DF +:044CD20000000000DE +:044CD30000000000DD +:044CD40000000000DC +:044CD50000000000DB +:044CD60000000000DA +:044CD70000000000D9 +:044CD80000000000D8 +:044CD90000000000D7 +:044CDA0000000000D6 +:044CDB0000000000D5 +:044CDC0000000000D4 +:044CDD0000000000D3 +:044CDE0000000000D2 +:044CDF0000000000D1 +:044CE00000000000D0 +:044CE10000000000CF +:044CE20000000000CE +:044CE30000000000CD +:044CE40000000000CC +:044CE50000000000CB +:044CE60000000000CA +:044CE70000000000C9 +:044CE80000000000C8 +:044CE90000000000C7 +:044CEA0000000000C6 +:044CEB0000000000C5 +:044CEC0000000000C4 +:044CED0000000000C3 +:044CEE0000000000C2 +:044CEF0000000000C1 +:044CF00000000000C0 +:044CF10000000000BF +:044CF20000000000BE +:044CF30000000000BD +:044CF40000000000BC +:044CF50000000000BB +:044CF60000000000BA +:044CF70000000000B9 +:044CF80000000000B8 +:044CF90000000000B7 +:044CFA0000000000B6 +:044CFB0000000000B5 +:044CFC0000000000B4 +:044CFD0000000000B3 +:044CFE0000000000B2 +:044CFF0000000000B1 +:044D000000000000AF +:044D010000000000AE +:044D020000000000AD +:044D030000000000AC +:044D040000000000AB +:044D050000000000AA +:044D060000000000A9 +:044D070000000000A8 +:044D080000000000A7 +:044D090000000000A6 +:044D0A0000000000A5 +:044D0B0000000000A4 +:044D0C0000000000A3 +:044D0D0000000000A2 +:044D0E0000000000A1 +:044D0F0000000000A0 +:044D1000000000009F +:044D1100000000009E +:044D1200000000009D +:044D1300000000009C +:044D1400000000009B +:044D1500000000009A +:044D16000000000099 +:044D17000000000098 +:044D18000000000097 +:044D19000000000096 +:044D1A000000000095 +:044D1B000000000094 +:044D1C000000000093 +:044D1D000000000092 +:044D1E000000000091 +:044D1F000000000090 +:044D2000000000008F +:044D2100000000008E +:044D2200000000008D +:044D2300000000008C +:044D2400000000008B +:044D2500000000008A +:044D26000000000089 +:044D27000000000088 +:044D28000000000087 +:044D29000000000086 +:044D2A000000000085 +:044D2B000000000084 +:044D2C000000000083 +:044D2D000000000082 +:044D2E000000000081 +:044D2F000000000080 +:044D3000000000007F +:044D3100000000007E +:044D3200000000007D +:044D3300000000007C +:044D3400000000007B +:044D3500000000007A +:044D36000000000079 +:044D37000000000078 +:044D38000000000077 +:044D39000000000076 +:044D3A000000000075 +:044D3B000000000074 +:044D3C000000000073 +:044D3D000000000072 +:044D3E000000000071 +:044D3F000000000070 +:044D4000000000006F +:044D4100000000006E +:044D4200000000006D +:044D4300000000006C +:044D4400000000006B +:044D4500000000006A +:044D46000000000069 +:044D47000000000068 +:044D48000000000067 +:044D49000000000066 +:044D4A000000000065 +:044D4B000000000064 +:044D4C000000000063 +:044D4D000000000062 +:044D4E000000000061 +:044D4F000000000060 +:044D5000000000005F +:044D5100000000005E +:044D5200000000005D +:044D5300000000005C +:044D5400000000005B +:044D5500000000005A +:044D56000000000059 +:044D57000000000058 +:044D58000000000057 +:044D59000000000056 +:044D5A000000000055 +:044D5B000000000054 +:044D5C000000000053 +:044D5D000000000052 +:044D5E000000000051 +:044D5F000000000050 +:044D6000000000004F +:044D6100000000004E +:044D6200000000004D +:044D6300000000004C +:044D6400000000004B +:044D6500000000004A +:044D66000000000049 +:044D67000000000048 +:044D68000000000047 +:044D69000000000046 +:044D6A000000000045 +:044D6B000000000044 +:044D6C000000000043 +:044D6D000000000042 +:044D6E000000000041 +:044D6F000000000040 +:044D7000000000003F +:044D7100000000003E +:044D7200000000003D +:044D7300000000003C +:044D7400000000003B +:044D7500000000003A +:044D76000000000039 +:044D77000000000038 +:044D78000000000037 +:044D79000000000036 +:044D7A000000000035 +:044D7B000000000034 +:044D7C000000000033 +:044D7D000000000032 +:044D7E000000000031 +:044D7F000000000030 +:044D8000000000002F +:044D8100000000002E +:044D8200000000002D +:044D8300000000002C +:044D8400000000002B +:044D8500000000002A +:044D86000000000029 +:044D87000000000028 +:044D88000000000027 +:044D89000000000026 +:044D8A000000000025 +:044D8B000000000024 +:044D8C000000000023 +:044D8D000000000022 +:044D8E000000000021 +:044D8F000000000020 +:044D9000000000001F +:044D9100000000001E +:044D9200000000001D +:044D9300000000001C +:044D9400000000001B +:044D9500000000001A +:044D96000000000019 +:044D97000000000018 +:044D98000000000017 +:044D99000000000016 +:044D9A000000000015 +:044D9B000000000014 +:044D9C000000000013 +:044D9D000000000012 +:044D9E000000000011 +:044D9F000000000010 +:044DA000000000000F +:044DA100000000000E +:044DA200000000000D +:044DA300000000000C +:044DA400000000000B +:044DA500000000000A +:044DA6000000000009 +:044DA7000000000008 +:044DA8000000000007 +:044DA9000000000006 +:044DAA000000000005 +:044DAB000000000004 +:044DAC000000000003 +:044DAD000000000002 +:044DAE000000000001 +:044DAF000000000000 +:044DB00000000000FF +:044DB10000000000FE +:044DB20000000000FD +:044DB30000000000FC +:044DB40000000000FB +:044DB50000000000FA +:044DB60000000000F9 +:044DB70000000000F8 +:044DB80000000000F7 +:044DB90000000000F6 +:044DBA0000000000F5 +:044DBB0000000000F4 +:044DBC0000000000F3 +:044DBD0000000000F2 +:044DBE0000000000F1 +:044DBF0000000000F0 +:044DC00000000000EF +:044DC10000000000EE +:044DC20000000000ED +:044DC30000000000EC +:044DC40000000000EB +:044DC50000000000EA +:044DC60000000000E9 +:044DC70000000000E8 +:044DC80000000000E7 +:044DC90000000000E6 +:044DCA0000000000E5 +:044DCB0000000000E4 +:044DCC0000000000E3 +:044DCD0000000000E2 +:044DCE0000000000E1 +:044DCF0000000000E0 +:044DD00000000000DF +:044DD10000000000DE +:044DD20000000000DD +:044DD30000000000DC +:044DD40000000000DB +:044DD50000000000DA +:044DD60000000000D9 +:044DD70000000000D8 +:044DD80000000000D7 +:044DD90000000000D6 +:044DDA0000000000D5 +:044DDB0000000000D4 +:044DDC0000000000D3 +:044DDD0000000000D2 +:044DDE0000000000D1 +:044DDF0000000000D0 +:044DE00000000000CF +:044DE10000000000CE +:044DE20000000000CD +:044DE30000000000CC +:044DE40000000000CB +:044DE50000000000CA +:044DE60000000000C9 +:044DE70000000000C8 +:044DE80000000000C7 +:044DE90000000000C6 +:044DEA0000000000C5 +:044DEB0000000000C4 +:044DEC0000000000C3 +:044DED0000000000C2 +:044DEE0000000000C1 +:044DEF0000000000C0 +:044DF00000000000BF +:044DF10000000000BE +:044DF20000000000BD +:044DF30000000000BC +:044DF40000000000BB +:044DF50000000000BA +:044DF60000000000B9 +:044DF70000000000B8 +:044DF80000000000B7 +:044DF90000000000B6 +:044DFA0000000000B5 +:044DFB0000000000B4 +:044DFC0000000000B3 +:044DFD0000000000B2 +:044DFE0000000000B1 +:044DFF0000000000B0 +:044E000000000000AE +:044E010000000000AD +:044E020000000000AC +:044E030000000000AB +:044E040000000000AA +:044E050000000000A9 +:044E060000000000A8 +:044E070000000000A7 +:044E080000000000A6 +:044E090000000000A5 +:044E0A0000000000A4 +:044E0B0000000000A3 +:044E0C0000000000A2 +:044E0D0000000000A1 +:044E0E0000000000A0 +:044E0F00000000009F +:044E1000000000009E +:044E1100000000009D +:044E1200000000009C +:044E1300000000009B +:044E1400000000009A +:044E15000000000099 +:044E16000000000098 +:044E17000000000097 +:044E18000000000096 +:044E19000000000095 +:044E1A000000000094 +:044E1B000000000093 +:044E1C000000000092 +:044E1D000000000091 +:044E1E000000000090 +:044E1F00000000008F +:044E2000000000008E +:044E2100000000008D +:044E2200000000008C +:044E2300000000008B +:044E2400000000008A +:044E25000000000089 +:044E26000000000088 +:044E27000000000087 +:044E28000000000086 +:044E29000000000085 +:044E2A000000000084 +:044E2B000000000083 +:044E2C000000000082 +:044E2D000000000081 +:044E2E000000000080 +:044E2F00000000007F +:044E3000000000007E +:044E3100000000007D +:044E3200000000007C +:044E3300000000007B +:044E3400000000007A +:044E35000000000079 +:044E36000000000078 +:044E37000000000077 +:044E38000000000076 +:044E39000000000075 +:044E3A000000000074 +:044E3B000000000073 +:044E3C000000000072 +:044E3D000000000071 +:044E3E000000000070 +:044E3F00000000006F +:044E4000000000006E +:044E4100000000006D +:044E4200000000006C +:044E4300000000006B +:044E4400000000006A +:044E45000000000069 +:044E46000000000068 +:044E47000000000067 +:044E48000000000066 +:044E49000000000065 +:044E4A000000000064 +:044E4B000000000063 +:044E4C000000000062 +:044E4D000000000061 +:044E4E000000000060 +:044E4F00000000005F +:044E5000000000005E +:044E5100000000005D +:044E5200000000005C +:044E5300000000005B +:044E5400000000005A +:044E55000000000059 +:044E56000000000058 +:044E57000000000057 +:044E58000000000056 +:044E59000000000055 +:044E5A000000000054 +:044E5B000000000053 +:044E5C000000000052 +:044E5D000000000051 +:044E5E000000000050 +:044E5F00000000004F +:044E6000000000004E +:044E6100000000004D +:044E6200000000004C +:044E6300000000004B +:044E6400000000004A +:044E65000000000049 +:044E66000000000048 +:044E67000000000047 +:044E68000000000046 +:044E69000000000045 +:044E6A000000000044 +:044E6B000000000043 +:044E6C000000000042 +:044E6D000000000041 +:044E6E000000000040 +:044E6F00000000003F +:044E7000000000003E +:044E7100000000003D +:044E7200000000003C +:044E7300000000003B +:044E7400000000003A +:044E75000000000039 +:044E76000000000038 +:044E77000000000037 +:044E78000000000036 +:044E79000000000035 +:044E7A000000000034 +:044E7B000000000033 +:044E7C000000000032 +:044E7D000000000031 +:044E7E000000000030 +:044E7F00000000002F +:044E8000000000002E +:044E8100000000002D +:044E8200000000002C +:044E8300000000002B +:044E8400000000002A +:044E85000000000029 +:044E86000000000028 +:044E87000000000027 +:044E88000000000026 +:044E89000000000025 +:044E8A000000000024 +:044E8B000000000023 +:044E8C000000000022 +:044E8D000000000021 +:044E8E000000000020 +:044E8F00000000001F +:044E9000000000001E +:044E9100000000001D +:044E9200000000001C +:044E9300000000001B +:044E9400000000001A +:044E95000000000019 +:044E96000000000018 +:044E97000000000017 +:044E98000000000016 +:044E99000000000015 +:044E9A000000000014 +:044E9B000000000013 +:044E9C000000000012 +:044E9D000000000011 +:044E9E000000000010 +:044E9F00000000000F +:044EA000000000000E +:044EA100000000000D +:044EA200000000000C +:044EA300000000000B +:044EA400000000000A +:044EA5000000000009 +:044EA6000000000008 +:044EA7000000000007 +:044EA8000000000006 +:044EA9000000000005 +:044EAA000000000004 +:044EAB000000000003 +:044EAC000000000002 +:044EAD000000000001 +:044EAE000000000000 +:044EAF0000000000FF +:044EB00000000000FE +:044EB10000000000FD +:044EB20000000000FC +:044EB30000000000FB +:044EB40000000000FA +:044EB50000000000F9 +:044EB60000000000F8 +:044EB70000000000F7 +:044EB80000000000F6 +:044EB90000000000F5 +:044EBA0000000000F4 +:044EBB0000000000F3 +:044EBC0000000000F2 +:044EBD0000000000F1 +:044EBE0000000000F0 +:044EBF0000000000EF +:044EC00000000000EE +:044EC10000000000ED +:044EC20000000000EC +:044EC30000000000EB +:044EC40000000000EA +:044EC50000000000E9 +:044EC60000000000E8 +:044EC70000000000E7 +:044EC80000000000E6 +:044EC90000000000E5 +:044ECA0000000000E4 +:044ECB0000000000E3 +:044ECC0000000000E2 +:044ECD0000000000E1 +:044ECE0000000000E0 +:044ECF0000000000DF +:044ED00000000000DE +:044ED10000000000DD +:044ED20000000000DC +:044ED30000000000DB +:044ED40000000000DA +:044ED50000000000D9 +:044ED60000000000D8 +:044ED70000000000D7 +:044ED80000000000D6 +:044ED90000000000D5 +:044EDA0000000000D4 +:044EDB0000000000D3 +:044EDC0000000000D2 +:044EDD0000000000D1 +:044EDE0000000000D0 +:044EDF0000000000CF +:044EE00000000000CE +:044EE10000000000CD +:044EE20000000000CC +:044EE30000000000CB +:044EE40000000000CA +:044EE50000000000C9 +:044EE60000000000C8 +:044EE70000000000C7 +:044EE80000000000C6 +:044EE90000000000C5 +:044EEA0000000000C4 +:044EEB0000000000C3 +:044EEC0000000000C2 +:044EED0000000000C1 +:044EEE0000000000C0 +:044EEF0000000000BF +:044EF00000000000BE +:044EF10000000000BD +:044EF20000000000BC +:044EF30000000000BB +:044EF40000000000BA +:044EF50000000000B9 +:044EF60000000000B8 +:044EF70000000000B7 +:044EF80000000000B6 +:044EF90000000000B5 +:044EFA0000000000B4 +:044EFB0000000000B3 +:044EFC0000000000B2 +:044EFD0000000000B1 +:044EFE0000000000B0 +:044EFF0000000000AF +:044F000000000000AD +:044F010000000000AC +:044F020000000000AB +:044F030000000000AA +:044F040000000000A9 +:044F050000000000A8 +:044F060000000000A7 +:044F070000000000A6 +:044F080000000000A5 +:044F090000000000A4 +:044F0A0000000000A3 +:044F0B0000000000A2 +:044F0C0000000000A1 +:044F0D0000000000A0 +:044F0E00000000009F +:044F0F00000000009E +:044F1000000000009D +:044F1100000000009C +:044F1200000000009B +:044F1300000000009A +:044F14000000000099 +:044F15000000000098 +:044F16000000000097 +:044F17000000000096 +:044F18000000000095 +:044F19000000000094 +:044F1A000000000093 +:044F1B000000000092 +:044F1C000000000091 +:044F1D000000000090 +:044F1E00000000008F +:044F1F00000000008E +:044F2000000000008D +:044F2100000000008C +:044F2200000000008B +:044F2300000000008A +:044F24000000000089 +:044F25000000000088 +:044F26000000000087 +:044F27000000000086 +:044F28000000000085 +:044F29000000000084 +:044F2A000000000083 +:044F2B000000000082 +:044F2C000000000081 +:044F2D000000000080 +:044F2E00000000007F +:044F2F00000000007E +:044F3000000000007D +:044F3100000000007C +:044F3200000000007B +:044F3300000000007A +:044F34000000000079 +:044F35000000000078 +:044F36000000000077 +:044F37000000000076 +:044F38000000000075 +:044F39000000000074 +:044F3A000000000073 +:044F3B000000000072 +:044F3C000000000071 +:044F3D000000000070 +:044F3E00000000006F +:044F3F00000000006E +:044F4000000000006D +:044F4100000000006C +:044F4200000000006B +:044F4300000000006A +:044F44000000000069 +:044F45000000000068 +:044F46000000000067 +:044F47000000000066 +:044F48000000000065 +:044F49000000000064 +:044F4A000000000063 +:044F4B000000000062 +:044F4C000000000061 +:044F4D000000000060 +:044F4E00000000005F +:044F4F00000000005E +:044F5000000000005D +:044F5100000000005C +:044F5200000000005B +:044F5300000000005A +:044F54000000000059 +:044F55000000000058 +:044F56000000000057 +:044F57000000000056 +:044F58000000000055 +:044F59000000000054 +:044F5A000000000053 +:044F5B000000000052 +:044F5C000000000051 +:044F5D000000000050 +:044F5E00000000004F +:044F5F00000000004E +:044F6000000000004D +:044F6100000000004C +:044F6200000000004B +:044F6300000000004A +:044F64000000000049 +:044F65000000000048 +:044F66000000000047 +:044F67000000000046 +:044F68000000000045 +:044F69000000000044 +:044F6A000000000043 +:044F6B000000000042 +:044F6C000000000041 +:044F6D000000000040 +:044F6E00000000003F +:044F6F00000000003E +:044F7000000000003D +:044F7100000000003C +:044F7200000000003B +:044F7300000000003A +:044F74000000000039 +:044F75000000000038 +:044F76000000000037 +:044F77000000000036 +:044F78000000000035 +:044F79000000000034 +:044F7A000000000033 +:044F7B000000000032 +:044F7C000000000031 +:044F7D000000000030 +:044F7E00000000002F +:044F7F00000000002E +:044F8000000000002D +:044F8100000000002C +:044F8200000000002B +:044F8300000000002A +:044F84000000000029 +:044F85000000000028 +:044F86000000000027 +:044F87000000000026 +:044F88000000000025 +:044F89000000000024 +:044F8A000000000023 +:044F8B000000000022 +:044F8C000000000021 +:044F8D000000000020 +:044F8E00000000001F +:044F8F00000000001E +:044F9000000000001D +:044F9100000000001C +:044F9200000000001B +:044F9300000000001A +:044F94000000000019 +:044F95000000000018 +:044F96000000000017 +:044F97000000000016 +:044F98000000000015 +:044F99000000000014 +:044F9A000000000013 +:044F9B000000000012 +:044F9C000000000011 +:044F9D000000000010 +:044F9E00000000000F +:044F9F00000000000E +:044FA000000000000D +:044FA100000000000C +:044FA200000000000B +:044FA300000000000A +:044FA4000000000009 +:044FA5000000000008 +:044FA6000000000007 +:044FA7000000000006 +:044FA8000000000005 +:044FA9000000000004 +:044FAA000000000003 +:044FAB000000000002 +:044FAC000000000001 +:044FAD000000000000 +:044FAE0000000000FF +:044FAF0000000000FE +:044FB00000000000FD +:044FB10000000000FC +:044FB20000000000FB +:044FB30000000000FA +:044FB40000000000F9 +:044FB50000000000F8 +:044FB60000000000F7 +:044FB70000000000F6 +:044FB80000000000F5 +:044FB90000000000F4 +:044FBA0000000000F3 +:044FBB0000000000F2 +:044FBC0000000000F1 +:044FBD0000000000F0 +:044FBE0000000000EF +:044FBF0000000000EE +:044FC00000000000ED +:044FC10000000000EC +:044FC20000000000EB +:044FC30000000000EA +:044FC40000000000E9 +:044FC50000000000E8 +:044FC60000000000E7 +:044FC70000000000E6 +:044FC80000000000E5 +:044FC90000000000E4 +:044FCA0000000000E3 +:044FCB0000000000E2 +:044FCC0000000000E1 +:044FCD0000000000E0 +:044FCE0000000000DF +:044FCF0000000000DE +:044FD00000000000DD +:044FD10000000000DC +:044FD20000000000DB +:044FD30000000000DA +:044FD40000000000D9 +:044FD50000000000D8 +:044FD60000000000D7 +:044FD70000000000D6 +:044FD80000000000D5 +:044FD90000000000D4 +:044FDA0000000000D3 +:044FDB0000000000D2 +:044FDC0000000000D1 +:044FDD0000000000D0 +:044FDE0000000000CF +:044FDF0000000000CE +:044FE00000000000CD +:044FE10000000000CC +:044FE20000000000CB +:044FE30000000000CA +:044FE40000000000C9 +:044FE50000000000C8 +:044FE60000000000C7 +:044FE70000000000C6 +:044FE80000000000C5 +:044FE90000000000C4 +:044FEA0000000000C3 +:044FEB0000000000C2 +:044FEC0000000000C1 +:044FED0000000000C0 +:044FEE0000000000BF +:044FEF0000000000BE +:044FF00000000000BD +:044FF10000000000BC +:044FF20000000000BB +:044FF30000000000BA +:044FF40000000000B9 +:044FF50000000000B8 +:044FF60000000000B7 +:044FF70000000000B6 +:044FF80000000000B5 +:044FF90000000000B4 +:044FFA0000000000B3 +:044FFB0000000000B2 +:044FFC0000000000B1 +:044FFD0000000000B0 +:044FFE0000000000AF +:044FFF0000000000AE +:0450000000000000AC +:0450010000000000AB +:0450020000000000AA +:0450030000000000A9 +:0450040000000000A8 +:0450050000000000A7 +:0450060000000000A6 +:0450070000000000A5 +:0450080000000000A4 +:0450090000000000A3 +:04500A0000000000A2 +:04500B0000000000A1 +:04500C0000000000A0 +:04500D00000000009F +:04500E00000000009E +:04500F00000000009D +:04501000000000009C +:04501100000000009B +:04501200000000009A +:045013000000000099 +:045014000000000098 +:045015000000000097 +:045016000000000096 +:045017000000000095 +:045018000000000094 +:045019000000000093 +:04501A000000000092 +:04501B000000000091 +:04501C000000000090 +:04501D00000000008F +:04501E00000000008E +:04501F00000000008D +:04502000000000008C +:04502100000000008B +:04502200000000008A +:045023000000000089 +:045024000000000088 +:045025000000000087 +:045026000000000086 +:045027000000000085 +:045028000000000084 +:045029000000000083 +:04502A000000000082 +:04502B000000000081 +:04502C000000000080 +:04502D00000000007F +:04502E00000000007E +:04502F00000000007D +:04503000000000007C +:04503100000000007B +:04503200000000007A +:045033000000000079 +:045034000000000078 +:045035000000000077 +:045036000000000076 +:045037000000000075 +:045038000000000074 +:045039000000000073 +:04503A000000000072 +:04503B000000000071 +:04503C000000000070 +:04503D00000000006F +:04503E00000000006E +:04503F00000000006D +:04504000000000006C +:04504100000000006B +:04504200000000006A +:045043000000000069 +:045044000000000068 +:045045000000000067 +:045046000000000066 +:045047000000000065 +:045048000000000064 +:045049000000000063 +:04504A000000000062 +:04504B000000000061 +:04504C000000000060 +:04504D00000000005F +:04504E00000000005E +:04504F00000000005D +:04505000000000005C +:04505100000000005B +:04505200000000005A +:045053000000000059 +:045054000000000058 +:045055000000000057 +:045056000000000056 +:045057000000000055 +:045058000000000054 +:045059000000000053 +:04505A000000000052 +:04505B000000000051 +:04505C000000000050 +:04505D00000000004F +:04505E00000000004E +:04505F00000000004D +:04506000000000004C +:04506100000000004B +:04506200000000004A +:045063000000000049 +:045064000000000048 +:045065000000000047 +:045066000000000046 +:045067000000000045 +:045068000000000044 +:045069000000000043 +:04506A000000000042 +:04506B000000000041 +:04506C000000000040 +:04506D00000000003F +:04506E00000000003E +:04506F00000000003D +:04507000000000003C +:04507100000000003B +:04507200000000003A +:045073000000000039 +:045074000000000038 +:045075000000000037 +:045076000000000036 +:045077000000000035 +:045078000000000034 +:045079000000000033 +:04507A000000000032 +:04507B000000000031 +:04507C000000000030 +:04507D00000000002F +:04507E00000000002E +:04507F00000000002D +:04508000000000002C +:04508100000000002B +:04508200000000002A +:045083000000000029 +:045084000000000028 +:045085000000000027 +:045086000000000026 +:045087000000000025 +:045088000000000024 +:045089000000000023 +:04508A000000000022 +:04508B000000000021 +:04508C000000000020 +:04508D00000000001F +:04508E00000000001E +:04508F00000000001D +:04509000000000001C +:04509100000000001B +:04509200000000001A +:045093000000000019 +:045094000000000018 +:045095000000000017 +:045096000000000016 +:045097000000000015 +:045098000000000014 +:045099000000000013 +:04509A000000000012 +:04509B000000000011 +:04509C000000000010 +:04509D00000000000F +:04509E00000000000E +:04509F00000000000D +:0450A000000000000C +:0450A100000000000B +:0450A200000000000A +:0450A3000000000009 +:0450A4000000000008 +:0450A5000000000007 +:0450A6000000000006 +:0450A7000000000005 +:0450A8000000000004 +:0450A9000000000003 +:0450AA000000000002 +:0450AB000000000001 +:0450AC000000000000 +:0450AD0000000000FF +:0450AE0000000000FE +:0450AF0000000000FD +:0450B00000000000FC +:0450B10000000000FB +:0450B20000000000FA +:0450B30000000000F9 +:0450B40000000000F8 +:0450B50000000000F7 +:0450B60000000000F6 +:0450B70000000000F5 +:0450B80000000000F4 +:0450B90000000000F3 +:0450BA0000000000F2 +:0450BB0000000000F1 +:0450BC0000000000F0 +:0450BD0000000000EF +:0450BE0000000000EE +:0450BF0000000000ED +:0450C00000000000EC +:0450C10000000000EB +:0450C20000000000EA +:0450C30000000000E9 +:0450C40000000000E8 +:0450C50000000000E7 +:0450C60000000000E6 +:0450C70000000000E5 +:0450C80000000000E4 +:0450C90000000000E3 +:0450CA0000000000E2 +:0450CB0000000000E1 +:0450CC0000000000E0 +:0450CD0000000000DF +:0450CE0000000000DE +:0450CF0000000000DD +:0450D00000000000DC +:0450D10000000000DB +:0450D20000000000DA +:0450D30000000000D9 +:0450D40000000000D8 +:0450D50000000000D7 +:0450D60000000000D6 +:0450D70000000000D5 +:0450D80000000000D4 +:0450D90000000000D3 +:0450DA0000000000D2 +:0450DB0000000000D1 +:0450DC0000000000D0 +:0450DD0000000000CF +:0450DE0000000000CE +:0450DF0000000000CD +:0450E00000000000CC +:0450E10000000000CB +:0450E20000000000CA +:0450E30000000000C9 +:0450E40000000000C8 +:0450E50000000000C7 +:0450E60000000000C6 +:0450E70000000000C5 +:0450E80000000000C4 +:0450E90000000000C3 +:0450EA0000000000C2 +:0450EB0000000000C1 +:0450EC0000000000C0 +:0450ED0000000000BF +:0450EE0000000000BE +:0450EF0000000000BD +:0450F00000000000BC +:0450F10000000000BB +:0450F20000000000BA +:0450F30000000000B9 +:0450F40000000000B8 +:0450F50000000000B7 +:0450F60000000000B6 +:0450F70000000000B5 +:0450F80000000000B4 +:0450F90000000000B3 +:0450FA0000000000B2 +:0450FB0000000000B1 +:0450FC0000000000B0 +:0450FD0000000000AF +:0450FE0000000000AE +:0450FF0000000000AD +:0451000000000000AB +:0451010000000000AA +:0451020000000000A9 +:0451030000000000A8 +:0451040000000000A7 +:0451050000000000A6 +:0451060000000000A5 +:0451070000000000A4 +:0451080000000000A3 +:0451090000000000A2 +:04510A0000000000A1 +:04510B0000000000A0 +:04510C00000000009F +:04510D00000000009E +:04510E00000000009D +:04510F00000000009C +:04511000000000009B +:04511100000000009A +:045112000000000099 +:045113000000000098 +:045114000000000097 +:045115000000000096 +:045116000000000095 +:045117000000000094 +:045118000000000093 +:045119000000000092 +:04511A000000000091 +:04511B000000000090 +:04511C00000000008F +:04511D00000000008E +:04511E00000000008D +:04511F00000000008C +:04512000000000008B +:04512100000000008A +:045122000000000089 +:045123000000000088 +:045124000000000087 +:045125000000000086 +:045126000000000085 +:045127000000000084 +:045128000000000083 +:045129000000000082 +:04512A000000000081 +:04512B000000000080 +:04512C00000000007F +:04512D00000000007E +:04512E00000000007D +:04512F00000000007C +:04513000000000007B +:04513100000000007A +:045132000000000079 +:045133000000000078 +:045134000000000077 +:045135000000000076 +:045136000000000075 +:045137000000000074 +:045138000000000073 +:045139000000000072 +:04513A000000000071 +:04513B000000000070 +:04513C00000000006F +:04513D00000000006E +:04513E00000000006D +:04513F00000000006C +:04514000000000006B +:04514100000000006A +:045142000000000069 +:045143000000000068 +:045144000000000067 +:045145000000000066 +:045146000000000065 +:045147000000000064 +:045148000000000063 +:045149000000000062 +:04514A000000000061 +:04514B000000000060 +:04514C00000000005F +:04514D00000000005E +:04514E00000000005D +:04514F00000000005C +:04515000000000005B +:04515100000000005A +:045152000000000059 +:045153000000000058 +:045154000000000057 +:045155000000000056 +:045156000000000055 +:045157000000000054 +:045158000000000053 +:045159000000000052 +:04515A000000000051 +:04515B000000000050 +:04515C00000000004F +:04515D00000000004E +:04515E00000000004D +:04515F00000000004C +:04516000000000004B +:04516100000000004A +:045162000000000049 +:045163000000000048 +:045164000000000047 +:045165000000000046 +:045166000000000045 +:045167000000000044 +:045168000000000043 +:045169000000000042 +:04516A000000000041 +:04516B000000000040 +:04516C00000000003F +:04516D00000000003E +:04516E00000000003D +:04516F00000000003C +:04517000000000003B +:04517100000000003A +:045172000000000039 +:045173000000000038 +:045174000000000037 +:045175000000000036 +:045176000000000035 +:045177000000000034 +:045178000000000033 +:045179000000000032 +:04517A000000000031 +:04517B000000000030 +:04517C00000000002F +:04517D00000000002E +:04517E00000000002D +:04517F00000000002C +:04518000000000002B +:04518100000000002A +:045182000000000029 +:045183000000000028 +:045184000000000027 +:045185000000000026 +:045186000000000025 +:045187000000000024 +:045188000000000023 +:045189000000000022 +:04518A000000000021 +:04518B000000000020 +:04518C00000000001F +:04518D00000000001E +:04518E00000000001D +:04518F00000000001C +:04519000000000001B +:04519100000000001A +:045192000000000019 +:045193000000000018 +:045194000000000017 +:045195000000000016 +:045196000000000015 +:045197000000000014 +:045198000000000013 +:045199000000000012 +:04519A000000000011 +:04519B000000000010 +:04519C00000000000F +:04519D00000000000E +:04519E00000000000D +:04519F00000000000C +:0451A000000000000B +:0451A100000000000A +:0451A2000000000009 +:0451A3000000000008 +:0451A4000000000007 +:0451A5000000000006 +:0451A6000000000005 +:0451A7000000000004 +:0451A8000000000003 +:0451A9000000000002 +:0451AA000000000001 +:0451AB000000000000 +:0451AC0000000000FF +:0451AD0000000000FE +:0451AE0000000000FD +:0451AF0000000000FC +:0451B00000000000FB +:0451B10000000000FA +:0451B20000000000F9 +:0451B30000000000F8 +:0451B40000000000F7 +:0451B50000000000F6 +:0451B60000000000F5 +:0451B70000000000F4 +:0451B80000000000F3 +:0451B90000000000F2 +:0451BA0000000000F1 +:0451BB0000000000F0 +:0451BC0000000000EF +:0451BD0000000000EE +:0451BE0000000000ED +:0451BF0000000000EC +:0451C00000000000EB +:0451C10000000000EA +:0451C20000000000E9 +:0451C30000000000E8 +:0451C40000000000E7 +:0451C50000000000E6 +:0451C60000000000E5 +:0451C70000000000E4 +:0451C80000000000E3 +:0451C90000000000E2 +:0451CA0000000000E1 +:0451CB0000000000E0 +:0451CC0000000000DF +:0451CD0000000000DE +:0451CE0000000000DD +:0451CF0000000000DC +:0451D00000000000DB +:0451D10000000000DA +:0451D20000000000D9 +:0451D30000000000D8 +:0451D40000000000D7 +:0451D50000000000D6 +:0451D60000000000D5 +:0451D70000000000D4 +:0451D80000000000D3 +:0451D90000000000D2 +:0451DA0000000000D1 +:0451DB0000000000D0 +:0451DC0000000000CF +:0451DD0000000000CE +:0451DE0000000000CD +:0451DF0000000000CC +:0451E00000000000CB +:0451E10000000000CA +:0451E20000000000C9 +:0451E30000000000C8 +:0451E40000000000C7 +:0451E50000000000C6 +:0451E60000000000C5 +:0451E70000000000C4 +:0451E80000000000C3 +:0451E90000000000C2 +:0451EA0000000000C1 +:0451EB0000000000C0 +:0451EC0000000000BF +:0451ED0000000000BE +:0451EE0000000000BD +:0451EF0000000000BC +:0451F00000000000BB +:0451F10000000000BA +:0451F20000000000B9 +:0451F30000000000B8 +:0451F40000000000B7 +:0451F50000000000B6 +:0451F60000000000B5 +:0451F70000000000B4 +:0451F80000000000B3 +:0451F90000000000B2 +:0451FA0000000000B1 +:0451FB0000000000B0 +:0451FC0000000000AF +:0451FD0000000000AE +:0451FE0000000000AD +:0451FF0000000000AC +:0452000000000000AA +:0452010000000000A9 +:0452020000000000A8 +:0452030000000000A7 +:0452040000000000A6 +:0452050000000000A5 +:0452060000000000A4 +:0452070000000000A3 +:0452080000000000A2 +:0452090000000000A1 +:04520A0000000000A0 +:04520B00000000009F +:04520C00000000009E +:04520D00000000009D +:04520E00000000009C +:04520F00000000009B +:04521000000000009A +:045211000000000099 +:045212000000000098 +:045213000000000097 +:045214000000000096 +:045215000000000095 +:045216000000000094 +:045217000000000093 +:045218000000000092 +:045219000000000091 +:04521A000000000090 +:04521B00000000008F +:04521C00000000008E +:04521D00000000008D +:04521E00000000008C +:04521F00000000008B +:04522000000000008A +:045221000000000089 +:045222000000000088 +:045223000000000087 +:045224000000000086 +:045225000000000085 +:045226000000000084 +:045227000000000083 +:045228000000000082 +:045229000000000081 +:04522A000000000080 +:04522B00000000007F +:04522C00000000007E +:04522D00000000007D +:04522E00000000007C +:04522F00000000007B +:04523000000000007A +:045231000000000079 +:045232000000000078 +:045233000000000077 +:045234000000000076 +:045235000000000075 +:045236000000000074 +:045237000000000073 +:045238000000000072 +:045239000000000071 +:04523A000000000070 +:04523B00000000006F +:04523C00000000006E +:04523D00000000006D +:04523E00000000006C +:04523F00000000006B +:04524000000000006A +:045241000000000069 +:045242000000000068 +:045243000000000067 +:045244000000000066 +:045245000000000065 +:045246000000000064 +:045247000000000063 +:045248000000000062 +:045249000000000061 +:04524A000000000060 +:04524B00000000005F +:04524C00000000005E +:04524D00000000005D +:04524E00000000005C +:04524F00000000005B +:04525000000000005A +:045251000000000059 +:045252000000000058 +:045253000000000057 +:045254000000000056 +:045255000000000055 +:045256000000000054 +:045257000000000053 +:045258000000000052 +:045259000000000051 +:04525A000000000050 +:04525B00000000004F +:04525C00000000004E +:04525D00000000004D +:04525E00000000004C +:04525F00000000004B +:04526000000000004A +:045261000000000049 +:045262000000000048 +:045263000000000047 +:045264000000000046 +:045265000000000045 +:045266000000000044 +:045267000000000043 +:045268000000000042 +:045269000000000041 +:04526A000000000040 +:04526B00000000003F +:04526C00000000003E +:04526D00000000003D +:04526E00000000003C +:04526F00000000003B +:04527000000000003A +:045271000000000039 +:045272000000000038 +:045273000000000037 +:045274000000000036 +:045275000000000035 +:045276000000000034 +:045277000000000033 +:045278000000000032 +:045279000000000031 +:04527A000000000030 +:04527B00000000002F +:04527C00000000002E +:04527D00000000002D +:04527E00000000002C +:04527F00000000002B +:04528000000000002A +:045281000000000029 +:045282000000000028 +:045283000000000027 +:045284000000000026 +:045285000000000025 +:045286000000000024 +:045287000000000023 +:045288000000000022 +:045289000000000021 +:04528A000000000020 +:04528B00000000001F +:04528C00000000001E +:04528D00000000001D +:04528E00000000001C +:04528F00000000001B +:04529000000000001A +:045291000000000019 +:045292000000000018 +:045293000000000017 +:045294000000000016 +:045295000000000015 +:045296000000000014 +:045297000000000013 +:045298000000000012 +:045299000000000011 +:04529A000000000010 +:04529B00000000000F +:04529C00000000000E +:04529D00000000000D +:04529E00000000000C +:04529F00000000000B +:0452A000000000000A +:0452A1000000000009 +:0452A2000000000008 +:0452A3000000000007 +:0452A4000000000006 +:0452A5000000000005 +:0452A6000000000004 +:0452A7000000000003 +:0452A8000000000002 +:0452A9000000000001 +:0452AA000000000000 +:0452AB0000000000FF +:0452AC0000000000FE +:0452AD0000000000FD +:0452AE0000000000FC +:0452AF0000000000FB +:0452B00000000000FA +:0452B10000000000F9 +:0452B20000000000F8 +:0452B30000000000F7 +:0452B40000000000F6 +:0452B50000000000F5 +:0452B60000000000F4 +:0452B70000000000F3 +:0452B80000000000F2 +:0452B90000000000F1 +:0452BA0000000000F0 +:0452BB0000000000EF +:0452BC0000000000EE +:0452BD0000000000ED +:0452BE0000000000EC +:0452BF0000000000EB +:0452C00000000000EA +:0452C10000000000E9 +:0452C20000000000E8 +:0452C30000000000E7 +:0452C40000000000E6 +:0452C50000000000E5 +:0452C60000000000E4 +:0452C70000000000E3 +:0452C80000000000E2 +:0452C90000000000E1 +:0452CA0000000000E0 +:0452CB0000000000DF +:0452CC0000000000DE +:0452CD0000000000DD +:0452CE0000000000DC +:0452CF0000000000DB +:0452D00000000000DA +:0452D10000000000D9 +:0452D20000000000D8 +:0452D30000000000D7 +:0452D40000000000D6 +:0452D50000000000D5 +:0452D60000000000D4 +:0452D70000000000D3 +:0452D80000000000D2 +:0452D90000000000D1 +:0452DA0000000000D0 +:0452DB0000000000CF +:0452DC0000000000CE +:0452DD0000000000CD +:0452DE0000000000CC +:0452DF0000000000CB +:0452E00000000000CA +:0452E10000000000C9 +:0452E20000000000C8 +:0452E30000000000C7 +:0452E40000000000C6 +:0452E50000000000C5 +:0452E60000000000C4 +:0452E70000000000C3 +:0452E80000000000C2 +:0452E90000000000C1 +:0452EA0000000000C0 +:0452EB0000000000BF +:0452EC0000000000BE +:0452ED0000000000BD +:0452EE0000000000BC +:0452EF0000000000BB +:0452F00000000000BA +:0452F10000000000B9 +:0452F20000000000B8 +:0452F30000000000B7 +:0452F40000000000B6 +:0452F50000000000B5 +:0452F60000000000B4 +:0452F70000000000B3 +:0452F80000000000B2 +:0452F90000000000B1 +:0452FA0000000000B0 +:0452FB0000000000AF +:0452FC0000000000AE +:0452FD0000000000AD +:0452FE0000000000AC +:0452FF0000000000AB +:0453000000000000A9 +:0453010000000000A8 +:0453020000000000A7 +:0453030000000000A6 +:0453040000000000A5 +:0453050000000000A4 +:0453060000000000A3 +:0453070000000000A2 +:0453080000000000A1 +:0453090000000000A0 +:04530A00000000009F +:04530B00000000009E +:04530C00000000009D +:04530D00000000009C +:04530E00000000009B +:04530F00000000009A +:045310000000000099 +:045311000000000098 +:045312000000000097 +:045313000000000096 +:045314000000000095 +:045315000000000094 +:045316000000000093 +:045317000000000092 +:045318000000000091 +:045319000000000090 +:04531A00000000008F +:04531B00000000008E +:04531C00000000008D +:04531D00000000008C +:04531E00000000008B +:04531F00000000008A +:045320000000000089 +:045321000000000088 +:045322000000000087 +:045323000000000086 +:045324000000000085 +:045325000000000084 +:045326000000000083 +:045327000000000082 +:045328000000000081 +:045329000000000080 +:04532A00000000007F +:04532B00000000007E +:04532C00000000007D +:04532D00000000007C +:04532E00000000007B +:04532F00000000007A +:045330000000000079 +:045331000000000078 +:045332000000000077 +:045333000000000076 +:045334000000000075 +:045335000000000074 +:045336000000000073 +:045337000000000072 +:045338000000000071 +:045339000000000070 +:04533A00000000006F +:04533B00000000006E +:04533C00000000006D +:04533D00000000006C +:04533E00000000006B +:04533F00000000006A +:045340000000000069 +:045341000000000068 +:045342000000000067 +:045343000000000066 +:045344000000000065 +:045345000000000064 +:045346000000000063 +:045347000000000062 +:045348000000000061 +:045349000000000060 +:04534A00000000005F +:04534B00000000005E +:04534C00000000005D +:04534D00000000005C +:04534E00000000005B +:04534F00000000005A +:045350000000000059 +:045351000000000058 +:045352000000000057 +:045353000000000056 +:045354000000000055 +:045355000000000054 +:045356000000000053 +:045357000000000052 +:045358000000000051 +:045359000000000050 +:04535A00000000004F +:04535B00000000004E +:04535C00000000004D +:04535D00000000004C +:04535E00000000004B +:04535F00000000004A +:045360000000000049 +:045361000000000048 +:045362000000000047 +:045363000000000046 +:045364000000000045 +:045365000000000044 +:045366000000000043 +:045367000000000042 +:045368000000000041 +:045369000000000040 +:04536A00000000003F +:04536B00000000003E +:04536C00000000003D +:04536D00000000003C +:04536E00000000003B +:04536F00000000003A +:045370000000000039 +:045371000000000038 +:045372000000000037 +:045373000000000036 +:045374000000000035 +:045375000000000034 +:045376000000000033 +:045377000000000032 +:045378000000000031 +:045379000000000030 +:04537A00000000002F +:04537B00000000002E +:04537C00000000002D +:04537D00000000002C +:04537E00000000002B +:04537F00000000002A +:045380000000000029 +:045381000000000028 +:045382000000000027 +:045383000000000026 +:045384000000000025 +:045385000000000024 +:045386000000000023 +:045387000000000022 +:045388000000000021 +:045389000000000020 +:04538A00000000001F +:04538B00000000001E +:04538C00000000001D +:04538D00000000001C +:04538E00000000001B +:04538F00000000001A +:045390000000000019 +:045391000000000018 +:045392000000000017 +:045393000000000016 +:045394000000000015 +:045395000000000014 +:045396000000000013 +:045397000000000012 +:045398000000000011 +:045399000000000010 +:04539A00000000000F +:04539B00000000000E +:04539C00000000000D +:04539D00000000000C +:04539E00000000000B +:04539F00000000000A +:0453A0000000000009 +:0453A1000000000008 +:0453A2000000000007 +:0453A3000000000006 +:0453A4000000000005 +:0453A5000000000004 +:0453A6000000000003 +:0453A7000000000002 +:0453A8000000000001 +:0453A9000000000000 +:0453AA0000000000FF +:0453AB0000000000FE +:0453AC0000000000FD +:0453AD0000000000FC +:0453AE0000000000FB +:0453AF0000000000FA +:0453B00000000000F9 +:0453B10000000000F8 +:0453B20000000000F7 +:0453B30000000000F6 +:0453B40000000000F5 +:0453B50000000000F4 +:0453B60000000000F3 +:0453B70000000000F2 +:0453B80000000000F1 +:0453B90000000000F0 +:0453BA0000000000EF +:0453BB0000000000EE +:0453BC0000000000ED +:0453BD0000000000EC +:0453BE0000000000EB +:0453BF0000000000EA +:0453C00000000000E9 +:0453C10000000000E8 +:0453C20000000000E7 +:0453C30000000000E6 +:0453C40000000000E5 +:0453C50000000000E4 +:0453C60000000000E3 +:0453C70000000000E2 +:0453C80000000000E1 +:0453C90000000000E0 +:0453CA0000000000DF +:0453CB0000000000DE +:0453CC0000000000DD +:0453CD0000000000DC +:0453CE0000000000DB +:0453CF0000000000DA +:0453D00000000000D9 +:0453D10000000000D8 +:0453D20000000000D7 +:0453D30000000000D6 +:0453D40000000000D5 +:0453D50000000000D4 +:0453D60000000000D3 +:0453D70000000000D2 +:0453D80000000000D1 +:0453D90000000000D0 +:0453DA0000000000CF +:0453DB0000000000CE +:0453DC0000000000CD +:0453DD0000000000CC +:0453DE0000000000CB +:0453DF0000000000CA +:0453E00000000000C9 +:0453E10000000000C8 +:0453E20000000000C7 +:0453E30000000000C6 +:0453E40000000000C5 +:0453E50000000000C4 +:0453E60000000000C3 +:0453E70000000000C2 +:0453E80000000000C1 +:0453E90000000000C0 +:0453EA0000000000BF +:0453EB0000000000BE +:0453EC0000000000BD +:0453ED0000000000BC +:0453EE0000000000BB +:0453EF0000000000BA +:0453F00000000000B9 +:0453F10000000000B8 +:0453F20000000000B7 +:0453F30000000000B6 +:0453F40000000000B5 +:0453F50000000000B4 +:0453F60000000000B3 +:0453F70000000000B2 +:0453F80000000000B1 +:0453F90000000000B0 +:0453FA0000000000AF +:0453FB0000000000AE +:0453FC0000000000AD +:0453FD0000000000AC +:0453FE0000000000AB +:0453FF0000000000AA +:0454000000000000A8 +:0454010000000000A7 +:0454020000000000A6 +:0454030000000000A5 +:0454040000000000A4 +:0454050000000000A3 +:0454060000000000A2 +:0454070000000000A1 +:0454080000000000A0 +:04540900000000009F +:04540A00000000009E +:04540B00000000009D +:04540C00000000009C +:04540D00000000009B +:04540E00000000009A +:04540F000000000099 +:045410000000000098 +:045411000000000097 +:045412000000000096 +:045413000000000095 +:045414000000000094 +:045415000000000093 +:045416000000000092 +:045417000000000091 +:045418000000000090 +:04541900000000008F +:04541A00000000008E +:04541B00000000008D +:04541C00000000008C +:04541D00000000008B +:04541E00000000008A +:04541F000000000089 +:045420000000000088 +:045421000000000087 +:045422000000000086 +:045423000000000085 +:045424000000000084 +:045425000000000083 +:045426000000000082 +:045427000000000081 +:045428000000000080 +:04542900000000007F +:04542A00000000007E +:04542B00000000007D +:04542C00000000007C +:04542D00000000007B +:04542E00000000007A +:04542F000000000079 +:045430000000000078 +:045431000000000077 +:045432000000000076 +:045433000000000075 +:045434000000000074 +:045435000000000073 +:045436000000000072 +:045437000000000071 +:045438000000000070 +:04543900000000006F +:04543A00000000006E +:04543B00000000006D +:04543C00000000006C +:04543D00000000006B +:04543E00000000006A +:04543F000000000069 +:045440000000000068 +:045441000000000067 +:045442000000000066 +:045443000000000065 +:045444000000000064 +:045445000000000063 +:045446000000000062 +:045447000000000061 +:045448000000000060 +:04544900000000005F +:04544A00000000005E +:04544B00000000005D +:04544C00000000005C +:04544D00000000005B +:04544E00000000005A +:04544F000000000059 +:045450000000000058 +:045451000000000057 +:045452000000000056 +:045453000000000055 +:045454000000000054 +:045455000000000053 +:045456000000000052 +:045457000000000051 +:045458000000000050 +:04545900000000004F +:04545A00000000004E +:04545B00000000004D +:04545C00000000004C +:04545D00000000004B +:04545E00000000004A +:04545F000000000049 +:045460000000000048 +:045461000000000047 +:045462000000000046 +:045463000000000045 +:045464000000000044 +:045465000000000043 +:045466000000000042 +:045467000000000041 +:045468000000000040 +:04546900000000003F +:04546A00000000003E +:04546B00000000003D +:04546C00000000003C +:04546D00000000003B +:04546E00000000003A +:04546F000000000039 +:045470000000000038 +:045471000000000037 +:045472000000000036 +:045473000000000035 +:045474000000000034 +:045475000000000033 +:045476000000000032 +:045477000000000031 +:045478000000000030 +:04547900000000002F +:04547A00000000002E +:04547B00000000002D +:04547C00000000002C +:04547D00000000002B +:04547E00000000002A +:04547F000000000029 +:045480000000000028 +:045481000000000027 +:045482000000000026 +:045483000000000025 +:045484000000000024 +:045485000000000023 +:045486000000000022 +:045487000000000021 +:045488000000000020 +:04548900000000001F +:04548A00000000001E +:04548B00000000001D +:04548C00000000001C +:04548D00000000001B +:04548E00000000001A +:04548F000000000019 +:045490000000000018 +:045491000000000017 +:045492000000000016 +:045493000000000015 +:045494000000000014 +:045495000000000013 +:045496000000000012 +:045497000000000011 +:045498000000000010 +:04549900000000000F +:04549A00000000000E +:04549B00000000000D +:04549C00000000000C +:04549D00000000000B +:04549E00000000000A +:04549F000000000009 +:0454A0000000000008 +:0454A1000000000007 +:0454A2000000000006 +:0454A3000000000005 +:0454A4000000000004 +:0454A5000000000003 +:0454A6000000000002 +:0454A7000000000001 +:0454A8000000000000 +:0454A90000000000FF +:0454AA0000000000FE +:0454AB0000000000FD +:0454AC0000000000FC +:0454AD0000000000FB +:0454AE0000000000FA +:0454AF0000000000F9 +:0454B00000000000F8 +:0454B10000000000F7 +:0454B20000000000F6 +:0454B30000000000F5 +:0454B40000000000F4 +:0454B50000000000F3 +:0454B60000000000F2 +:0454B70000000000F1 +:0454B80000000000F0 +:0454B90000000000EF +:0454BA0000000000EE +:0454BB0000000000ED +:0454BC0000000000EC +:0454BD0000000000EB +:0454BE0000000000EA +:0454BF0000000000E9 +:0454C00000000000E8 +:0454C10000000000E7 +:0454C20000000000E6 +:0454C30000000000E5 +:0454C40000000000E4 +:0454C50000000000E3 +:0454C60000000000E2 +:0454C70000000000E1 +:0454C80000000000E0 +:0454C90000000000DF +:0454CA0000000000DE +:0454CB0000000000DD +:0454CC0000000000DC +:0454CD0000000000DB +:0454CE0000000000DA +:0454CF0000000000D9 +:0454D00000000000D8 +:0454D10000000000D7 +:0454D20000000000D6 +:0454D30000000000D5 +:0454D40000000000D4 +:0454D50000000000D3 +:0454D60000000000D2 +:0454D70000000000D1 +:0454D80000000000D0 +:0454D90000000000CF +:0454DA0000000000CE +:0454DB0000000000CD +:0454DC0000000000CC +:0454DD0000000000CB +:0454DE0000000000CA +:0454DF0000000000C9 +:0454E00000000000C8 +:0454E10000000000C7 +:0454E20000000000C6 +:0454E30000000000C5 +:0454E40000000000C4 +:0454E50000000000C3 +:0454E60000000000C2 +:0454E70000000000C1 +:0454E80000000000C0 +:0454E90000000000BF +:0454EA0000000000BE +:0454EB0000000000BD +:0454EC0000000000BC +:0454ED0000000000BB +:0454EE0000000000BA +:0454EF0000000000B9 +:0454F00000000000B8 +:0454F10000000000B7 +:0454F20000000000B6 +:0454F30000000000B5 +:0454F40000000000B4 +:0454F50000000000B3 +:0454F60000000000B2 +:0454F70000000000B1 +:0454F80000000000B0 +:0454F90000000000AF +:0454FA0000000000AE +:0454FB0000000000AD +:0454FC0000000000AC +:0454FD0000000000AB +:0454FE0000000000AA +:0454FF0000000000A9 +:0455000000000000A7 +:0455010000000000A6 +:0455020000000000A5 +:0455030000000000A4 +:0455040000000000A3 +:0455050000000000A2 +:0455060000000000A1 +:0455070000000000A0 +:04550800000000009F +:04550900000000009E +:04550A00000000009D +:04550B00000000009C +:04550C00000000009B +:04550D00000000009A +:04550E000000000099 +:04550F000000000098 +:045510000000000097 +:045511000000000096 +:045512000000000095 +:045513000000000094 +:045514000000000093 +:045515000000000092 +:045516000000000091 +:045517000000000090 +:04551800000000008F +:04551900000000008E +:04551A00000000008D +:04551B00000000008C +:04551C00000000008B +:04551D00000000008A +:04551E000000000089 +:04551F000000000088 +:045520000000000087 +:045521000000000086 +:045522000000000085 +:045523000000000084 +:045524000000000083 +:045525000000000082 +:045526000000000081 +:045527000000000080 +:04552800000000007F +:04552900000000007E +:04552A00000000007D +:04552B00000000007C +:04552C00000000007B +:04552D00000000007A +:04552E000000000079 +:04552F000000000078 +:045530000000000077 +:045531000000000076 +:045532000000000075 +:045533000000000074 +:045534000000000073 +:045535000000000072 +:045536000000000071 +:045537000000000070 +:04553800000000006F +:04553900000000006E +:04553A00000000006D +:04553B00000000006C +:04553C00000000006B +:04553D00000000006A +:04553E000000000069 +:04553F000000000068 +:045540000000000067 +:045541000000000066 +:045542000000000065 +:045543000000000064 +:045544000000000063 +:045545000000000062 +:045546000000000061 +:045547000000000060 +:04554800000000005F +:04554900000000005E +:04554A00000000005D +:04554B00000000005C +:04554C00000000005B +:04554D00000000005A +:04554E000000000059 +:04554F000000000058 +:045550000000000057 +:045551000000000056 +:045552000000000055 +:045553000000000054 +:045554000000000053 +:045555000000000052 +:045556000000000051 +:045557000000000050 +:04555800000000004F +:04555900000000004E +:04555A00000000004D +:04555B00000000004C +:04555C00000000004B +:04555D00000000004A +:04555E000000000049 +:04555F000000000048 +:045560000000000047 +:045561000000000046 +:045562000000000045 +:045563000000000044 +:045564000000000043 +:045565000000000042 +:045566000000000041 +:045567000000000040 +:04556800000000003F +:04556900000000003E +:04556A00000000003D +:04556B00000000003C +:04556C00000000003B +:04556D00000000003A +:04556E000000000039 +:04556F000000000038 +:045570000000000037 +:045571000000000036 +:045572000000000035 +:045573000000000034 +:045574000000000033 +:045575000000000032 +:045576000000000031 +:045577000000000030 +:04557800000000002F +:04557900000000002E +:04557A00000000002D +:04557B00000000002C +:04557C00000000002B +:04557D00000000002A +:04557E000000000029 +:04557F000000000028 +:045580000000000027 +:045581000000000026 +:045582000000000025 +:045583000000000024 +:045584000000000023 +:045585000000000022 +:045586000000000021 +:045587000000000020 +:04558800000000001F +:04558900000000001E +:04558A00000000001D +:04558B00000000001C +:04558C00000000001B +:04558D00000000001A +:04558E000000000019 +:04558F000000000018 +:045590000000000017 +:045591000000000016 +:045592000000000015 +:045593000000000014 +:045594000000000013 +:045595000000000012 +:045596000000000011 +:045597000000000010 +:04559800000000000F +:04559900000000000E +:04559A00000000000D +:04559B00000000000C +:04559C00000000000B +:04559D00000000000A +:04559E000000000009 +:04559F000000000008 +:0455A0000000000007 +:0455A1000000000006 +:0455A2000000000005 +:0455A3000000000004 +:0455A4000000000003 +:0455A5000000000002 +:0455A6000000000001 +:0455A7000000000000 +:0455A80000000000FF +:0455A90000000000FE +:0455AA0000000000FD +:0455AB0000000000FC +:0455AC0000000000FB +:0455AD0000000000FA +:0455AE0000000000F9 +:0455AF0000000000F8 +:0455B00000000000F7 +:0455B10000000000F6 +:0455B20000000000F5 +:0455B30000000000F4 +:0455B40000000000F3 +:0455B50000000000F2 +:0455B60000000000F1 +:0455B70000000000F0 +:0455B80000000000EF +:0455B90000000000EE +:0455BA0000000000ED +:0455BB0000000000EC +:0455BC0000000000EB +:0455BD0000000000EA +:0455BE0000000000E9 +:0455BF0000000000E8 +:0455C00000000000E7 +:0455C10000000000E6 +:0455C20000000000E5 +:0455C30000000000E4 +:0455C40000000000E3 +:0455C50000000000E2 +:0455C60000000000E1 +:0455C70000000000E0 +:0455C80000000000DF +:0455C90000000000DE +:0455CA0000000000DD +:0455CB0000000000DC +:0455CC0000000000DB +:0455CD0000000000DA +:0455CE0000000000D9 +:0455CF0000000000D8 +:0455D00000000000D7 +:0455D10000000000D6 +:0455D20000000000D5 +:0455D30000000000D4 +:0455D40000000000D3 +:0455D50000000000D2 +:0455D60000000000D1 +:0455D70000000000D0 +:0455D80000000000CF +:0455D90000000000CE +:0455DA0000000000CD +:0455DB0000000000CC +:0455DC0000000000CB +:0455DD0000000000CA +:0455DE0000000000C9 +:0455DF0000000000C8 +:0455E00000000000C7 +:0455E10000000000C6 +:0455E20000000000C5 +:0455E30000000000C4 +:0455E40000000000C3 +:0455E50000000000C2 +:0455E60000000000C1 +:0455E70000000000C0 +:0455E80000000000BF +:0455E90000000000BE +:0455EA0000000000BD +:0455EB0000000000BC +:0455EC0000000000BB +:0455ED0000000000BA +:0455EE0000000000B9 +:0455EF0000000000B8 +:0455F00000000000B7 +:0455F10000000000B6 +:0455F20000000000B5 +:0455F30000000000B4 +:0455F40000000000B3 +:0455F50000000000B2 +:0455F60000000000B1 +:0455F70000000000B0 +:0455F80000000000AF +:0455F90000000000AE +:0455FA0000000000AD +:0455FB0000000000AC +:0455FC0000000000AB +:0455FD0000000000AA +:0455FE0000000000A9 +:0455FF0000000000A8 +:0456000000000000A6 +:0456010000000000A5 +:0456020000000000A4 +:0456030000000000A3 +:0456040000000000A2 +:0456050000000000A1 +:0456060000000000A0 +:04560700000000009F +:04560800000000009E +:04560900000000009D +:04560A00000000009C +:04560B00000000009B +:04560C00000000009A +:04560D000000000099 +:04560E000000000098 +:04560F000000000097 +:045610000000000096 +:045611000000000095 +:045612000000000094 +:045613000000000093 +:045614000000000092 +:045615000000000091 +:045616000000000090 +:04561700000000008F +:04561800000000008E +:04561900000000008D +:04561A00000000008C +:04561B00000000008B +:04561C00000000008A +:04561D000000000089 +:04561E000000000088 +:04561F000000000087 +:045620000000000086 +:045621000000000085 +:045622000000000084 +:045623000000000083 +:045624000000000082 +:045625000000000081 +:045626000000000080 +:04562700000000007F +:04562800000000007E +:04562900000000007D +:04562A00000000007C +:04562B00000000007B +:04562C00000000007A +:04562D000000000079 +:04562E000000000078 +:04562F000000000077 +:045630000000000076 +:045631000000000075 +:045632000000000074 +:045633000000000073 +:045634000000000072 +:045635000000000071 +:045636000000000070 +:04563700000000006F +:04563800000000006E +:04563900000000006D +:04563A00000000006C +:04563B00000000006B +:04563C00000000006A +:04563D000000000069 +:04563E000000000068 +:04563F000000000067 +:045640000000000066 +:045641000000000065 +:045642000000000064 +:045643000000000063 +:045644000000000062 +:045645000000000061 +:045646000000000060 +:04564700000000005F +:04564800000000005E +:04564900000000005D +:04564A00000000005C +:04564B00000000005B +:04564C00000000005A +:04564D000000000059 +:04564E000000000058 +:04564F000000000057 +:045650000000000056 +:045651000000000055 +:045652000000000054 +:045653000000000053 +:045654000000000052 +:045655000000000051 +:045656000000000050 +:04565700000000004F +:04565800000000004E +:04565900000000004D +:04565A00000000004C +:04565B00000000004B +:04565C00000000004A +:04565D000000000049 +:04565E000000000048 +:04565F000000000047 +:045660000000000046 +:045661000000000045 +:045662000000000044 +:045663000000000043 +:045664000000000042 +:045665000000000041 +:045666000000000040 +:04566700000000003F +:04566800000000003E +:04566900000000003D +:04566A00000000003C +:04566B00000000003B +:04566C00000000003A +:04566D000000000039 +:04566E000000000038 +:04566F000000000037 +:045670000000000036 +:045671000000000035 +:045672000000000034 +:045673000000000033 +:045674000000000032 +:045675000000000031 +:045676000000000030 +:04567700000000002F +:04567800000000002E +:04567900000000002D +:04567A00000000002C +:04567B00000000002B +:04567C00000000002A +:04567D000000000029 +:04567E000000000028 +:04567F000000000027 +:045680000000000026 +:045681000000000025 +:045682000000000024 +:045683000000000023 +:045684000000000022 +:045685000000000021 +:045686000000000020 +:04568700000000001F +:04568800000000001E +:04568900000000001D +:04568A00000000001C +:04568B00000000001B +:04568C00000000001A +:04568D000000000019 +:04568E000000000018 +:04568F000000000017 +:045690000000000016 +:045691000000000015 +:045692000000000014 +:045693000000000013 +:045694000000000012 +:045695000000000011 +:045696000000000010 +:04569700000000000F +:04569800000000000E +:04569900000000000D +:04569A00000000000C +:04569B00000000000B +:04569C00000000000A +:04569D000000000009 +:04569E000000000008 +:04569F000000000007 +:0456A0000000000006 +:0456A1000000000005 +:0456A2000000000004 +:0456A3000000000003 +:0456A4000000000002 +:0456A5000000000001 +:0456A6000000000000 +:0456A70000000000FF +:0456A80000000000FE +:0456A90000000000FD +:0456AA0000000000FC +:0456AB0000000000FB +:0456AC0000000000FA +:0456AD0000000000F9 +:0456AE0000000000F8 +:0456AF0000000000F7 +:0456B00000000000F6 +:0456B10000000000F5 +:0456B20000000000F4 +:0456B30000000000F3 +:0456B40000000000F2 +:0456B50000000000F1 +:0456B60000000000F0 +:0456B70000000000EF +:0456B80000000000EE +:0456B90000000000ED +:0456BA0000000000EC +:0456BB0000000000EB +:0456BC0000000000EA +:0456BD0000000000E9 +:0456BE0000000000E8 +:0456BF0000000000E7 +:0456C00000000000E6 +:0456C10000000000E5 +:0456C20000000000E4 +:0456C30000000000E3 +:0456C40000000000E2 +:0456C50000000000E1 +:0456C60000000000E0 +:0456C70000000000DF +:0456C80000000000DE +:0456C90000000000DD +:0456CA0000000000DC +:0456CB0000000000DB +:0456CC0000000000DA +:0456CD0000000000D9 +:0456CE0000000000D8 +:0456CF0000000000D7 +:0456D00000000000D6 +:0456D10000000000D5 +:0456D20000000000D4 +:0456D30000000000D3 +:0456D40000000000D2 +:0456D50000000000D1 +:0456D60000000000D0 +:0456D70000000000CF +:0456D80000000000CE +:0456D90000000000CD +:0456DA0000000000CC +:0456DB0000000000CB +:0456DC0000000000CA +:0456DD0000000000C9 +:0456DE0000000000C8 +:0456DF0000000000C7 +:0456E00000000000C6 +:0456E10000000000C5 +:0456E20000000000C4 +:0456E30000000000C3 +:0456E40000000000C2 +:0456E50000000000C1 +:0456E60000000000C0 +:0456E70000000000BF +:0456E80000000000BE +:0456E90000000000BD +:0456EA0000000000BC +:0456EB0000000000BB +:0456EC0000000000BA +:0456ED0000000000B9 +:0456EE0000000000B8 +:0456EF0000000000B7 +:0456F00000000000B6 +:0456F10000000000B5 +:0456F20000000000B4 +:0456F30000000000B3 +:0456F40000000000B2 +:0456F50000000000B1 +:0456F60000000000B0 +:0456F70000000000AF +:0456F80000000000AE +:0456F90000000000AD +:0456FA0000000000AC +:0456FB0000000000AB +:0456FC0000000000AA +:0456FD0000000000A9 +:0456FE0000000000A8 +:0456FF0000000000A7 +:0457000000000000A5 +:0457010000000000A4 +:0457020000000000A3 +:0457030000000000A2 +:0457040000000000A1 +:0457050000000000A0 +:04570600000000009F +:04570700000000009E +:04570800000000009D +:04570900000000009C +:04570A00000000009B +:04570B00000000009A +:04570C000000000099 +:04570D000000000098 +:04570E000000000097 +:04570F000000000096 +:045710000000000095 +:045711000000000094 +:045712000000000093 +:045713000000000092 +:045714000000000091 +:045715000000000090 +:04571600000000008F +:04571700000000008E +:04571800000000008D +:04571900000000008C +:04571A00000000008B +:04571B00000000008A +:04571C000000000089 +:04571D000000000088 +:04571E000000000087 +:04571F000000000086 +:045720000000000085 +:045721000000000084 +:045722000000000083 +:045723000000000082 +:045724000000000081 +:045725000000000080 +:04572600000000007F +:04572700000000007E +:04572800000000007D +:04572900000000007C +:04572A00000000007B +:04572B00000000007A +:04572C000000000079 +:04572D000000000078 +:04572E000000000077 +:04572F000000000076 +:045730000000000075 +:045731000000000074 +:045732000000000073 +:045733000000000072 +:045734000000000071 +:045735000000000070 +:04573600000000006F +:04573700000000006E +:04573800000000006D +:04573900000000006C +:04573A00000000006B +:04573B00000000006A +:04573C000000000069 +:04573D000000000068 +:04573E000000000067 +:04573F000000000066 +:045740000000000065 +:045741000000000064 +:045742000000000063 +:045743000000000062 +:045744000000000061 +:045745000000000060 +:04574600000000005F +:04574700000000005E +:04574800000000005D +:04574900000000005C +:04574A00000000005B +:04574B00000000005A +:04574C000000000059 +:04574D000000000058 +:04574E000000000057 +:04574F000000000056 +:045750000000000055 +:045751000000000054 +:045752000000000053 +:045753000000000052 +:045754000000000051 +:045755000000000050 +:04575600000000004F +:04575700000000004E +:04575800000000004D +:04575900000000004C +:04575A00000000004B +:04575B00000000004A +:04575C000000000049 +:04575D000000000048 +:04575E000000000047 +:04575F000000000046 +:045760000000000045 +:045761000000000044 +:045762000000000043 +:045763000000000042 +:045764000000000041 +:045765000000000040 +:04576600000000003F +:04576700000000003E +:04576800000000003D +:04576900000000003C +:04576A00000000003B +:04576B00000000003A +:04576C000000000039 +:04576D000000000038 +:04576E000000000037 +:04576F000000000036 +:045770000000000035 +:045771000000000034 +:045772000000000033 +:045773000000000032 +:045774000000000031 +:045775000000000030 +:04577600000000002F +:04577700000000002E +:04577800000000002D +:04577900000000002C +:04577A00000000002B +:04577B00000000002A +:04577C000000000029 +:04577D000000000028 +:04577E000000000027 +:04577F000000000026 +:045780000000000025 +:045781000000000024 +:045782000000000023 +:045783000000000022 +:045784000000000021 +:045785000000000020 +:04578600000000001F +:04578700000000001E +:04578800000000001D +:04578900000000001C +:04578A00000000001B +:04578B00000000001A +:04578C000000000019 +:04578D000000000018 +:04578E000000000017 +:04578F000000000016 +:045790000000000015 +:045791000000000014 +:045792000000000013 +:045793000000000012 +:045794000000000011 +:045795000000000010 +:04579600000000000F +:04579700000000000E +:04579800000000000D +:04579900000000000C +:04579A00000000000B +:04579B00000000000A +:04579C000000000009 +:04579D000000000008 +:04579E000000000007 +:04579F000000000006 +:0457A0000000000005 +:0457A1000000000004 +:0457A2000000000003 +:0457A3000000000002 +:0457A4000000000001 +:0457A5000000000000 +:0457A60000000000FF +:0457A70000000000FE +:0457A80000000000FD +:0457A90000000000FC +:0457AA0000000000FB +:0457AB0000000000FA +:0457AC0000000000F9 +:0457AD0000000000F8 +:0457AE0000000000F7 +:0457AF0000000000F6 +:0457B00000000000F5 +:0457B10000000000F4 +:0457B20000000000F3 +:0457B30000000000F2 +:0457B40000000000F1 +:0457B50000000000F0 +:0457B60000000000EF +:0457B70000000000EE +:0457B80000000000ED +:0457B90000000000EC +:0457BA0000000000EB +:0457BB0000000000EA +:0457BC0000000000E9 +:0457BD0000000000E8 +:0457BE0000000000E7 +:0457BF0000000000E6 +:0457C00000000000E5 +:0457C10000000000E4 +:0457C20000000000E3 +:0457C30000000000E2 +:0457C40000000000E1 +:0457C50000000000E0 +:0457C60000000000DF +:0457C70000000000DE +:0457C80000000000DD +:0457C90000000000DC +:0457CA0000000000DB +:0457CB0000000000DA +:0457CC0000000000D9 +:0457CD0000000000D8 +:0457CE0000000000D7 +:0457CF0000000000D6 +:0457D00000000000D5 +:0457D10000000000D4 +:0457D20000000000D3 +:0457D30000000000D2 +:0457D40000000000D1 +:0457D50000000000D0 +:0457D60000000000CF +:0457D70000000000CE +:0457D80000000000CD +:0457D90000000000CC +:0457DA0000000000CB +:0457DB0000000000CA +:0457DC0000000000C9 +:0457DD0000000000C8 +:0457DE0000000000C7 +:0457DF0000000000C6 +:0457E00000000000C5 +:0457E10000000000C4 +:0457E20000000000C3 +:0457E30000000000C2 +:0457E40000000000C1 +:0457E50000000000C0 +:0457E60000000000BF +:0457E70000000000BE +:0457E80000000000BD +:0457E90000000000BC +:0457EA0000000000BB +:0457EB0000000000BA +:0457EC0000000000B9 +:0457ED0000000000B8 +:0457EE0000000000B7 +:0457EF0000000000B6 +:0457F00000000000B5 +:0457F10000000000B4 +:0457F20000000000B3 +:0457F30000000000B2 +:0457F40000000000B1 +:0457F50000000000B0 +:0457F60000000000AF +:0457F70000000000AE +:0457F80000000000AD +:0457F90000000000AC +:0457FA0000000000AB +:0457FB0000000000AA +:0457FC0000000000A9 +:0457FD0000000000A8 +:0457FE0000000000A7 +:0457FF0000000000A6 +:0458000000000000A4 +:0458010000000000A3 +:0458020000000000A2 +:0458030000000000A1 +:0458040000000000A0 +:04580500000000009F +:04580600000000009E +:04580700000000009D +:04580800000000009C +:04580900000000009B +:04580A00000000009A +:04580B000000000099 +:04580C000000000098 +:04580D000000000097 +:04580E000000000096 +:04580F000000000095 +:045810000000000094 +:045811000000000093 +:045812000000000092 +:045813000000000091 +:045814000000000090 +:04581500000000008F +:04581600000000008E +:04581700000000008D +:04581800000000008C +:04581900000000008B +:04581A00000000008A +:04581B000000000089 +:04581C000000000088 +:04581D000000000087 +:04581E000000000086 +:04581F000000000085 +:045820000000000084 +:045821000000000083 +:045822000000000082 +:045823000000000081 +:045824000000000080 +:04582500000000007F +:04582600000000007E +:04582700000000007D +:04582800000000007C +:04582900000000007B +:04582A00000000007A +:04582B000000000079 +:04582C000000000078 +:04582D000000000077 +:04582E000000000076 +:04582F000000000075 +:045830000000000074 +:045831000000000073 +:045832000000000072 +:045833000000000071 +:045834000000000070 +:04583500000000006F +:04583600000000006E +:04583700000000006D +:04583800000000006C +:04583900000000006B +:04583A00000000006A +:04583B000000000069 +:04583C000000000068 +:04583D000000000067 +:04583E000000000066 +:04583F000000000065 +:045840000000000064 +:045841000000000063 +:045842000000000062 +:045843000000000061 +:045844000000000060 +:04584500000000005F +:04584600000000005E +:04584700000000005D +:04584800000000005C +:04584900000000005B +:04584A00000000005A +:04584B000000000059 +:04584C000000000058 +:04584D000000000057 +:04584E000000000056 +:04584F000000000055 +:045850000000000054 +:045851000000000053 +:045852000000000052 +:045853000000000051 +:045854000000000050 +:04585500000000004F +:04585600000000004E +:04585700000000004D +:04585800000000004C +:04585900000000004B +:04585A00000000004A +:04585B000000000049 +:04585C000000000048 +:04585D000000000047 +:04585E000000000046 +:04585F000000000045 +:045860000000000044 +:045861000000000043 +:045862000000000042 +:045863000000000041 +:045864000000000040 +:04586500000000003F +:04586600000000003E +:04586700000000003D +:04586800000000003C +:04586900000000003B +:04586A00000000003A +:04586B000000000039 +:04586C000000000038 +:04586D000000000037 +:04586E000000000036 +:04586F000000000035 +:045870000000000034 +:045871000000000033 +:045872000000000032 +:045873000000000031 +:045874000000000030 +:04587500000000002F +:04587600000000002E +:04587700000000002D +:04587800000000002C +:04587900000000002B +:04587A00000000002A +:04587B000000000029 +:04587C000000000028 +:04587D000000000027 +:04587E000000000026 +:04587F000000000025 +:045880000000000024 +:045881000000000023 +:045882000000000022 +:045883000000000021 +:045884000000000020 +:04588500000000001F +:04588600000000001E +:04588700000000001D +:04588800000000001C +:04588900000000001B +:04588A00000000001A +:04588B000000000019 +:04588C000000000018 +:04588D000000000017 +:04588E000000000016 +:04588F000000000015 +:045890000000000014 +:045891000000000013 +:045892000000000012 +:045893000000000011 +:045894000000000010 +:04589500000000000F +:04589600000000000E +:04589700000000000D +:04589800000000000C +:04589900000000000B +:04589A00000000000A +:04589B000000000009 +:04589C000000000008 +:04589D000000000007 +:04589E000000000006 +:04589F000000000005 +:0458A0000000000004 +:0458A1000000000003 +:0458A2000000000002 +:0458A3000000000001 +:0458A4000000000000 +:0458A50000000000FF +:0458A60000000000FE +:0458A70000000000FD +:0458A80000000000FC +:0458A90000000000FB +:0458AA0000000000FA +:0458AB0000000000F9 +:0458AC0000000000F8 +:0458AD0000000000F7 +:0458AE0000000000F6 +:0458AF0000000000F5 +:0458B00000000000F4 +:0458B10000000000F3 +:0458B20000000000F2 +:0458B30000000000F1 +:0458B40000000000F0 +:0458B50000000000EF +:0458B60000000000EE +:0458B70000000000ED +:0458B80000000000EC +:0458B90000000000EB +:0458BA0000000000EA +:0458BB0000000000E9 +:0458BC0000000000E8 +:0458BD0000000000E7 +:0458BE0000000000E6 +:0458BF0000000000E5 +:0458C00000000000E4 +:0458C10000000000E3 +:0458C20000000000E2 +:0458C30000000000E1 +:0458C40000000000E0 +:0458C50000000000DF +:0458C60000000000DE +:0458C70000000000DD +:0458C80000000000DC +:0458C90000000000DB +:0458CA0000000000DA +:0458CB0000000000D9 +:0458CC0000000000D8 +:0458CD0000000000D7 +:0458CE0000000000D6 +:0458CF0000000000D5 +:0458D00000000000D4 +:0458D10000000000D3 +:0458D20000000000D2 +:0458D30000000000D1 +:0458D40000000000D0 +:0458D50000000000CF +:0458D60000000000CE +:0458D70000000000CD +:0458D80000000000CC +:0458D90000000000CB +:0458DA0000000000CA +:0458DB0000000000C9 +:0458DC0000000000C8 +:0458DD0000000000C7 +:0458DE0000000000C6 +:0458DF0000000000C5 +:0458E00000000000C4 +:0458E10000000000C3 +:0458E20000000000C2 +:0458E30000000000C1 +:0458E40000000000C0 +:0458E50000000000BF +:0458E60000000000BE +:0458E70000000000BD +:0458E80000000000BC +:0458E90000000000BB +:0458EA0000000000BA +:0458EB0000000000B9 +:0458EC0000000000B8 +:0458ED0000000000B7 +:0458EE0000000000B6 +:0458EF0000000000B5 +:0458F00000000000B4 +:0458F10000000000B3 +:0458F20000000000B2 +:0458F30000000000B1 +:0458F40000000000B0 +:0458F50000000000AF +:0458F60000000000AE +:0458F70000000000AD +:0458F80000000000AC +:0458F90000000000AB +:0458FA0000000000AA +:0458FB0000000000A9 +:0458FC0000000000A8 +:0458FD0000000000A7 +:0458FE0000000000A6 +:0458FF0000000000A5 +:0459000000000000A3 +:0459010000000000A2 +:0459020000000000A1 +:0459030000000000A0 +:04590400000000009F +:04590500000000009E +:04590600000000009D +:04590700000000009C +:04590800000000009B +:04590900000000009A +:04590A000000000099 +:04590B000000000098 +:04590C000000000097 +:04590D000000000096 +:04590E000000000095 +:04590F000000000094 +:045910000000000093 +:045911000000000092 +:045912000000000091 +:045913000000000090 +:04591400000000008F +:04591500000000008E +:04591600000000008D +:04591700000000008C +:04591800000000008B +:04591900000000008A +:04591A000000000089 +:04591B000000000088 +:04591C000000000087 +:04591D000000000086 +:04591E000000000085 +:04591F000000000084 +:045920000000000083 +:045921000000000082 +:045922000000000081 +:045923000000000080 +:04592400000000007F +:04592500000000007E +:04592600000000007D +:04592700000000007C +:04592800000000007B +:04592900000000007A +:04592A000000000079 +:04592B000000000078 +:04592C000000000077 +:04592D000000000076 +:04592E000000000075 +:04592F000000000074 +:045930000000000073 +:045931000000000072 +:045932000000000071 +:045933000000000070 +:04593400000000006F +:04593500000000006E +:04593600000000006D +:04593700000000006C +:04593800000000006B +:04593900000000006A +:04593A000000000069 +:04593B000000000068 +:04593C000000000067 +:04593D000000000066 +:04593E000000000065 +:04593F000000000064 +:045940000000000063 +:045941000000000062 +:045942000000000061 +:045943000000000060 +:04594400000000005F +:04594500000000005E +:04594600000000005D +:04594700000000005C +:04594800000000005B +:04594900000000005A +:04594A000000000059 +:04594B000000000058 +:04594C000000000057 +:04594D000000000056 +:04594E000000000055 +:04594F000000000054 +:045950000000000053 +:045951000000000052 +:045952000000000051 +:045953000000000050 +:04595400000000004F +:04595500000000004E +:04595600000000004D +:04595700000000004C +:04595800000000004B +:04595900000000004A +:04595A000000000049 +:04595B000000000048 +:04595C000000000047 +:04595D000000000046 +:04595E000000000045 +:04595F000000000044 +:045960000000000043 +:045961000000000042 +:045962000000000041 +:045963000000000040 +:04596400000000003F +:04596500000000003E +:04596600000000003D +:04596700000000003C +:04596800000000003B +:04596900000000003A +:04596A000000000039 +:04596B000000000038 +:04596C000000000037 +:04596D000000000036 +:04596E000000000035 +:04596F000000000034 +:045970000000000033 +:045971000000000032 +:045972000000000031 +:045973000000000030 +:04597400000000002F +:04597500000000002E +:04597600000000002D +:04597700000000002C +:04597800000000002B +:04597900000000002A +:04597A000000000029 +:04597B000000000028 +:04597C000000000027 +:04597D000000000026 +:04597E000000000025 +:04597F000000000024 +:045980000000000023 +:045981000000000022 +:045982000000000021 +:045983000000000020 +:04598400000000001F +:04598500000000001E +:04598600000000001D +:04598700000000001C +:04598800000000001B +:04598900000000001A +:04598A000000000019 +:04598B000000000018 +:04598C000000000017 +:04598D000000000016 +:04598E000000000015 +:04598F000000000014 +:045990000000000013 +:045991000000000012 +:045992000000000011 +:045993000000000010 +:04599400000000000F +:04599500000000000E +:04599600000000000D +:04599700000000000C +:04599800000000000B +:04599900000000000A +:04599A000000000009 +:04599B000000000008 +:04599C000000000007 +:04599D000000000006 +:04599E000000000005 +:04599F000000000004 +:0459A0000000000003 +:0459A1000000000002 +:0459A2000000000001 +:0459A3000000000000 +:0459A40000000000FF +:0459A50000000000FE +:0459A60000000000FD +:0459A70000000000FC +:0459A80000000000FB +:0459A90000000000FA +:0459AA0000000000F9 +:0459AB0000000000F8 +:0459AC0000000000F7 +:0459AD0000000000F6 +:0459AE0000000000F5 +:0459AF0000000000F4 +:0459B00000000000F3 +:0459B10000000000F2 +:0459B20000000000F1 +:0459B30000000000F0 +:0459B40000000000EF +:0459B50000000000EE +:0459B60000000000ED +:0459B70000000000EC +:0459B80000000000EB +:0459B90000000000EA +:0459BA0000000000E9 +:0459BB0000000000E8 +:0459BC0000000000E7 +:0459BD0000000000E6 +:0459BE0000000000E5 +:0459BF0000000000E4 +:0459C00000000000E3 +:0459C10000000000E2 +:0459C20000000000E1 +:0459C30000000000E0 +:0459C40000000000DF +:0459C50000000000DE +:0459C60000000000DD +:0459C70000000000DC +:0459C80000000000DB +:0459C90000000000DA +:0459CA0000000000D9 +:0459CB0000000000D8 +:0459CC0000000000D7 +:0459CD0000000000D6 +:0459CE0000000000D5 +:0459CF0000000000D4 +:0459D00000000000D3 +:0459D10000000000D2 +:0459D20000000000D1 +:0459D30000000000D0 +:0459D40000000000CF +:0459D50000000000CE +:0459D60000000000CD +:0459D70000000000CC +:0459D80000000000CB +:0459D90000000000CA +:0459DA0000000000C9 +:0459DB0000000000C8 +:0459DC0000000000C7 +:0459DD0000000000C6 +:0459DE0000000000C5 +:0459DF0000000000C4 +:0459E00000000000C3 +:0459E10000000000C2 +:0459E20000000000C1 +:0459E30000000000C0 +:0459E40000000000BF +:0459E50000000000BE +:0459E60000000000BD +:0459E70000000000BC +:0459E80000000000BB +:0459E90000000000BA +:0459EA0000000000B9 +:0459EB0000000000B8 +:0459EC0000000000B7 +:0459ED0000000000B6 +:0459EE0000000000B5 +:0459EF0000000000B4 +:0459F00000000000B3 +:0459F10000000000B2 +:0459F20000000000B1 +:0459F30000000000B0 +:0459F40000000000AF +:0459F50000000000AE +:0459F60000000000AD +:0459F70000000000AC +:0459F80000000000AB +:0459F90000000000AA +:0459FA0000000000A9 +:0459FB0000000000A8 +:0459FC0000000000A7 +:0459FD0000000000A6 +:0459FE0000000000A5 +:0459FF0000000000A4 +:045A000000000000A2 +:045A010000000000A1 +:045A020000000000A0 +:045A0300000000009F +:045A0400000000009E +:045A0500000000009D +:045A0600000000009C +:045A0700000000009B +:045A0800000000009A +:045A09000000000099 +:045A0A000000000098 +:045A0B000000000097 +:045A0C000000000096 +:045A0D000000000095 +:045A0E000000000094 +:045A0F000000000093 +:045A10000000000092 +:045A11000000000091 +:045A12000000000090 +:045A1300000000008F +:045A1400000000008E +:045A1500000000008D +:045A1600000000008C +:045A1700000000008B +:045A1800000000008A +:045A19000000000089 +:045A1A000000000088 +:045A1B000000000087 +:045A1C000000000086 +:045A1D000000000085 +:045A1E000000000084 +:045A1F000000000083 +:045A20000000000082 +:045A21000000000081 +:045A22000000000080 +:045A2300000000007F +:045A2400000000007E +:045A2500000000007D +:045A2600000000007C +:045A2700000000007B +:045A2800000000007A +:045A29000000000079 +:045A2A000000000078 +:045A2B000000000077 +:045A2C000000000076 +:045A2D000000000075 +:045A2E000000000074 +:045A2F000000000073 +:045A30000000000072 +:045A31000000000071 +:045A32000000000070 +:045A3300000000006F +:045A3400000000006E +:045A3500000000006D +:045A3600000000006C +:045A3700000000006B +:045A3800000000006A +:045A39000000000069 +:045A3A000000000068 +:045A3B000000000067 +:045A3C000000000066 +:045A3D000000000065 +:045A3E000000000064 +:045A3F000000000063 +:045A40000000000062 +:045A41000000000061 +:045A42000000000060 +:045A4300000000005F +:045A4400000000005E +:045A4500000000005D +:045A4600000000005C +:045A4700000000005B +:045A4800000000005A +:045A49000000000059 +:045A4A000000000058 +:045A4B000000000057 +:045A4C000000000056 +:045A4D000000000055 +:045A4E000000000054 +:045A4F000000000053 +:045A50000000000052 +:045A51000000000051 +:045A52000000000050 +:045A5300000000004F +:045A5400000000004E +:045A5500000000004D +:045A5600000000004C +:045A5700000000004B +:045A5800000000004A +:045A59000000000049 +:045A5A000000000048 +:045A5B000000000047 +:045A5C000000000046 +:045A5D000000000045 +:045A5E000000000044 +:045A5F000000000043 +:045A60000000000042 +:045A61000000000041 +:045A62000000000040 +:045A6300000000003F +:045A6400000000003E +:045A6500000000003D +:045A6600000000003C +:045A6700000000003B +:045A6800000000003A +:045A69000000000039 +:045A6A000000000038 +:045A6B000000000037 +:045A6C000000000036 +:045A6D000000000035 +:045A6E000000000034 +:045A6F000000000033 +:045A70000000000032 +:045A71000000000031 +:045A72000000000030 +:045A7300000000002F +:045A7400000000002E +:045A7500000000002D +:045A7600000000002C +:045A7700000000002B +:045A7800000000002A +:045A79000000000029 +:045A7A000000000028 +:045A7B000000000027 +:045A7C000000000026 +:045A7D000000000025 +:045A7E000000000024 +:045A7F000000000023 +:045A80000000000022 +:045A81000000000021 +:045A82000000000020 +:045A8300000000001F +:045A8400000000001E +:045A8500000000001D +:045A8600000000001C +:045A8700000000001B +:045A8800000000001A +:045A89000000000019 +:045A8A000000000018 +:045A8B000000000017 +:045A8C000000000016 +:045A8D000000000015 +:045A8E000000000014 +:045A8F000000000013 +:045A90000000000012 +:045A91000000000011 +:045A92000000000010 +:045A9300000000000F +:045A9400000000000E +:045A9500000000000D +:045A9600000000000C +:045A9700000000000B +:045A9800000000000A +:045A99000000000009 +:045A9A000000000008 +:045A9B000000000007 +:045A9C000000000006 +:045A9D000000000005 +:045A9E000000000004 +:045A9F000000000003 +:045AA0000000000002 +:045AA1000000000001 +:045AA2000000000000 +:045AA30000000000FF +:045AA40000000000FE +:045AA50000000000FD +:045AA60000000000FC +:045AA70000000000FB +:045AA80000000000FA +:045AA90000000000F9 +:045AAA0000000000F8 +:045AAB0000000000F7 +:045AAC0000000000F6 +:045AAD0000000000F5 +:045AAE0000000000F4 +:045AAF0000000000F3 +:045AB00000000000F2 +:045AB10000000000F1 +:045AB20000000000F0 +:045AB30000000000EF +:045AB40000000000EE +:045AB50000000000ED +:045AB60000000000EC +:045AB70000000000EB +:045AB80000000000EA +:045AB90000000000E9 +:045ABA0000000000E8 +:045ABB0000000000E7 +:045ABC0000000000E6 +:045ABD0000000000E5 +:045ABE0000000000E4 +:045ABF0000000000E3 +:045AC00000000000E2 +:045AC10000000000E1 +:045AC20000000000E0 +:045AC30000000000DF +:045AC40000000000DE +:045AC50000000000DD +:045AC60000000000DC +:045AC70000000000DB +:045AC80000000000DA +:045AC90000000000D9 +:045ACA0000000000D8 +:045ACB0000000000D7 +:045ACC0000000000D6 +:045ACD0000000000D5 +:045ACE0000000000D4 +:045ACF0000000000D3 +:045AD00000000000D2 +:045AD10000000000D1 +:045AD20000000000D0 +:045AD30000000000CF +:045AD40000000000CE +:045AD50000000000CD +:045AD60000000000CC +:045AD70000000000CB +:045AD80000000000CA +:045AD90000000000C9 +:045ADA0000000000C8 +:045ADB0000000000C7 +:045ADC0000000000C6 +:045ADD0000000000C5 +:045ADE0000000000C4 +:045ADF0000000000C3 +:045AE00000000000C2 +:045AE10000000000C1 +:045AE20000000000C0 +:045AE30000000000BF +:045AE40000000000BE +:045AE50000000000BD +:045AE60000000000BC +:045AE70000000000BB +:045AE80000000000BA +:045AE90000000000B9 +:045AEA0000000000B8 +:045AEB0000000000B7 +:045AEC0000000000B6 +:045AED0000000000B5 +:045AEE0000000000B4 +:045AEF0000000000B3 +:045AF00000000000B2 +:045AF10000000000B1 +:045AF20000000000B0 +:045AF30000000000AF +:045AF40000000000AE +:045AF50000000000AD +:045AF60000000000AC +:045AF70000000000AB +:045AF80000000000AA +:045AF90000000000A9 +:045AFA0000000000A8 +:045AFB0000000000A7 +:045AFC0000000000A6 +:045AFD0000000000A5 +:045AFE0000000000A4 +:045AFF0000000000A3 +:045B000000000000A1 +:045B010000000000A0 +:045B0200000000009F +:045B0300000000009E +:045B0400000000009D +:045B0500000000009C +:045B0600000000009B +:045B0700000000009A +:045B08000000000099 +:045B09000000000098 +:045B0A000000000097 +:045B0B000000000096 +:045B0C000000000095 +:045B0D000000000094 +:045B0E000000000093 +:045B0F000000000092 +:045B10000000000091 +:045B11000000000090 +:045B1200000000008F +:045B1300000000008E +:045B1400000000008D +:045B1500000000008C +:045B1600000000008B +:045B1700000000008A +:045B18000000000089 +:045B19000000000088 +:045B1A000000000087 +:045B1B000000000086 +:045B1C000000000085 +:045B1D000000000084 +:045B1E000000000083 +:045B1F000000000082 +:045B20000000000081 +:045B21000000000080 +:045B2200000000007F +:045B2300000000007E +:045B2400000000007D +:045B2500000000007C +:045B2600000000007B +:045B2700000000007A +:045B28000000000079 +:045B29000000000078 +:045B2A000000000077 +:045B2B000000000076 +:045B2C000000000075 +:045B2D000000000074 +:045B2E000000000073 +:045B2F000000000072 +:045B30000000000071 +:045B31000000000070 +:045B3200000000006F +:045B3300000000006E +:045B3400000000006D +:045B3500000000006C +:045B3600000000006B +:045B3700000000006A +:045B38000000000069 +:045B39000000000068 +:045B3A000000000067 +:045B3B000000000066 +:045B3C000000000065 +:045B3D000000000064 +:045B3E000000000063 +:045B3F000000000062 +:045B40000000000061 +:045B41000000000060 +:045B4200000000005F +:045B4300000000005E +:045B4400000000005D +:045B4500000000005C +:045B4600000000005B +:045B4700000000005A +:045B48000000000059 +:045B49000000000058 +:045B4A000000000057 +:045B4B000000000056 +:045B4C000000000055 +:045B4D000000000054 +:045B4E000000000053 +:045B4F000000000052 +:045B50000000000051 +:045B51000000000050 +:045B5200000000004F +:045B5300000000004E +:045B5400000000004D +:045B5500000000004C +:045B5600000000004B +:045B5700000000004A +:045B58000000000049 +:045B59000000000048 +:045B5A000000000047 +:045B5B000000000046 +:045B5C000000000045 +:045B5D000000000044 +:045B5E000000000043 +:045B5F000000000042 +:045B60000000000041 +:045B61000000000040 +:045B6200000000003F +:045B6300000000003E +:045B6400000000003D +:045B6500000000003C +:045B6600000000003B +:045B6700000000003A +:045B68000000000039 +:045B69000000000038 +:045B6A000000000037 +:045B6B000000000036 +:045B6C000000000035 +:045B6D000000000034 +:045B6E000000000033 +:045B6F000000000032 +:045B70000000000031 +:045B71000000000030 +:045B7200000000002F +:045B7300000000002E +:045B7400000000002D +:045B7500000000002C +:045B7600000000002B +:045B7700000000002A +:045B78000000000029 +:045B79000000000028 +:045B7A000000000027 +:045B7B000000000026 +:045B7C000000000025 +:045B7D000000000024 +:045B7E000000000023 +:045B7F000000000022 +:045B80000000000021 +:045B81000000000020 +:045B8200000000001F +:045B8300000000001E +:045B8400000000001D +:045B8500000000001C +:045B8600000000001B +:045B8700000000001A +:045B88000000000019 +:045B89000000000018 +:045B8A000000000017 +:045B8B000000000016 +:045B8C000000000015 +:045B8D000000000014 +:045B8E000000000013 +:045B8F000000000012 +:045B90000000000011 +:045B91000000000010 +:045B9200000000000F +:045B9300000000000E +:045B9400000000000D +:045B9500000000000C +:045B9600000000000B +:045B9700000000000A +:045B98000000000009 +:045B99000000000008 +:045B9A000000000007 +:045B9B000000000006 +:045B9C000000000005 +:045B9D000000000004 +:045B9E000000000003 +:045B9F000000000002 +:045BA0000000000001 +:045BA1000000000000 +:045BA20000000000FF +:045BA30000000000FE +:045BA40000000000FD +:045BA50000000000FC +:045BA60000000000FB +:045BA70000000000FA +:045BA80000000000F9 +:045BA90000000000F8 +:045BAA0000000000F7 +:045BAB0000000000F6 +:045BAC0000000000F5 +:045BAD0000000000F4 +:045BAE0000000000F3 +:045BAF0000000000F2 +:045BB00000000000F1 +:045BB10000000000F0 +:045BB20000000000EF +:045BB30000000000EE +:045BB40000000000ED +:045BB50000000000EC +:045BB60000000000EB +:045BB70000000000EA +:045BB80000000000E9 +:045BB90000000000E8 +:045BBA0000000000E7 +:045BBB0000000000E6 +:045BBC0000000000E5 +:045BBD0000000000E4 +:045BBE0000000000E3 +:045BBF0000000000E2 +:045BC00000000000E1 +:045BC10000000000E0 +:045BC20000000000DF +:045BC30000000000DE +:045BC40000000000DD +:045BC50000000000DC +:045BC60000000000DB +:045BC70000000000DA +:045BC80000000000D9 +:045BC90000000000D8 +:045BCA0000000000D7 +:045BCB0000000000D6 +:045BCC0000000000D5 +:045BCD0000000000D4 +:045BCE0000000000D3 +:045BCF0000000000D2 +:045BD00000000000D1 +:045BD10000000000D0 +:045BD20000000000CF +:045BD30000000000CE +:045BD40000000000CD +:045BD50000000000CC +:045BD60000000000CB +:045BD70000000000CA +:045BD80000000000C9 +:045BD90000000000C8 +:045BDA0000000000C7 +:045BDB0000000000C6 +:045BDC0000000000C5 +:045BDD0000000000C4 +:045BDE0000000000C3 +:045BDF0000000000C2 +:045BE00000000000C1 +:045BE10000000000C0 +:045BE20000000000BF +:045BE30000000000BE +:045BE40000000000BD +:045BE50000000000BC +:045BE60000000000BB +:045BE70000000000BA +:045BE80000000000B9 +:045BE90000000000B8 +:045BEA0000000000B7 +:045BEB0000000000B6 +:045BEC0000000000B5 +:045BED0000000000B4 +:045BEE0000000000B3 +:045BEF0000000000B2 +:045BF00000000000B1 +:045BF10000000000B0 +:045BF20000000000AF +:045BF30000000000AE +:045BF40000000000AD +:045BF50000000000AC +:045BF60000000000AB +:045BF70000000000AA +:045BF80000000000A9 +:045BF90000000000A8 +:045BFA0000000000A7 +:045BFB0000000000A6 +:045BFC0000000000A5 +:045BFD0000000000A4 +:045BFE0000000000A3 +:045BFF0000000000A2 +:045C000000000000A0 +:045C0100000000009F +:045C0200000000009E +:045C0300000000009D +:045C0400000000009C +:045C0500000000009B +:045C0600000000009A +:045C07000000000099 +:045C08000000000098 +:045C09000000000097 +:045C0A000000000096 +:045C0B000000000095 +:045C0C000000000094 +:045C0D000000000093 +:045C0E000000000092 +:045C0F000000000091 +:045C10000000000090 +:045C1100000000008F +:045C1200000000008E +:045C1300000000008D +:045C1400000000008C +:045C1500000000008B +:045C1600000000008A +:045C17000000000089 +:045C18000000000088 +:045C19000000000087 +:045C1A000000000086 +:045C1B000000000085 +:045C1C000000000084 +:045C1D000000000083 +:045C1E000000000082 +:045C1F000000000081 +:045C20000000000080 +:045C2100000000007F +:045C2200000000007E +:045C2300000000007D +:045C2400000000007C +:045C2500000000007B +:045C2600000000007A +:045C27000000000079 +:045C28000000000078 +:045C29000000000077 +:045C2A000000000076 +:045C2B000000000075 +:045C2C000000000074 +:045C2D000000000073 +:045C2E000000000072 +:045C2F000000000071 +:045C30000000000070 +:045C3100000000006F +:045C3200000000006E +:045C3300000000006D +:045C3400000000006C +:045C3500000000006B +:045C3600000000006A +:045C37000000000069 +:045C38000000000068 +:045C39000000000067 +:045C3A000000000066 +:045C3B000000000065 +:045C3C000000000064 +:045C3D000000000063 +:045C3E000000000062 +:045C3F000000000061 +:045C40000000000060 +:045C4100000000005F +:045C4200000000005E +:045C4300000000005D +:045C4400000000005C +:045C4500000000005B +:045C4600000000005A +:045C47000000000059 +:045C48000000000058 +:045C49000000000057 +:045C4A000000000056 +:045C4B000000000055 +:045C4C000000000054 +:045C4D000000000053 +:045C4E000000000052 +:045C4F000000000051 +:045C50000000000050 +:045C5100000000004F +:045C5200000000004E +:045C5300000000004D +:045C5400000000004C +:045C5500000000004B +:045C5600000000004A +:045C57000000000049 +:045C58000000000048 +:045C59000000000047 +:045C5A000000000046 +:045C5B000000000045 +:045C5C000000000044 +:045C5D000000000043 +:045C5E000000000042 +:045C5F000000000041 +:045C60000000000040 +:045C6100000000003F +:045C6200000000003E +:045C6300000000003D +:045C6400000000003C +:045C6500000000003B +:045C6600000000003A +:045C67000000000039 +:045C68000000000038 +:045C69000000000037 +:045C6A000000000036 +:045C6B000000000035 +:045C6C000000000034 +:045C6D000000000033 +:045C6E000000000032 +:045C6F000000000031 +:045C70000000000030 +:045C7100000000002F +:045C7200000000002E +:045C7300000000002D +:045C7400000000002C +:045C7500000000002B +:045C7600000000002A +:045C77000000000029 +:045C78000000000028 +:045C79000000000027 +:045C7A000000000026 +:045C7B000000000025 +:045C7C000000000024 +:045C7D000000000023 +:045C7E000000000022 +:045C7F000000000021 +:045C80000000000020 +:045C8100000000001F +:045C8200000000001E +:045C8300000000001D +:045C8400000000001C +:045C8500000000001B +:045C8600000000001A +:045C87000000000019 +:045C88000000000018 +:045C89000000000017 +:045C8A000000000016 +:045C8B000000000015 +:045C8C000000000014 +:045C8D000000000013 +:045C8E000000000012 +:045C8F000000000011 +:045C90000000000010 +:045C9100000000000F +:045C9200000000000E +:045C9300000000000D +:045C9400000000000C +:045C9500000000000B +:045C9600000000000A +:045C97000000000009 +:045C98000000000008 +:045C99000000000007 +:045C9A000000000006 +:045C9B000000000005 +:045C9C000000000004 +:045C9D000000000003 +:045C9E000000000002 +:045C9F000000000001 +:045CA0000000000000 +:045CA10000000000FF +:045CA20000000000FE +:045CA30000000000FD +:045CA40000000000FC +:045CA50000000000FB +:045CA60000000000FA +:045CA70000000000F9 +:045CA80000000000F8 +:045CA90000000000F7 +:045CAA0000000000F6 +:045CAB0000000000F5 +:045CAC0000000000F4 +:045CAD0000000000F3 +:045CAE0000000000F2 +:045CAF0000000000F1 +:045CB00000000000F0 +:045CB10000000000EF +:045CB20000000000EE +:045CB30000000000ED +:045CB40000000000EC +:045CB50000000000EB +:045CB60000000000EA +:045CB70000000000E9 +:045CB80000000000E8 +:045CB90000000000E7 +:045CBA0000000000E6 +:045CBB0000000000E5 +:045CBC0000000000E4 +:045CBD0000000000E3 +:045CBE0000000000E2 +:045CBF0000000000E1 +:045CC00000000000E0 +:045CC10000000000DF +:045CC20000000000DE +:045CC30000000000DD +:045CC40000000000DC +:045CC50000000000DB +:045CC60000000000DA +:045CC70000000000D9 +:045CC80000000000D8 +:045CC90000000000D7 +:045CCA0000000000D6 +:045CCB0000000000D5 +:045CCC0000000000D4 +:045CCD0000000000D3 +:045CCE0000000000D2 +:045CCF0000000000D1 +:045CD00000000000D0 +:045CD10000000000CF +:045CD20000000000CE +:045CD30000000000CD +:045CD40000000000CC +:045CD50000000000CB +:045CD60000000000CA +:045CD70000000000C9 +:045CD80000000000C8 +:045CD90000000000C7 +:045CDA0000000000C6 +:045CDB0000000000C5 +:045CDC0000000000C4 +:045CDD0000000000C3 +:045CDE0000000000C2 +:045CDF0000000000C1 +:045CE00000000000C0 +:045CE10000000000BF +:045CE20000000000BE +:045CE30000000000BD +:045CE40000000000BC +:045CE50000000000BB +:045CE60000000000BA +:045CE70000000000B9 +:045CE80000000000B8 +:045CE90000000000B7 +:045CEA0000000000B6 +:045CEB0000000000B5 +:045CEC0000000000B4 +:045CED0000000000B3 +:045CEE0000000000B2 +:045CEF0000000000B1 +:045CF00000000000B0 +:045CF10000000000AF +:045CF20000000000AE +:045CF30000000000AD +:045CF40000000000AC +:045CF50000000000AB +:045CF60000000000AA +:045CF70000000000A9 +:045CF80000000000A8 +:045CF90000000000A7 +:045CFA0000000000A6 +:045CFB0000000000A5 +:045CFC0000000000A4 +:045CFD0000000000A3 +:045CFE0000000000A2 +:045CFF0000000000A1 +:045D0000000000009F +:045D0100000000009E +:045D0200000000009D +:045D0300000000009C +:045D0400000000009B +:045D0500000000009A +:045D06000000000099 +:045D07000000000098 +:045D08000000000097 +:045D09000000000096 +:045D0A000000000095 +:045D0B000000000094 +:045D0C000000000093 +:045D0D000000000092 +:045D0E000000000091 +:045D0F000000000090 +:045D1000000000008F +:045D1100000000008E +:045D1200000000008D +:045D1300000000008C +:045D1400000000008B +:045D1500000000008A +:045D16000000000089 +:045D17000000000088 +:045D18000000000087 +:045D19000000000086 +:045D1A000000000085 +:045D1B000000000084 +:045D1C000000000083 +:045D1D000000000082 +:045D1E000000000081 +:045D1F000000000080 +:045D2000000000007F +:045D2100000000007E +:045D2200000000007D +:045D2300000000007C +:045D2400000000007B +:045D2500000000007A +:045D26000000000079 +:045D27000000000078 +:045D28000000000077 +:045D29000000000076 +:045D2A000000000075 +:045D2B000000000074 +:045D2C000000000073 +:045D2D000000000072 +:045D2E000000000071 +:045D2F000000000070 +:045D3000000000006F +:045D3100000000006E +:045D3200000000006D +:045D3300000000006C +:045D3400000000006B +:045D3500000000006A +:045D36000000000069 +:045D37000000000068 +:045D38000000000067 +:045D39000000000066 +:045D3A000000000065 +:045D3B000000000064 +:045D3C000000000063 +:045D3D000000000062 +:045D3E000000000061 +:045D3F000000000060 +:045D4000000000005F +:045D4100000000005E +:045D4200000000005D +:045D4300000000005C +:045D4400000000005B +:045D4500000000005A +:045D46000000000059 +:045D47000000000058 +:045D48000000000057 +:045D49000000000056 +:045D4A000000000055 +:045D4B000000000054 +:045D4C000000000053 +:045D4D000000000052 +:045D4E000000000051 +:045D4F000000000050 +:045D5000000000004F +:045D5100000000004E +:045D5200000000004D +:045D5300000000004C +:045D5400000000004B +:045D5500000000004A +:045D56000000000049 +:045D57000000000048 +:045D58000000000047 +:045D59000000000046 +:045D5A000000000045 +:045D5B000000000044 +:045D5C000000000043 +:045D5D000000000042 +:045D5E000000000041 +:045D5F000000000040 +:045D6000000000003F +:045D6100000000003E +:045D6200000000003D +:045D6300000000003C +:045D6400000000003B +:045D6500000000003A +:045D66000000000039 +:045D67000000000038 +:045D68000000000037 +:045D69000000000036 +:045D6A000000000035 +:045D6B000000000034 +:045D6C000000000033 +:045D6D000000000032 +:045D6E000000000031 +:045D6F000000000030 +:045D7000000000002F +:045D7100000000002E +:045D7200000000002D +:045D7300000000002C +:045D7400000000002B +:045D7500000000002A +:045D76000000000029 +:045D77000000000028 +:045D78000000000027 +:045D79000000000026 +:045D7A000000000025 +:045D7B000000000024 +:045D7C000000000023 +:045D7D000000000022 +:045D7E000000000021 +:045D7F000000000020 +:045D8000000000001F +:045D8100000000001E +:045D8200000000001D +:045D8300000000001C +:045D8400000000001B +:045D8500000000001A +:045D86000000000019 +:045D87000000000018 +:045D88000000000017 +:045D89000000000016 +:045D8A000000000015 +:045D8B000000000014 +:045D8C000000000013 +:045D8D000000000012 +:045D8E000000000011 +:045D8F000000000010 +:045D9000000000000F +:045D9100000000000E +:045D9200000000000D +:045D9300000000000C +:045D9400000000000B +:045D9500000000000A +:045D96000000000009 +:045D97000000000008 +:045D98000000000007 +:045D99000000000006 +:045D9A000000000005 +:045D9B000000000004 +:045D9C000000000003 +:045D9D000000000002 +:045D9E000000000001 +:045D9F000000000000 +:045DA00000000000FF +:045DA10000000000FE +:045DA20000000000FD +:045DA30000000000FC +:045DA40000000000FB +:045DA50000000000FA +:045DA60000000000F9 +:045DA70000000000F8 +:045DA80000000000F7 +:045DA90000000000F6 +:045DAA0000000000F5 +:045DAB0000000000F4 +:045DAC0000000000F3 +:045DAD0000000000F2 +:045DAE0000000000F1 +:045DAF0000000000F0 +:045DB00000000000EF +:045DB10000000000EE +:045DB20000000000ED +:045DB30000000000EC +:045DB40000000000EB +:045DB50000000000EA +:045DB60000000000E9 +:045DB70000000000E8 +:045DB80000000000E7 +:045DB90000000000E6 +:045DBA0000000000E5 +:045DBB0000000000E4 +:045DBC0000000000E3 +:045DBD0000000000E2 +:045DBE0000000000E1 +:045DBF0000000000E0 +:045DC00000000000DF +:045DC10000000000DE +:045DC20000000000DD +:045DC30000000000DC +:045DC40000000000DB +:045DC50000000000DA +:045DC60000000000D9 +:045DC70000000000D8 +:045DC80000000000D7 +:045DC90000000000D6 +:045DCA0000000000D5 +:045DCB0000000000D4 +:045DCC0000000000D3 +:045DCD0000000000D2 +:045DCE0000000000D1 +:045DCF0000000000D0 +:045DD00000000000CF +:045DD10000000000CE +:045DD20000000000CD +:045DD30000000000CC +:045DD40000000000CB +:045DD50000000000CA +:045DD60000000000C9 +:045DD70000000000C8 +:045DD80000000000C7 +:045DD90000000000C6 +:045DDA0000000000C5 +:045DDB0000000000C4 +:045DDC0000000000C3 +:045DDD0000000000C2 +:045DDE0000000000C1 +:045DDF0000000000C0 +:045DE00000000000BF +:045DE10000000000BE +:045DE20000000000BD +:045DE30000000000BC +:045DE40000000000BB +:045DE50000000000BA +:045DE60000000000B9 +:045DE70000000000B8 +:045DE80000000000B7 +:045DE90000000000B6 +:045DEA0000000000B5 +:045DEB0000000000B4 +:045DEC0000000000B3 +:045DED0000000000B2 +:045DEE0000000000B1 +:045DEF0000000000B0 +:045DF00000000000AF +:045DF10000000000AE +:045DF20000000000AD +:045DF30000000000AC +:045DF40000000000AB +:045DF50000000000AA +:045DF60000000000A9 +:045DF70000000000A8 +:045DF80000000000A7 +:045DF90000000000A6 +:045DFA0000000000A5 +:045DFB0000000000A4 +:045DFC0000000000A3 +:045DFD0000000000A2 +:045DFE0000000000A1 +:045DFF0000000000A0 +:045E0000000000009E +:045E0100000000009D +:045E0200000000009C +:045E0300000000009B +:045E0400000000009A +:045E05000000000099 +:045E06000000000098 +:045E07000000000097 +:045E08000000000096 +:045E09000000000095 +:045E0A000000000094 +:045E0B000000000093 +:045E0C000000000092 +:045E0D000000000091 +:045E0E000000000090 +:045E0F00000000008F +:045E1000000000008E +:045E1100000000008D +:045E1200000000008C +:045E1300000000008B +:045E1400000000008A +:045E15000000000089 +:045E16000000000088 +:045E17000000000087 +:045E18000000000086 +:045E19000000000085 +:045E1A000000000084 +:045E1B000000000083 +:045E1C000000000082 +:045E1D000000000081 +:045E1E000000000080 +:045E1F00000000007F +:045E2000000000007E +:045E2100000000007D +:045E2200000000007C +:045E2300000000007B +:045E2400000000007A +:045E25000000000079 +:045E26000000000078 +:045E27000000000077 +:045E28000000000076 +:045E29000000000075 +:045E2A000000000074 +:045E2B000000000073 +:045E2C000000000072 +:045E2D000000000071 +:045E2E000000000070 +:045E2F00000000006F +:045E3000000000006E +:045E3100000000006D +:045E3200000000006C +:045E3300000000006B +:045E3400000000006A +:045E35000000000069 +:045E36000000000068 +:045E37000000000067 +:045E38000000000066 +:045E39000000000065 +:045E3A000000000064 +:045E3B000000000063 +:045E3C000000000062 +:045E3D000000000061 +:045E3E000000000060 +:045E3F00000000005F +:045E4000000000005E +:045E4100000000005D +:045E4200000000005C +:045E4300000000005B +:045E4400000000005A +:045E45000000000059 +:045E46000000000058 +:045E47000000000057 +:045E48000000000056 +:045E49000000000055 +:045E4A000000000054 +:045E4B000000000053 +:045E4C000000000052 +:045E4D000000000051 +:045E4E000000000050 +:045E4F00000000004F +:045E5000000000004E +:045E5100000000004D +:045E5200000000004C +:045E5300000000004B +:045E5400000000004A +:045E55000000000049 +:045E56000000000048 +:045E57000000000047 +:045E58000000000046 +:045E59000000000045 +:045E5A000000000044 +:045E5B000000000043 +:045E5C000000000042 +:045E5D000000000041 +:045E5E000000000040 +:045E5F00000000003F +:045E6000000000003E +:045E6100000000003D +:045E6200000000003C +:045E6300000000003B +:045E6400000000003A +:045E65000000000039 +:045E66000000000038 +:045E67000000000037 +:045E68000000000036 +:045E69000000000035 +:045E6A000000000034 +:045E6B000000000033 +:045E6C000000000032 +:045E6D000000000031 +:045E6E000000000030 +:045E6F00000000002F +:045E7000000000002E +:045E7100000000002D +:045E7200000000002C +:045E7300000000002B +:045E7400000000002A +:045E75000000000029 +:045E76000000000028 +:045E77000000000027 +:045E78000000000026 +:045E79000000000025 +:045E7A000000000024 +:045E7B000000000023 +:045E7C000000000022 +:045E7D000000000021 +:045E7E000000000020 +:045E7F00000000001F +:045E8000000000001E +:045E8100000000001D +:045E8200000000001C +:045E8300000000001B +:045E8400000000001A +:045E85000000000019 +:045E86000000000018 +:045E87000000000017 +:045E88000000000016 +:045E89000000000015 +:045E8A000000000014 +:045E8B000000000013 +:045E8C000000000012 +:045E8D000000000011 +:045E8E000000000010 +:045E8F00000000000F +:045E9000000000000E +:045E9100000000000D +:045E9200000000000C +:045E9300000000000B +:045E9400000000000A +:045E95000000000009 +:045E96000000000008 +:045E97000000000007 +:045E98000000000006 +:045E99000000000005 +:045E9A000000000004 +:045E9B000000000003 +:045E9C000000000002 +:045E9D000000000001 +:045E9E000000000000 +:045E9F0000000000FF +:045EA00000000000FE +:045EA10000000000FD +:045EA20000000000FC +:045EA30000000000FB +:045EA40000000000FA +:045EA50000000000F9 +:045EA60000000000F8 +:045EA70000000000F7 +:045EA80000000000F6 +:045EA90000000000F5 +:045EAA0000000000F4 +:045EAB0000000000F3 +:045EAC0000000000F2 +:045EAD0000000000F1 +:045EAE0000000000F0 +:045EAF0000000000EF +:045EB00000000000EE +:045EB10000000000ED +:045EB20000000000EC +:045EB30000000000EB +:045EB40000000000EA +:045EB50000000000E9 +:045EB60000000000E8 +:045EB70000000000E7 +:045EB80000000000E6 +:045EB90000000000E5 +:045EBA0000000000E4 +:045EBB0000000000E3 +:045EBC0000000000E2 +:045EBD0000000000E1 +:045EBE0000000000E0 +:045EBF0000000000DF +:045EC00000000000DE +:045EC10000000000DD +:045EC20000000000DC +:045EC30000000000DB +:045EC40000000000DA +:045EC50000000000D9 +:045EC60000000000D8 +:045EC70000000000D7 +:045EC80000000000D6 +:045EC90000000000D5 +:045ECA0000000000D4 +:045ECB0000000000D3 +:045ECC0000000000D2 +:045ECD0000000000D1 +:045ECE0000000000D0 +:045ECF0000000000CF +:045ED00000000000CE +:045ED10000000000CD +:045ED20000000000CC +:045ED30000000000CB +:045ED40000000000CA +:045ED50000000000C9 +:045ED60000000000C8 +:045ED70000000000C7 +:045ED80000000000C6 +:045ED90000000000C5 +:045EDA0000000000C4 +:045EDB0000000000C3 +:045EDC0000000000C2 +:045EDD0000000000C1 +:045EDE0000000000C0 +:045EDF0000000000BF +:045EE00000000000BE +:045EE10000000000BD +:045EE20000000000BC +:045EE30000000000BB +:045EE40000000000BA +:045EE50000000000B9 +:045EE60000000000B8 +:045EE70000000000B7 +:045EE80000000000B6 +:045EE90000000000B5 +:045EEA0000000000B4 +:045EEB0000000000B3 +:045EEC0000000000B2 +:045EED0000000000B1 +:045EEE0000000000B0 +:045EEF0000000000AF +:045EF00000000000AE +:045EF10000000000AD +:045EF20000000000AC +:045EF30000000000AB +:045EF40000000000AA +:045EF50000000000A9 +:045EF60000000000A8 +:045EF70000000000A7 +:045EF80000000000A6 +:045EF90000000000A5 +:045EFA0000000000A4 +:045EFB0000000000A3 +:045EFC0000000000A2 +:045EFD0000000000A1 +:045EFE0000000000A0 +:045EFF00000000009F +:045F0000000000009D +:045F0100000000009C +:045F0200000000009B +:045F0300000000009A +:045F04000000000099 +:045F05000000000098 +:045F06000000000097 +:045F07000000000096 +:045F08000000000095 +:045F09000000000094 +:045F0A000000000093 +:045F0B000000000092 +:045F0C000000000091 +:045F0D000000000090 +:045F0E00000000008F +:045F0F00000000008E +:045F1000000000008D +:045F1100000000008C +:045F1200000000008B +:045F1300000000008A +:045F14000000000089 +:045F15000000000088 +:045F16000000000087 +:045F17000000000086 +:045F18000000000085 +:045F19000000000084 +:045F1A000000000083 +:045F1B000000000082 +:045F1C000000000081 +:045F1D000000000080 +:045F1E00000000007F +:045F1F00000000007E +:045F2000000000007D +:045F2100000000007C +:045F2200000000007B +:045F2300000000007A +:045F24000000000079 +:045F25000000000078 +:045F26000000000077 +:045F27000000000076 +:045F28000000000075 +:045F29000000000074 +:045F2A000000000073 +:045F2B000000000072 +:045F2C000000000071 +:045F2D000000000070 +:045F2E00000000006F +:045F2F00000000006E +:045F3000000000006D +:045F3100000000006C +:045F3200000000006B +:045F3300000000006A +:045F34000000000069 +:045F35000000000068 +:045F36000000000067 +:045F37000000000066 +:045F38000000000065 +:045F39000000000064 +:045F3A000000000063 +:045F3B000000000062 +:045F3C000000000061 +:045F3D000000000060 +:045F3E00000000005F +:045F3F00000000005E +:045F4000000000005D +:045F4100000000005C +:045F4200000000005B +:045F4300000000005A +:045F44000000000059 +:045F45000000000058 +:045F46000000000057 +:045F47000000000056 +:045F48000000000055 +:045F49000000000054 +:045F4A000000000053 +:045F4B000000000052 +:045F4C000000000051 +:045F4D000000000050 +:045F4E00000000004F +:045F4F00000000004E +:045F5000000000004D +:045F5100000000004C +:045F5200000000004B +:045F5300000000004A +:045F54000000000049 +:045F55000000000048 +:045F56000000000047 +:045F57000000000046 +:045F58000000000045 +:045F59000000000044 +:045F5A000000000043 +:045F5B000000000042 +:045F5C000000000041 +:045F5D000000000040 +:045F5E00000000003F +:045F5F00000000003E +:045F6000000000003D +:045F6100000000003C +:045F6200000000003B +:045F6300000000003A +:045F64000000000039 +:045F65000000000038 +:045F66000000000037 +:045F67000000000036 +:045F68000000000035 +:045F69000000000034 +:045F6A000000000033 +:045F6B000000000032 +:045F6C000000000031 +:045F6D000000000030 +:045F6E00000000002F +:045F6F00000000002E +:045F7000000000002D +:045F7100000000002C +:045F7200000000002B +:045F7300000000002A +:045F74000000000029 +:045F75000000000028 +:045F76000000000027 +:045F77000000000026 +:045F78000000000025 +:045F79000000000024 +:045F7A000000000023 +:045F7B000000000022 +:045F7C000000000021 +:045F7D000000000020 +:045F7E00000000001F +:045F7F00000000001E +:045F8000000000001D +:045F8100000000001C +:045F8200000000001B +:045F8300000000001A +:045F84000000000019 +:045F85000000000018 +:045F86000000000017 +:045F87000000000016 +:045F88000000000015 +:045F89000000000014 +:045F8A000000000013 +:045F8B000000000012 +:045F8C000000000011 +:045F8D000000000010 +:045F8E00000000000F +:045F8F00000000000E +:045F9000000000000D +:045F9100000000000C +:045F9200000000000B +:045F9300000000000A +:045F94000000000009 +:045F95000000000008 +:045F96000000000007 +:045F97000000000006 +:045F98000000000005 +:045F99000000000004 +:045F9A000000000003 +:045F9B000000000002 +:045F9C000000000001 +:045F9D000000000000 +:045F9E0000000000FF +:045F9F0000000000FE +:045FA00000000000FD +:045FA10000000000FC +:045FA20000000000FB +:045FA30000000000FA +:045FA40000000000F9 +:045FA50000000000F8 +:045FA60000000000F7 +:045FA70000000000F6 +:045FA80000000000F5 +:045FA90000000000F4 +:045FAA0000000000F3 +:045FAB0000000000F2 +:045FAC0000000000F1 +:045FAD0000000000F0 +:045FAE0000000000EF +:045FAF0000000000EE +:045FB00000000000ED +:045FB10000000000EC +:045FB20000000000EB +:045FB30000000000EA +:045FB40000000000E9 +:045FB50000000000E8 +:045FB60000000000E7 +:045FB70000000000E6 +:045FB80000000000E5 +:045FB90000000000E4 +:045FBA0000000000E3 +:045FBB0000000000E2 +:045FBC0000000000E1 +:045FBD0000000000E0 +:045FBE0000000000DF +:045FBF0000000000DE +:045FC00000000000DD +:045FC10000000000DC +:045FC20000000000DB +:045FC30000000000DA +:045FC40000000000D9 +:045FC50000000000D8 +:045FC60000000000D7 +:045FC70000000000D6 +:045FC80000000000D5 +:045FC90000000000D4 +:045FCA0000000000D3 +:045FCB0000000000D2 +:045FCC0000000000D1 +:045FCD0000000000D0 +:045FCE0000000000CF +:045FCF0000000000CE +:045FD00000000000CD +:045FD10000000000CC +:045FD20000000000CB +:045FD30000000000CA +:045FD40000000000C9 +:045FD50000000000C8 +:045FD60000000000C7 +:045FD70000000000C6 +:045FD80000000000C5 +:045FD90000000000C4 +:045FDA0000000000C3 +:045FDB0000000000C2 +:045FDC0000000000C1 +:045FDD0000000000C0 +:045FDE0000000000BF +:045FDF0000000000BE +:045FE00000000000BD +:045FE10000000000BC +:045FE20000000000BB +:045FE30000000000BA +:045FE40000000000B9 +:045FE50000000000B8 +:045FE60000000000B7 +:045FE70000000000B6 +:045FE80000000000B5 +:045FE90000000000B4 +:045FEA0000000000B3 +:045FEB0000000000B2 +:045FEC0000000000B1 +:045FED0000000000B0 +:045FEE0000000000AF +:045FEF0000000000AE +:045FF00000000000AD +:045FF10000000000AC +:045FF20000000000AB +:045FF30000000000AA +:045FF40000000000A9 +:045FF50000000000A8 +:045FF60000000000A7 +:045FF70000000000A6 +:045FF80000000000A5 +:045FF90000000000A4 +:045FFA0000000000A3 +:045FFB0000000000A2 +:045FFC0000000000A1 +:045FFD0000000000A0 +:045FFE00000000009F +:045FFF00000000009E +:04600000000000009C +:04600100000000009B +:04600200000000009A +:046003000000000099 +:046004000000000098 +:046005000000000097 +:046006000000000096 +:046007000000000095 +:046008000000000094 +:046009000000000093 +:04600A000000000092 +:04600B000000000091 +:04600C000000000090 +:04600D00000000008F +:04600E00000000008E +:04600F00000000008D +:04601000000000008C +:04601100000000008B +:04601200000000008A +:046013000000000089 +:046014000000000088 +:046015000000000087 +:046016000000000086 +:046017000000000085 +:046018000000000084 +:046019000000000083 +:04601A000000000082 +:04601B000000000081 +:04601C000000000080 +:04601D00000000007F +:04601E00000000007E +:04601F00000000007D +:04602000000000007C +:04602100000000007B +:04602200000000007A +:046023000000000079 +:046024000000000078 +:046025000000000077 +:046026000000000076 +:046027000000000075 +:046028000000000074 +:046029000000000073 +:04602A000000000072 +:04602B000000000071 +:04602C000000000070 +:04602D00000000006F +:04602E00000000006E +:04602F00000000006D +:04603000000000006C +:04603100000000006B +:04603200000000006A +:046033000000000069 +:046034000000000068 +:046035000000000067 +:046036000000000066 +:046037000000000065 +:046038000000000064 +:046039000000000063 +:04603A000000000062 +:04603B000000000061 +:04603C000000000060 +:04603D00000000005F +:04603E00000000005E +:04603F00000000005D +:04604000000000005C +:04604100000000005B +:04604200000000005A +:046043000000000059 +:046044000000000058 +:046045000000000057 +:046046000000000056 +:046047000000000055 +:046048000000000054 +:046049000000000053 +:04604A000000000052 +:04604B000000000051 +:04604C000000000050 +:04604D00000000004F +:04604E00000000004E +:04604F00000000004D +:04605000000000004C +:04605100000000004B +:04605200000000004A +:046053000000000049 +:046054000000000048 +:046055000000000047 +:046056000000000046 +:046057000000000045 +:046058000000000044 +:046059000000000043 +:04605A000000000042 +:04605B000000000041 +:04605C000000000040 +:04605D00000000003F +:04605E00000000003E +:04605F00000000003D +:04606000000000003C +:04606100000000003B +:04606200000000003A +:046063000000000039 +:046064000000000038 +:046065000000000037 +:046066000000000036 +:046067000000000035 +:046068000000000034 +:046069000000000033 +:04606A000000000032 +:04606B000000000031 +:04606C000000000030 +:04606D00000000002F +:04606E00000000002E +:04606F00000000002D +:04607000000000002C +:04607100000000002B +:04607200000000002A +:046073000000000029 +:046074000000000028 +:046075000000000027 +:046076000000000026 +:046077000000000025 +:046078000000000024 +:046079000000000023 +:04607A000000000022 +:04607B000000000021 +:04607C000000000020 +:04607D00000000001F +:04607E00000000001E +:04607F00000000001D +:04608000000000001C +:04608100000000001B +:04608200000000001A +:046083000000000019 +:046084000000000018 +:046085000000000017 +:046086000000000016 +:046087000000000015 +:046088000000000014 +:046089000000000013 +:04608A000000000012 +:04608B000000000011 +:04608C000000000010 +:04608D00000000000F +:04608E00000000000E +:04608F00000000000D +:04609000000000000C +:04609100000000000B +:04609200000000000A +:046093000000000009 +:046094000000000008 +:046095000000000007 +:046096000000000006 +:046097000000000005 +:046098000000000004 +:046099000000000003 +:04609A000000000002 +:04609B000000000001 +:04609C000000000000 +:04609D0000000000FF +:04609E0000000000FE +:04609F0000000000FD +:0460A00000000000FC +:0460A10000000000FB +:0460A20000000000FA +:0460A30000000000F9 +:0460A40000000000F8 +:0460A50000000000F7 +:0460A60000000000F6 +:0460A70000000000F5 +:0460A80000000000F4 +:0460A90000000000F3 +:0460AA0000000000F2 +:0460AB0000000000F1 +:0460AC0000000000F0 +:0460AD0000000000EF +:0460AE0000000000EE +:0460AF0000000000ED +:0460B00000000000EC +:0460B10000000000EB +:0460B20000000000EA +:0460B30000000000E9 +:0460B40000000000E8 +:0460B50000000000E7 +:0460B60000000000E6 +:0460B70000000000E5 +:0460B80000000000E4 +:0460B90000000000E3 +:0460BA0000000000E2 +:0460BB0000000000E1 +:0460BC0000000000E0 +:0460BD0000000000DF +:0460BE0000000000DE +:0460BF0000000000DD +:0460C00000000000DC +:0460C10000000000DB +:0460C20000000000DA +:0460C30000000000D9 +:0460C40000000000D8 +:0460C50000000000D7 +:0460C60000000000D6 +:0460C70000000000D5 +:0460C80000000000D4 +:0460C90000000000D3 +:0460CA0000000000D2 +:0460CB0000000000D1 +:0460CC0000000000D0 +:0460CD0000000000CF +:0460CE0000000000CE +:0460CF0000000000CD +:0460D00000000000CC +:0460D10000000000CB +:0460D20000000000CA +:0460D30000000000C9 +:0460D40000000000C8 +:0460D50000000000C7 +:0460D60000000000C6 +:0460D70000000000C5 +:0460D80000000000C4 +:0460D90000000000C3 +:0460DA0000000000C2 +:0460DB0000000000C1 +:0460DC0000000000C0 +:0460DD0000000000BF +:0460DE0000000000BE +:0460DF0000000000BD +:0460E00000000000BC +:0460E10000000000BB +:0460E20000000000BA +:0460E30000000000B9 +:0460E40000000000B8 +:0460E50000000000B7 +:0460E60000000000B6 +:0460E70000000000B5 +:0460E80000000000B4 +:0460E90000000000B3 +:0460EA0000000000B2 +:0460EB0000000000B1 +:0460EC0000000000B0 +:0460ED0000000000AF +:0460EE0000000000AE +:0460EF0000000000AD +:0460F00000000000AC +:0460F10000000000AB +:0460F20000000000AA +:0460F30000000000A9 +:0460F40000000000A8 +:0460F50000000000A7 +:0460F60000000000A6 +:0460F70000000000A5 +:0460F80000000000A4 +:0460F90000000000A3 +:0460FA0000000000A2 +:0460FB0000000000A1 +:0460FC0000000000A0 +:0460FD00000000009F +:0460FE00000000009E +:0460FF00000000009D +:04610000000000009B +:04610100000000009A +:046102000000000099 +:046103000000000098 +:046104000000000097 +:046105000000000096 +:046106000000000095 +:046107000000000094 +:046108000000000093 +:046109000000000092 +:04610A000000000091 +:04610B000000000090 +:04610C00000000008F +:04610D00000000008E +:04610E00000000008D +:04610F00000000008C +:04611000000000008B +:04611100000000008A +:046112000000000089 +:046113000000000088 +:046114000000000087 +:046115000000000086 +:046116000000000085 +:046117000000000084 +:046118000000000083 +:046119000000000082 +:04611A000000000081 +:04611B000000000080 +:04611C00000000007F +:04611D00000000007E +:04611E00000000007D +:04611F00000000007C +:04612000000000007B +:04612100000000007A +:046122000000000079 +:046123000000000078 +:046124000000000077 +:046125000000000076 +:046126000000000075 +:046127000000000074 +:046128000000000073 +:046129000000000072 +:04612A000000000071 +:04612B000000000070 +:04612C00000000006F +:04612D00000000006E +:04612E00000000006D +:04612F00000000006C +:04613000000000006B +:04613100000000006A +:046132000000000069 +:046133000000000068 +:046134000000000067 +:046135000000000066 +:046136000000000065 +:046137000000000064 +:046138000000000063 +:046139000000000062 +:04613A000000000061 +:04613B000000000060 +:04613C00000000005F +:04613D00000000005E +:04613E00000000005D +:04613F00000000005C +:04614000000000005B +:04614100000000005A +:046142000000000059 +:046143000000000058 +:046144000000000057 +:046145000000000056 +:046146000000000055 +:046147000000000054 +:046148000000000053 +:046149000000000052 +:04614A000000000051 +:04614B000000000050 +:04614C00000000004F +:04614D00000000004E +:04614E00000000004D +:04614F00000000004C +:04615000000000004B +:04615100000000004A +:046152000000000049 +:046153000000000048 +:046154000000000047 +:046155000000000046 +:046156000000000045 +:046157000000000044 +:046158000000000043 +:046159000000000042 +:04615A000000000041 +:04615B000000000040 +:04615C00000000003F +:04615D00000000003E +:04615E00000000003D +:04615F00000000003C +:04616000000000003B +:04616100000000003A +:046162000000000039 +:046163000000000038 +:046164000000000037 +:046165000000000036 +:046166000000000035 +:046167000000000034 +:046168000000000033 +:046169000000000032 +:04616A000000000031 +:04616B000000000030 +:04616C00000000002F +:04616D00000000002E +:04616E00000000002D +:04616F00000000002C +:04617000000000002B +:04617100000000002A +:046172000000000029 +:046173000000000028 +:046174000000000027 +:046175000000000026 +:046176000000000025 +:046177000000000024 +:046178000000000023 +:046179000000000022 +:04617A000000000021 +:04617B000000000020 +:04617C00000000001F +:04617D00000000001E +:04617E00000000001D +:04617F00000000001C +:04618000000000001B +:04618100000000001A +:046182000000000019 +:046183000000000018 +:046184000000000017 +:046185000000000016 +:046186000000000015 +:046187000000000014 +:046188000000000013 +:046189000000000012 +:04618A000000000011 +:04618B000000000010 +:04618C00000000000F +:04618D00000000000E +:04618E00000000000D +:04618F00000000000C +:04619000000000000B +:04619100000000000A +:046192000000000009 +:046193000000000008 +:046194000000000007 +:046195000000000006 +:046196000000000005 +:046197000000000004 +:046198000000000003 +:046199000000000002 +:04619A000000000001 +:04619B000000000000 +:04619C0000000000FF +:04619D0000000000FE +:04619E0000000000FD +:04619F0000000000FC +:0461A00000000000FB +:0461A10000000000FA +:0461A20000000000F9 +:0461A30000000000F8 +:0461A40000000000F7 +:0461A50000000000F6 +:0461A60000000000F5 +:0461A70000000000F4 +:0461A80000000000F3 +:0461A90000000000F2 +:0461AA0000000000F1 +:0461AB0000000000F0 +:0461AC0000000000EF +:0461AD0000000000EE +:0461AE0000000000ED +:0461AF0000000000EC +:0461B00000000000EB +:0461B10000000000EA +:0461B20000000000E9 +:0461B30000000000E8 +:0461B40000000000E7 +:0461B50000000000E6 +:0461B60000000000E5 +:0461B70000000000E4 +:0461B80000000000E3 +:0461B90000000000E2 +:0461BA0000000000E1 +:0461BB0000000000E0 +:0461BC0000000000DF +:0461BD0000000000DE +:0461BE0000000000DD +:0461BF0000000000DC +:0461C00000000000DB +:0461C10000000000DA +:0461C20000000000D9 +:0461C30000000000D8 +:0461C40000000000D7 +:0461C50000000000D6 +:0461C60000000000D5 +:0461C70000000000D4 +:0461C80000000000D3 +:0461C90000000000D2 +:0461CA0000000000D1 +:0461CB0000000000D0 +:0461CC0000000000CF +:0461CD0000000000CE +:0461CE0000000000CD +:0461CF0000000000CC +:0461D00000000000CB +:0461D10000000000CA +:0461D20000000000C9 +:0461D30000000000C8 +:0461D40000000000C7 +:0461D50000000000C6 +:0461D60000000000C5 +:0461D70000000000C4 +:0461D80000000000C3 +:0461D90000000000C2 +:0461DA0000000000C1 +:0461DB0000000000C0 +:0461DC0000000000BF +:0461DD0000000000BE +:0461DE0000000000BD +:0461DF0000000000BC +:0461E00000000000BB +:0461E10000000000BA +:0461E20000000000B9 +:0461E30000000000B8 +:0461E40000000000B7 +:0461E50000000000B6 +:0461E60000000000B5 +:0461E70000000000B4 +:0461E80000000000B3 +:0461E90000000000B2 +:0461EA0000000000B1 +:0461EB0000000000B0 +:0461EC0000000000AF +:0461ED0000000000AE +:0461EE0000000000AD +:0461EF0000000000AC +:0461F00000000000AB +:0461F10000000000AA +:0461F20000000000A9 +:0461F30000000000A8 +:0461F40000000000A7 +:0461F50000000000A6 +:0461F60000000000A5 +:0461F70000000000A4 +:0461F80000000000A3 +:0461F90000000000A2 +:0461FA0000000000A1 +:0461FB0000000000A0 +:0461FC00000000009F +:0461FD00000000009E +:0461FE00000000009D +:0461FF00000000009C +:04620000000000009A +:046201000000000099 +:046202000000000098 +:046203000000000097 +:046204000000000096 +:046205000000000095 +:046206000000000094 +:046207000000000093 +:046208000000000092 +:046209000000000091 +:04620A000000000090 +:04620B00000000008F +:04620C00000000008E +:04620D00000000008D +:04620E00000000008C +:04620F00000000008B +:04621000000000008A +:046211000000000089 +:046212000000000088 +:046213000000000087 +:046214000000000086 +:046215000000000085 +:046216000000000084 +:046217000000000083 +:046218000000000082 +:046219000000000081 +:04621A000000000080 +:04621B00000000007F +:04621C00000000007E +:04621D00000000007D +:04621E00000000007C +:04621F00000000007B +:04622000000000007A +:046221000000000079 +:046222000000000078 +:046223000000000077 +:046224000000000076 +:046225000000000075 +:046226000000000074 +:046227000000000073 +:046228000000000072 +:046229000000000071 +:04622A000000000070 +:04622B00000000006F +:04622C00000000006E +:04622D00000000006D +:04622E00000000006C +:04622F00000000006B +:04623000000000006A +:046231000000000069 +:046232000000000068 +:046233000000000067 +:046234000000000066 +:046235000000000065 +:046236000000000064 +:046237000000000063 +:046238000000000062 +:046239000000000061 +:04623A000000000060 +:04623B00000000005F +:04623C00000000005E +:04623D00000000005D +:04623E00000000005C +:04623F00000000005B +:04624000000000005A +:046241000000000059 +:046242000000000058 +:046243000000000057 +:046244000000000056 +:046245000000000055 +:046246000000000054 +:046247000000000053 +:046248000000000052 +:046249000000000051 +:04624A000000000050 +:04624B00000000004F +:04624C00000000004E +:04624D00000000004D +:04624E00000000004C +:04624F00000000004B +:04625000000000004A +:046251000000000049 +:046252000000000048 +:046253000000000047 +:046254000000000046 +:046255000000000045 +:046256000000000044 +:046257000000000043 +:046258000000000042 +:046259000000000041 +:04625A000000000040 +:04625B00000000003F +:04625C00000000003E +:04625D00000000003D +:04625E00000000003C +:04625F00000000003B +:04626000000000003A +:046261000000000039 +:046262000000000038 +:046263000000000037 +:046264000000000036 +:046265000000000035 +:046266000000000034 +:046267000000000033 +:046268000000000032 +:046269000000000031 +:04626A000000000030 +:04626B00000000002F +:04626C00000000002E +:04626D00000000002D +:04626E00000000002C +:04626F00000000002B +:04627000000000002A +:046271000000000029 +:046272000000000028 +:046273000000000027 +:046274000000000026 +:046275000000000025 +:046276000000000024 +:046277000000000023 +:046278000000000022 +:046279000000000021 +:04627A000000000020 +:04627B00000000001F +:04627C00000000001E +:04627D00000000001D +:04627E00000000001C +:04627F00000000001B +:04628000000000001A +:046281000000000019 +:046282000000000018 +:046283000000000017 +:046284000000000016 +:046285000000000015 +:046286000000000014 +:046287000000000013 +:046288000000000012 +:046289000000000011 +:04628A000000000010 +:04628B00000000000F +:04628C00000000000E +:04628D00000000000D +:04628E00000000000C +:04628F00000000000B +:04629000000000000A +:046291000000000009 +:046292000000000008 +:046293000000000007 +:046294000000000006 +:046295000000000005 +:046296000000000004 +:046297000000000003 +:046298000000000002 +:046299000000000001 +:04629A000000000000 +:04629B0000000000FF +:04629C0000000000FE +:04629D0000000000FD +:04629E0000000000FC +:04629F0000000000FB +:0462A00000000000FA +:0462A10000000000F9 +:0462A20000000000F8 +:0462A30000000000F7 +:0462A40000000000F6 +:0462A50000000000F5 +:0462A60000000000F4 +:0462A70000000000F3 +:0462A80000000000F2 +:0462A90000000000F1 +:0462AA0000000000F0 +:0462AB0000000000EF +:0462AC0000000000EE +:0462AD0000000000ED +:0462AE0000000000EC +:0462AF0000000000EB +:0462B00000000000EA +:0462B10000000000E9 +:0462B20000000000E8 +:0462B30000000000E7 +:0462B40000000000E6 +:0462B50000000000E5 +:0462B60000000000E4 +:0462B70000000000E3 +:0462B80000000000E2 +:0462B90000000000E1 +:0462BA0000000000E0 +:0462BB0000000000DF +:0462BC0000000000DE +:0462BD0000000000DD +:0462BE0000000000DC +:0462BF0000000000DB +:0462C00000000000DA +:0462C10000000000D9 +:0462C20000000000D8 +:0462C30000000000D7 +:0462C40000000000D6 +:0462C50000000000D5 +:0462C60000000000D4 +:0462C70000000000D3 +:0462C80000000000D2 +:0462C90000000000D1 +:0462CA0000000000D0 +:0462CB0000000000CF +:0462CC0000000000CE +:0462CD0000000000CD +:0462CE0000000000CC +:0462CF0000000000CB +:0462D00000000000CA +:0462D10000000000C9 +:0462D20000000000C8 +:0462D30000000000C7 +:0462D40000000000C6 +:0462D50000000000C5 +:0462D60000000000C4 +:0462D70000000000C3 +:0462D80000000000C2 +:0462D90000000000C1 +:0462DA0000000000C0 +:0462DB0000000000BF +:0462DC0000000000BE +:0462DD0000000000BD +:0462DE0000000000BC +:0462DF0000000000BB +:0462E00000000000BA +:0462E10000000000B9 +:0462E20000000000B8 +:0462E30000000000B7 +:0462E40000000000B6 +:0462E50000000000B5 +:0462E60000000000B4 +:0462E70000000000B3 +:0462E80000000000B2 +:0462E90000000000B1 +:0462EA0000000000B0 +:0462EB0000000000AF +:0462EC0000000000AE +:0462ED0000000000AD +:0462EE0000000000AC +:0462EF0000000000AB +:0462F00000000000AA +:0462F10000000000A9 +:0462F20000000000A8 +:0462F30000000000A7 +:0462F40000000000A6 +:0462F50000000000A5 +:0462F60000000000A4 +:0462F70000000000A3 +:0462F80000000000A2 +:0462F90000000000A1 +:0462FA0000000000A0 +:0462FB00000000009F +:0462FC00000000009E +:0462FD00000000009D +:0462FE00000000009C +:0462FF00000000009B +:046300000000000099 +:046301000000000098 +:046302000000000097 +:046303000000000096 +:046304000000000095 +:046305000000000094 +:046306000000000093 +:046307000000000092 +:046308000000000091 +:046309000000000090 +:04630A00000000008F +:04630B00000000008E +:04630C00000000008D +:04630D00000000008C +:04630E00000000008B +:04630F00000000008A +:046310000000000089 +:046311000000000088 +:046312000000000087 +:046313000000000086 +:046314000000000085 +:046315000000000084 +:046316000000000083 +:046317000000000082 +:046318000000000081 +:046319000000000080 +:04631A00000000007F +:04631B00000000007E +:04631C00000000007D +:04631D00000000007C +:04631E00000000007B +:04631F00000000007A +:046320000000000079 +:046321000000000078 +:046322000000000077 +:046323000000000076 +:046324000000000075 +:046325000000000074 +:046326000000000073 +:046327000000000072 +:046328000000000071 +:046329000000000070 +:04632A00000000006F +:04632B00000000006E +:04632C00000000006D +:04632D00000000006C +:04632E00000000006B +:04632F00000000006A +:046330000000000069 +:046331000000000068 +:046332000000000067 +:046333000000000066 +:046334000000000065 +:046335000000000064 +:046336000000000063 +:046337000000000062 +:046338000000000061 +:046339000000000060 +:04633A00000000005F +:04633B00000000005E +:04633C00000000005D +:04633D00000000005C +:04633E00000000005B +:04633F00000000005A +:046340000000000059 +:046341000000000058 +:046342000000000057 +:046343000000000056 +:046344000000000055 +:046345000000000054 +:046346000000000053 +:046347000000000052 +:046348000000000051 +:046349000000000050 +:04634A00000000004F +:04634B00000000004E +:04634C00000000004D +:04634D00000000004C +:04634E00000000004B +:04634F00000000004A +:046350000000000049 +:046351000000000048 +:046352000000000047 +:046353000000000046 +:046354000000000045 +:046355000000000044 +:046356000000000043 +:046357000000000042 +:046358000000000041 +:046359000000000040 +:04635A00000000003F +:04635B00000000003E +:04635C00000000003D +:04635D00000000003C +:04635E00000000003B +:04635F00000000003A +:046360000000000039 +:046361000000000038 +:046362000000000037 +:046363000000000036 +:046364000000000035 +:046365000000000034 +:046366000000000033 +:046367000000000032 +:046368000000000031 +:046369000000000030 +:04636A00000000002F +:04636B00000000002E +:04636C00000000002D +:04636D00000000002C +:04636E00000000002B +:04636F00000000002A +:046370000000000029 +:046371000000000028 +:046372000000000027 +:046373000000000026 +:046374000000000025 +:046375000000000024 +:046376000000000023 +:046377000000000022 +:046378000000000021 +:046379000000000020 +:04637A00000000001F +:04637B00000000001E +:04637C00000000001D +:04637D00000000001C +:04637E00000000001B +:04637F00000000001A +:046380000000000019 +:046381000000000018 +:046382000000000017 +:046383000000000016 +:046384000000000015 +:046385000000000014 +:046386000000000013 +:046387000000000012 +:046388000000000011 +:046389000000000010 +:04638A00000000000F +:04638B00000000000E +:04638C00000000000D +:04638D00000000000C +:04638E00000000000B +:04638F00000000000A +:046390000000000009 +:046391000000000008 +:046392000000000007 +:046393000000000006 +:046394000000000005 +:046395000000000004 +:046396000000000003 +:046397000000000002 +:046398000000000001 +:046399000000000000 +:04639A0000000000FF +:04639B0000000000FE +:04639C0000000000FD +:04639D0000000000FC +:04639E0000000000FB +:04639F0000000000FA +:0463A00000000000F9 +:0463A10000000000F8 +:0463A20000000000F7 +:0463A30000000000F6 +:0463A40000000000F5 +:0463A50000000000F4 +:0463A60000000000F3 +:0463A70000000000F2 +:0463A80000000000F1 +:0463A90000000000F0 +:0463AA0000000000EF +:0463AB0000000000EE +:0463AC0000000000ED +:0463AD0000000000EC +:0463AE0000000000EB +:0463AF0000000000EA +:0463B00000000000E9 +:0463B10000000000E8 +:0463B20000000000E7 +:0463B30000000000E6 +:0463B40000000000E5 +:0463B50000000000E4 +:0463B60000000000E3 +:0463B70000000000E2 +:0463B80000000000E1 +:0463B90000000000E0 +:0463BA0000000000DF +:0463BB0000000000DE +:0463BC0000000000DD +:0463BD0000000000DC +:0463BE0000000000DB +:0463BF0000000000DA +:0463C00000000000D9 +:0463C10000000000D8 +:0463C20000000000D7 +:0463C30000000000D6 +:0463C40000000000D5 +:0463C50000000000D4 +:0463C60000000000D3 +:0463C70000000000D2 +:0463C80000000000D1 +:0463C90000000000D0 +:0463CA0000000000CF +:0463CB0000000000CE +:0463CC0000000000CD +:0463CD0000000000CC +:0463CE0000000000CB +:0463CF0000000000CA +:0463D00000000000C9 +:0463D10000000000C8 +:0463D20000000000C7 +:0463D30000000000C6 +:0463D40000000000C5 +:0463D50000000000C4 +:0463D60000000000C3 +:0463D70000000000C2 +:0463D80000000000C1 +:0463D90000000000C0 +:0463DA0000000000BF +:0463DB0000000000BE +:0463DC0000000000BD +:0463DD0000000000BC +:0463DE0000000000BB +:0463DF0000000000BA +:0463E00000000000B9 +:0463E10000000000B8 +:0463E20000000000B7 +:0463E30000000000B6 +:0463E40000000000B5 +:0463E50000000000B4 +:0463E60000000000B3 +:0463E70000000000B2 +:0463E80000000000B1 +:0463E90000000000B0 +:0463EA0000000000AF +:0463EB0000000000AE +:0463EC0000000000AD +:0463ED0000000000AC +:0463EE0000000000AB +:0463EF0000000000AA +:0463F00000000000A9 +:0463F10000000000A8 +:0463F20000000000A7 +:0463F30000000000A6 +:0463F40000000000A5 +:0463F50000000000A4 +:0463F60000000000A3 +:0463F70000000000A2 +:0463F80000000000A1 +:0463F90000000000A0 +:0463FA00000000009F +:0463FB00000000009E +:0463FC00000000009D +:0463FD00000000009C +:0463FE00000000009B +:0463FF00000000009A +:046400000000000098 +:046401000000000097 +:046402000000000096 +:046403000000000095 +:046404000000000094 +:046405000000000093 +:046406000000000092 +:046407000000000091 +:046408000000000090 +:04640900000000008F +:04640A00000000008E +:04640B00000000008D +:04640C00000000008C +:04640D00000000008B +:04640E00000000008A +:04640F000000000089 +:046410000000000088 +:046411000000000087 +:046412000000000086 +:046413000000000085 +:046414000000000084 +:046415000000000083 +:046416000000000082 +:046417000000000081 +:046418000000000080 +:04641900000000007F +:04641A00000000007E +:04641B00000000007D +:04641C00000000007C +:04641D00000000007B +:04641E00000000007A +:04641F000000000079 +:046420000000000078 +:046421000000000077 +:046422000000000076 +:046423000000000075 +:046424000000000074 +:046425000000000073 +:046426000000000072 +:046427000000000071 +:046428000000000070 +:04642900000000006F +:04642A00000000006E +:04642B00000000006D +:04642C00000000006C +:04642D00000000006B +:04642E00000000006A +:04642F000000000069 +:046430000000000068 +:046431000000000067 +:046432000000000066 +:046433000000000065 +:046434000000000064 +:046435000000000063 +:046436000000000062 +:046437000000000061 +:046438000000000060 +:04643900000000005F +:04643A00000000005E +:04643B00000000005D +:04643C00000000005C +:04643D00000000005B +:04643E00000000005A +:04643F000000000059 +:046440000000000058 +:046441000000000057 +:046442000000000056 +:046443000000000055 +:046444000000000054 +:046445000000000053 +:046446000000000052 +:046447000000000051 +:046448000000000050 +:04644900000000004F +:04644A00000000004E +:04644B00000000004D +:04644C00000000004C +:04644D00000000004B +:04644E00000000004A +:04644F000000000049 +:046450000000000048 +:046451000000000047 +:046452000000000046 +:046453000000000045 +:046454000000000044 +:046455000000000043 +:046456000000000042 +:046457000000000041 +:046458000000000040 +:04645900000000003F +:04645A00000000003E +:04645B00000000003D +:04645C00000000003C +:04645D00000000003B +:04645E00000000003A +:04645F000000000039 +:046460000000000038 +:046461000000000037 +:046462000000000036 +:046463000000000035 +:046464000000000034 +:046465000000000033 +:046466000000000032 +:046467000000000031 +:046468000000000030 +:04646900000000002F +:04646A00000000002E +:04646B00000000002D +:04646C00000000002C +:04646D00000000002B +:04646E00000000002A +:04646F000000000029 +:046470000000000028 +:046471000000000027 +:046472000000000026 +:046473000000000025 +:046474000000000024 +:046475000000000023 +:046476000000000022 +:046477000000000021 +:046478000000000020 +:04647900000000001F +:04647A00000000001E +:04647B00000000001D +:04647C00000000001C +:04647D00000000001B +:04647E00000000001A +:04647F000000000019 +:046480000000000018 +:046481000000000017 +:046482000000000016 +:046483000000000015 +:046484000000000014 +:046485000000000013 +:046486000000000012 +:046487000000000011 +:046488000000000010 +:04648900000000000F +:04648A00000000000E +:04648B00000000000D +:04648C00000000000C +:04648D00000000000B +:04648E00000000000A +:04648F000000000009 +:046490000000000008 +:046491000000000007 +:046492000000000006 +:046493000000000005 +:046494000000000004 +:046495000000000003 +:046496000000000002 +:046497000000000001 +:046498000000000000 +:0464990000000000FF +:04649A0000000000FE +:04649B0000000000FD +:04649C0000000000FC +:04649D0000000000FB +:04649E0000000000FA +:04649F0000000000F9 +:0464A00000000000F8 +:0464A10000000000F7 +:0464A20000000000F6 +:0464A30000000000F5 +:0464A40000000000F4 +:0464A50000000000F3 +:0464A60000000000F2 +:0464A70000000000F1 +:0464A80000000000F0 +:0464A90000000000EF +:0464AA0000000000EE +:0464AB0000000000ED +:0464AC0000000000EC +:0464AD0000000000EB +:0464AE0000000000EA +:0464AF0000000000E9 +:0464B00000000000E8 +:0464B10000000000E7 +:0464B20000000000E6 +:0464B30000000000E5 +:0464B40000000000E4 +:0464B50000000000E3 +:0464B60000000000E2 +:0464B70000000000E1 +:0464B80000000000E0 +:0464B90000000000DF +:0464BA0000000000DE +:0464BB0000000000DD +:0464BC0000000000DC +:0464BD0000000000DB +:0464BE0000000000DA +:0464BF0000000000D9 +:0464C00000000000D8 +:0464C10000000000D7 +:0464C20000000000D6 +:0464C30000000000D5 +:0464C40000000000D4 +:0464C50000000000D3 +:0464C60000000000D2 +:0464C70000000000D1 +:0464C80000000000D0 +:0464C90000000000CF +:0464CA0000000000CE +:0464CB0000000000CD +:0464CC0000000000CC +:0464CD0000000000CB +:0464CE0000000000CA +:0464CF0000000000C9 +:0464D00000000000C8 +:0464D10000000000C7 +:0464D20000000000C6 +:0464D30000000000C5 +:0464D40000000000C4 +:0464D50000000000C3 +:0464D60000000000C2 +:0464D70000000000C1 +:0464D80000000000C0 +:0464D90000000000BF +:0464DA0000000000BE +:0464DB0000000000BD +:0464DC0000000000BC +:0464DD0000000000BB +:0464DE0000000000BA +:0464DF0000000000B9 +:0464E00000000000B8 +:0464E10000000000B7 +:0464E20000000000B6 +:0464E30000000000B5 +:0464E40000000000B4 +:0464E50000000000B3 +:0464E60000000000B2 +:0464E70000000000B1 +:0464E80000000000B0 +:0464E90000000000AF +:0464EA0000000000AE +:0464EB0000000000AD +:0464EC0000000000AC +:0464ED0000000000AB +:0464EE0000000000AA +:0464EF0000000000A9 +:0464F00000000000A8 +:0464F10000000000A7 +:0464F20000000000A6 +:0464F30000000000A5 +:0464F40000000000A4 +:0464F50000000000A3 +:0464F60000000000A2 +:0464F70000000000A1 +:0464F80000000000A0 +:0464F900000000009F +:0464FA00000000009E +:0464FB00000000009D +:0464FC00000000009C +:0464FD00000000009B +:0464FE00000000009A +:0464FF000000000099 +:046500000000000097 +:046501000000000096 +:046502000000000095 +:046503000000000094 +:046504000000000093 +:046505000000000092 +:046506000000000091 +:046507000000000090 +:04650800000000008F +:04650900000000008E +:04650A00000000008D +:04650B00000000008C +:04650C00000000008B +:04650D00000000008A +:04650E000000000089 +:04650F000000000088 +:046510000000000087 +:046511000000000086 +:046512000000000085 +:046513000000000084 +:046514000000000083 +:046515000000000082 +:046516000000000081 +:046517000000000080 +:04651800000000007F +:04651900000000007E +:04651A00000000007D +:04651B00000000007C +:04651C00000000007B +:04651D00000000007A +:04651E000000000079 +:04651F000000000078 +:046520000000000077 +:046521000000000076 +:046522000000000075 +:046523000000000074 +:046524000000000073 +:046525000000000072 +:046526000000000071 +:046527000000000070 +:04652800000000006F +:04652900000000006E +:04652A00000000006D +:04652B00000000006C +:04652C00000000006B +:04652D00000000006A +:04652E000000000069 +:04652F000000000068 +:046530000000000067 +:046531000000000066 +:046532000000000065 +:046533000000000064 +:046534000000000063 +:046535000000000062 +:046536000000000061 +:046537000000000060 +:04653800000000005F +:04653900000000005E +:04653A00000000005D +:04653B00000000005C +:04653C00000000005B +:04653D00000000005A +:04653E000000000059 +:04653F000000000058 +:046540000000000057 +:046541000000000056 +:046542000000000055 +:046543000000000054 +:046544000000000053 +:046545000000000052 +:046546000000000051 +:046547000000000050 +:04654800000000004F +:04654900000000004E +:04654A00000000004D +:04654B00000000004C +:04654C00000000004B +:04654D00000000004A +:04654E000000000049 +:04654F000000000048 +:046550000000000047 +:046551000000000046 +:046552000000000045 +:046553000000000044 +:046554000000000043 +:046555000000000042 +:046556000000000041 +:046557000000000040 +:04655800000000003F +:04655900000000003E +:04655A00000000003D +:04655B00000000003C +:04655C00000000003B +:04655D00000000003A +:04655E000000000039 +:04655F000000000038 +:046560000000000037 +:046561000000000036 +:046562000000000035 +:046563000000000034 +:046564000000000033 +:046565000000000032 +:046566000000000031 +:046567000000000030 +:04656800000000002F +:04656900000000002E +:04656A00000000002D +:04656B00000000002C +:04656C00000000002B +:04656D00000000002A +:04656E000000000029 +:04656F000000000028 +:046570000000000027 +:046571000000000026 +:046572000000000025 +:046573000000000024 +:046574000000000023 +:046575000000000022 +:046576000000000021 +:046577000000000020 +:04657800000000001F +:04657900000000001E +:04657A00000000001D +:04657B00000000001C +:04657C00000000001B +:04657D00000000001A +:04657E000000000019 +:04657F000000000018 +:046580000000000017 +:046581000000000016 +:046582000000000015 +:046583000000000014 +:046584000000000013 +:046585000000000012 +:046586000000000011 +:046587000000000010 +:04658800000000000F +:04658900000000000E +:04658A00000000000D +:04658B00000000000C +:04658C00000000000B +:04658D00000000000A +:04658E000000000009 +:04658F000000000008 +:046590000000000007 +:046591000000000006 +:046592000000000005 +:046593000000000004 +:046594000000000003 +:046595000000000002 +:046596000000000001 +:046597000000000000 +:0465980000000000FF +:0465990000000000FE +:04659A0000000000FD +:04659B0000000000FC +:04659C0000000000FB +:04659D0000000000FA +:04659E0000000000F9 +:04659F0000000000F8 +:0465A00000000000F7 +:0465A10000000000F6 +:0465A20000000000F5 +:0465A30000000000F4 +:0465A40000000000F3 +:0465A50000000000F2 +:0465A60000000000F1 +:0465A70000000000F0 +:0465A80000000000EF +:0465A90000000000EE +:0465AA0000000000ED +:0465AB0000000000EC +:0465AC0000000000EB +:0465AD0000000000EA +:0465AE0000000000E9 +:0465AF0000000000E8 +:0465B00000000000E7 +:0465B10000000000E6 +:0465B20000000000E5 +:0465B30000000000E4 +:0465B40000000000E3 +:0465B50000000000E2 +:0465B60000000000E1 +:0465B70000000000E0 +:0465B80000000000DF +:0465B90000000000DE +:0465BA0000000000DD +:0465BB0000000000DC +:0465BC0000000000DB +:0465BD0000000000DA +:0465BE0000000000D9 +:0465BF0000000000D8 +:0465C00000000000D7 +:0465C10000000000D6 +:0465C20000000000D5 +:0465C30000000000D4 +:0465C40000000000D3 +:0465C50000000000D2 +:0465C60000000000D1 +:0465C70000000000D0 +:0465C80000000000CF +:0465C90000000000CE +:0465CA0000000000CD +:0465CB0000000000CC +:0465CC0000000000CB +:0465CD0000000000CA +:0465CE0000000000C9 +:0465CF0000000000C8 +:0465D00000000000C7 +:0465D10000000000C6 +:0465D20000000000C5 +:0465D30000000000C4 +:0465D40000000000C3 +:0465D50000000000C2 +:0465D60000000000C1 +:0465D70000000000C0 +:0465D80000000000BF +:0465D90000000000BE +:0465DA0000000000BD +:0465DB0000000000BC +:0465DC0000000000BB +:0465DD0000000000BA +:0465DE0000000000B9 +:0465DF0000000000B8 +:0465E00000000000B7 +:0465E10000000000B6 +:0465E20000000000B5 +:0465E30000000000B4 +:0465E40000000000B3 +:0465E50000000000B2 +:0465E60000000000B1 +:0465E70000000000B0 +:0465E80000000000AF +:0465E90000000000AE +:0465EA0000000000AD +:0465EB0000000000AC +:0465EC0000000000AB +:0465ED0000000000AA +:0465EE0000000000A9 +:0465EF0000000000A8 +:0465F00000000000A7 +:0465F10000000000A6 +:0465F20000000000A5 +:0465F30000000000A4 +:0465F40000000000A3 +:0465F50000000000A2 +:0465F60000000000A1 +:0465F70000000000A0 +:0465F800000000009F +:0465F900000000009E +:0465FA00000000009D +:0465FB00000000009C +:0465FC00000000009B +:0465FD00000000009A +:0465FE000000000099 +:0465FF000000000098 +:046600000000000096 +:046601000000000095 +:046602000000000094 +:046603000000000093 +:046604000000000092 +:046605000000000091 +:046606000000000090 +:04660700000000008F +:04660800000000008E +:04660900000000008D +:04660A00000000008C +:04660B00000000008B +:04660C00000000008A +:04660D000000000089 +:04660E000000000088 +:04660F000000000087 +:046610000000000086 +:046611000000000085 +:046612000000000084 +:046613000000000083 +:046614000000000082 +:046615000000000081 +:046616000000000080 +:04661700000000007F +:04661800000000007E +:04661900000000007D +:04661A00000000007C +:04661B00000000007B +:04661C00000000007A +:04661D000000000079 +:04661E000000000078 +:04661F000000000077 +:046620000000000076 +:046621000000000075 +:046622000000000074 +:046623000000000073 +:046624000000000072 +:046625000000000071 +:046626000000000070 +:04662700000000006F +:04662800000000006E +:04662900000000006D +:04662A00000000006C +:04662B00000000006B +:04662C00000000006A +:04662D000000000069 +:04662E000000000068 +:04662F000000000067 +:046630000000000066 +:046631000000000065 +:046632000000000064 +:046633000000000063 +:046634000000000062 +:046635000000000061 +:046636000000000060 +:04663700000000005F +:04663800000000005E +:04663900000000005D +:04663A00000000005C +:04663B00000000005B +:04663C00000000005A +:04663D000000000059 +:04663E000000000058 +:04663F000000000057 +:046640000000000056 +:046641000000000055 +:046642000000000054 +:046643000000000053 +:046644000000000052 +:046645000000000051 +:046646000000000050 +:04664700000000004F +:04664800000000004E +:04664900000000004D +:04664A00000000004C +:04664B00000000004B +:04664C00000000004A +:04664D000000000049 +:04664E000000000048 +:04664F000000000047 +:046650000000000046 +:046651000000000045 +:046652000000000044 +:046653000000000043 +:046654000000000042 +:046655000000000041 +:046656000000000040 +:04665700000000003F +:04665800000000003E +:04665900000000003D +:04665A00000000003C +:04665B00000000003B +:04665C00000000003A +:04665D000000000039 +:04665E000000000038 +:04665F000000000037 +:046660000000000036 +:046661000000000035 +:046662000000000034 +:046663000000000033 +:046664000000000032 +:046665000000000031 +:046666000000000030 +:04666700000000002F +:04666800000000002E +:04666900000000002D +:04666A00000000002C +:04666B00000000002B +:04666C00000000002A +:04666D000000000029 +:04666E000000000028 +:04666F000000000027 +:046670000000000026 +:046671000000000025 +:046672000000000024 +:046673000000000023 +:046674000000000022 +:046675000000000021 +:046676000000000020 +:04667700000000001F +:04667800000000001E +:04667900000000001D +:04667A00000000001C +:04667B00000000001B +:04667C00000000001A +:04667D000000000019 +:04667E000000000018 +:04667F000000000017 +:046680000000000016 +:046681000000000015 +:046682000000000014 +:046683000000000013 +:046684000000000012 +:046685000000000011 +:046686000000000010 +:04668700000000000F +:04668800000000000E +:04668900000000000D +:04668A00000000000C +:04668B00000000000B +:04668C00000000000A +:04668D000000000009 +:04668E000000000008 +:04668F000000000007 +:046690000000000006 +:046691000000000005 +:046692000000000004 +:046693000000000003 +:046694000000000002 +:046695000000000001 +:046696000000000000 +:0466970000000000FF +:0466980000000000FE +:0466990000000000FD +:04669A0000000000FC +:04669B0000000000FB +:04669C0000000000FA +:04669D0000000000F9 +:04669E0000000000F8 +:04669F0000000000F7 +:0466A00000000000F6 +:0466A10000000000F5 +:0466A20000000000F4 +:0466A30000000000F3 +:0466A40000000000F2 +:0466A50000000000F1 +:0466A60000000000F0 +:0466A70000000000EF +:0466A80000000000EE +:0466A90000000000ED +:0466AA0000000000EC +:0466AB0000000000EB +:0466AC0000000000EA +:0466AD0000000000E9 +:0466AE0000000000E8 +:0466AF0000000000E7 +:0466B00000000000E6 +:0466B10000000000E5 +:0466B20000000000E4 +:0466B30000000000E3 +:0466B40000000000E2 +:0466B50000000000E1 +:0466B60000000000E0 +:0466B70000000000DF +:0466B80000000000DE +:0466B90000000000DD +:0466BA0000000000DC +:0466BB0000000000DB +:0466BC0000000000DA +:0466BD0000000000D9 +:0466BE0000000000D8 +:0466BF0000000000D7 +:0466C00000000000D6 +:0466C10000000000D5 +:0466C20000000000D4 +:0466C30000000000D3 +:0466C40000000000D2 +:0466C50000000000D1 +:0466C60000000000D0 +:0466C70000000000CF +:0466C80000000000CE +:0466C90000000000CD +:0466CA0000000000CC +:0466CB0000000000CB +:0466CC0000000000CA +:0466CD0000000000C9 +:0466CE0000000000C8 +:0466CF0000000000C7 +:0466D00000000000C6 +:0466D10000000000C5 +:0466D20000000000C4 +:0466D30000000000C3 +:0466D40000000000C2 +:0466D50000000000C1 +:0466D60000000000C0 +:0466D70000000000BF +:0466D80000000000BE +:0466D90000000000BD +:0466DA0000000000BC +:0466DB0000000000BB +:0466DC0000000000BA +:0466DD0000000000B9 +:0466DE0000000000B8 +:0466DF0000000000B7 +:0466E00000000000B6 +:0466E10000000000B5 +:0466E20000000000B4 +:0466E30000000000B3 +:0466E40000000000B2 +:0466E50000000000B1 +:0466E60000000000B0 +:0466E70000000000AF +:0466E80000000000AE +:0466E90000000000AD +:0466EA0000000000AC +:0466EB0000000000AB +:0466EC0000000000AA +:0466ED0000000000A9 +:0466EE0000000000A8 +:0466EF0000000000A7 +:0466F00000000000A6 +:0466F10000000000A5 +:0466F20000000000A4 +:0466F30000000000A3 +:0466F40000000000A2 +:0466F50000000000A1 +:0466F60000000000A0 +:0466F700000000009F +:0466F800000000009E +:0466F900000000009D +:0466FA00000000009C +:0466FB00000000009B +:0466FC00000000009A +:0466FD000000000099 +:0466FE000000000098 +:0466FF000000000097 +:046700000000000095 +:046701000000000094 +:046702000000000093 +:046703000000000092 +:046704000000000091 +:046705000000000090 +:04670600000000008F +:04670700000000008E +:04670800000000008D +:04670900000000008C +:04670A00000000008B +:04670B00000000008A +:04670C000000000089 +:04670D000000000088 +:04670E000000000087 +:04670F000000000086 +:046710000000000085 +:046711000000000084 +:046712000000000083 +:046713000000000082 +:046714000000000081 +:046715000000000080 +:04671600000000007F +:04671700000000007E +:04671800000000007D +:04671900000000007C +:04671A00000000007B +:04671B00000000007A +:04671C000000000079 +:04671D000000000078 +:04671E000000000077 +:04671F000000000076 +:046720000000000075 +:046721000000000074 +:046722000000000073 +:046723000000000072 +:046724000000000071 +:046725000000000070 +:04672600000000006F +:04672700000000006E +:04672800000000006D +:04672900000000006C +:04672A00000000006B +:04672B00000000006A +:04672C000000000069 +:04672D000000000068 +:04672E000000000067 +:04672F000000000066 +:046730000000000065 +:046731000000000064 +:046732000000000063 +:046733000000000062 +:046734000000000061 +:046735000000000060 +:04673600000000005F +:04673700000000005E +:04673800000000005D +:04673900000000005C +:04673A00000000005B +:04673B00000000005A +:04673C000000000059 +:04673D000000000058 +:04673E000000000057 +:04673F000000000056 +:046740000000000055 +:046741000000000054 +:046742000000000053 +:046743000000000052 +:046744000000000051 +:046745000000000050 +:04674600000000004F +:04674700000000004E +:04674800000000004D +:04674900000000004C +:04674A00000000004B +:04674B00000000004A +:04674C000000000049 +:04674D000000000048 +:04674E000000000047 +:04674F000000000046 +:046750000000000045 +:046751000000000044 +:046752000000000043 +:046753000000000042 +:046754000000000041 +:046755000000000040 +:04675600000000003F +:04675700000000003E +:04675800000000003D +:04675900000000003C +:04675A00000000003B +:04675B00000000003A +:04675C000000000039 +:04675D000000000038 +:04675E000000000037 +:04675F000000000036 +:046760000000000035 +:046761000000000034 +:046762000000000033 +:046763000000000032 +:046764000000000031 +:046765000000000030 +:04676600000000002F +:04676700000000002E +:04676800000000002D +:04676900000000002C +:04676A00000000002B +:04676B00000000002A +:04676C000000000029 +:04676D000000000028 +:04676E000000000027 +:04676F000000000026 +:046770000000000025 +:046771000000000024 +:046772000000000023 +:046773000000000022 +:046774000000000021 +:046775000000000020 +:04677600000000001F +:04677700000000001E +:04677800000000001D +:04677900000000001C +:04677A00000000001B +:04677B00000000001A +:04677C000000000019 +:04677D000000000018 +:04677E000000000017 +:04677F000000000016 +:046780000000000015 +:046781000000000014 +:046782000000000013 +:046783000000000012 +:046784000000000011 +:046785000000000010 +:04678600000000000F +:04678700000000000E +:04678800000000000D +:04678900000000000C +:04678A00000000000B +:04678B00000000000A +:04678C000000000009 +:04678D000000000008 +:04678E000000000007 +:04678F000000000006 +:046790000000000005 +:046791000000000004 +:046792000000000003 +:046793000000000002 +:046794000000000001 +:046795000000000000 +:0467960000000000FF +:0467970000000000FE +:0467980000000000FD +:0467990000000000FC +:04679A0000000000FB +:04679B0000000000FA +:04679C0000000000F9 +:04679D0000000000F8 +:04679E0000000000F7 +:04679F0000000000F6 +:0467A00000000000F5 +:0467A10000000000F4 +:0467A20000000000F3 +:0467A30000000000F2 +:0467A40000000000F1 +:0467A50000000000F0 +:0467A60000000000EF +:0467A70000000000EE +:0467A80000000000ED +:0467A90000000000EC +:0467AA0000000000EB +:0467AB0000000000EA +:0467AC0000000000E9 +:0467AD0000000000E8 +:0467AE0000000000E7 +:0467AF0000000000E6 +:0467B00000000000E5 +:0467B10000000000E4 +:0467B20000000000E3 +:0467B30000000000E2 +:0467B40000000000E1 +:0467B50000000000E0 +:0467B60000000000DF +:0467B70000000000DE +:0467B80000000000DD +:0467B90000000000DC +:0467BA0000000000DB +:0467BB0000000000DA +:0467BC0000000000D9 +:0467BD0000000000D8 +:0467BE0000000000D7 +:0467BF0000000000D6 +:0467C00000000000D5 +:0467C10000000000D4 +:0467C20000000000D3 +:0467C30000000000D2 +:0467C40000000000D1 +:0467C50000000000D0 +:0467C60000000000CF +:0467C70000000000CE +:0467C80000000000CD +:0467C90000000000CC +:0467CA0000000000CB +:0467CB0000000000CA +:0467CC0000000000C9 +:0467CD0000000000C8 +:0467CE0000000000C7 +:0467CF0000000000C6 +:0467D00000000000C5 +:0467D10000000000C4 +:0467D20000000000C3 +:0467D30000000000C2 +:0467D40000000000C1 +:0467D50000000000C0 +:0467D60000000000BF +:0467D70000000000BE +:0467D80000000000BD +:0467D90000000000BC +:0467DA0000000000BB +:0467DB0000000000BA +:0467DC0000000000B9 +:0467DD0000000000B8 +:0467DE0000000000B7 +:0467DF0000000000B6 +:0467E00000000000B5 +:0467E10000000000B4 +:0467E20000000000B3 +:0467E30000000000B2 +:0467E40000000000B1 +:0467E50000000000B0 +:0467E60000000000AF +:0467E70000000000AE +:0467E80000000000AD +:0467E90000000000AC +:0467EA0000000000AB +:0467EB0000000000AA +:0467EC0000000000A9 +:0467ED0000000000A8 +:0467EE0000000000A7 +:0467EF0000000000A6 +:0467F00000000000A5 +:0467F10000000000A4 +:0467F20000000000A3 +:0467F30000000000A2 +:0467F40000000000A1 +:0467F50000000000A0 +:0467F600000000009F +:0467F700000000009E +:0467F800000000009D +:0467F900000000009C +:0467FA00000000009B +:0467FB00000000009A +:0467FC000000000099 +:0467FD000000000098 +:0467FE000000000097 +:0467FF000000000096 +:046800000000000094 +:046801000000000093 +:046802000000000092 +:046803000000000091 +:046804000000000090 +:04680500000000008F +:04680600000000008E +:04680700000000008D +:04680800000000008C +:04680900000000008B +:04680A00000000008A +:04680B000000000089 +:04680C000000000088 +:04680D000000000087 +:04680E000000000086 +:04680F000000000085 +:046810000000000084 +:046811000000000083 +:046812000000000082 +:046813000000000081 +:046814000000000080 +:04681500000000007F +:04681600000000007E +:04681700000000007D +:04681800000000007C +:04681900000000007B +:04681A00000000007A +:04681B000000000079 +:04681C000000000078 +:04681D000000000077 +:04681E000000000076 +:04681F000000000075 +:046820000000000074 +:046821000000000073 +:046822000000000072 +:046823000000000071 +:046824000000000070 +:04682500000000006F +:04682600000000006E +:04682700000000006D +:04682800000000006C +:04682900000000006B +:04682A00000000006A +:04682B000000000069 +:04682C000000000068 +:04682D000000000067 +:04682E000000000066 +:04682F000000000065 +:046830000000000064 +:046831000000000063 +:046832000000000062 +:046833000000000061 +:046834000000000060 +:04683500000000005F +:04683600000000005E +:04683700000000005D +:04683800000000005C +:04683900000000005B +:04683A00000000005A +:04683B000000000059 +:04683C000000000058 +:04683D000000000057 +:04683E000000000056 +:04683F000000000055 +:046840000000000054 +:046841000000000053 +:046842000000000052 +:046843000000000051 +:046844000000000050 +:04684500000000004F +:04684600000000004E +:04684700000000004D +:04684800000000004C +:04684900000000004B +:04684A00000000004A +:04684B000000000049 +:04684C000000000048 +:04684D000000000047 +:04684E000000000046 +:04684F000000000045 +:046850000000000044 +:046851000000000043 +:046852000000000042 +:046853000000000041 +:046854000000000040 +:04685500000000003F +:04685600000000003E +:04685700000000003D +:04685800000000003C +:04685900000000003B +:04685A00000000003A +:04685B000000000039 +:04685C000000000038 +:04685D000000000037 +:04685E000000000036 +:04685F000000000035 +:046860000000000034 +:046861000000000033 +:046862000000000032 +:046863000000000031 +:046864000000000030 +:04686500000000002F +:04686600000000002E +:04686700000000002D +:04686800000000002C +:04686900000000002B +:04686A00000000002A +:04686B000000000029 +:04686C000000000028 +:04686D000000000027 +:04686E000000000026 +:04686F000000000025 +:046870000000000024 +:046871000000000023 +:046872000000000022 +:046873000000000021 +:046874000000000020 +:04687500000000001F +:04687600000000001E +:04687700000000001D +:04687800000000001C +:04687900000000001B +:04687A00000000001A +:04687B000000000019 +:04687C000000000018 +:04687D000000000017 +:04687E000000000016 +:04687F000000000015 +:046880000000000014 +:046881000000000013 +:046882000000000012 +:046883000000000011 +:046884000000000010 +:04688500000000000F +:04688600000000000E +:04688700000000000D +:04688800000000000C +:04688900000000000B +:04688A00000000000A +:04688B000000000009 +:04688C000000000008 +:04688D000000000007 +:04688E000000000006 +:04688F000000000005 +:046890000000000004 +:046891000000000003 +:046892000000000002 +:046893000000000001 +:046894000000000000 +:0468950000000000FF +:0468960000000000FE +:0468970000000000FD +:0468980000000000FC +:0468990000000000FB +:04689A0000000000FA +:04689B0000000000F9 +:04689C0000000000F8 +:04689D0000000000F7 +:04689E0000000000F6 +:04689F0000000000F5 +:0468A00000000000F4 +:0468A10000000000F3 +:0468A20000000000F2 +:0468A30000000000F1 +:0468A40000000000F0 +:0468A50000000000EF +:0468A60000000000EE +:0468A70000000000ED +:0468A80000000000EC +:0468A90000000000EB +:0468AA0000000000EA +:0468AB0000000000E9 +:0468AC0000000000E8 +:0468AD0000000000E7 +:0468AE0000000000E6 +:0468AF0000000000E5 +:0468B00000000000E4 +:0468B10000000000E3 +:0468B20000000000E2 +:0468B30000000000E1 +:0468B40000000000E0 +:0468B50000000000DF +:0468B60000000000DE +:0468B70000000000DD +:0468B80000000000DC +:0468B90000000000DB +:0468BA0000000000DA +:0468BB0000000000D9 +:0468BC0000000000D8 +:0468BD0000000000D7 +:0468BE0000000000D6 +:0468BF0000000000D5 +:0468C00000000000D4 +:0468C10000000000D3 +:0468C20000000000D2 +:0468C30000000000D1 +:0468C40000000000D0 +:0468C50000000000CF +:0468C60000000000CE +:0468C70000000000CD +:0468C80000000000CC +:0468C90000000000CB +:0468CA0000000000CA +:0468CB0000000000C9 +:0468CC0000000000C8 +:0468CD0000000000C7 +:0468CE0000000000C6 +:0468CF0000000000C5 +:0468D00000000000C4 +:0468D10000000000C3 +:0468D20000000000C2 +:0468D30000000000C1 +:0468D40000000000C0 +:0468D50000000000BF +:0468D60000000000BE +:0468D70000000000BD +:0468D80000000000BC +:0468D90000000000BB +:0468DA0000000000BA +:0468DB0000000000B9 +:0468DC0000000000B8 +:0468DD0000000000B7 +:0468DE0000000000B6 +:0468DF0000000000B5 +:0468E00000000000B4 +:0468E10000000000B3 +:0468E20000000000B2 +:0468E30000000000B1 +:0468E40000000000B0 +:0468E50000000000AF +:0468E60000000000AE +:0468E70000000000AD +:0468E80000000000AC +:0468E90000000000AB +:0468EA0000000000AA +:0468EB0000000000A9 +:0468EC0000000000A8 +:0468ED0000000000A7 +:0468EE0000000000A6 +:0468EF0000000000A5 +:0468F00000000000A4 +:0468F10000000000A3 +:0468F20000000000A2 +:0468F30000000000A1 +:0468F40000000000A0 +:0468F500000000009F +:0468F600000000009E +:0468F700000000009D +:0468F800000000009C +:0468F900000000009B +:0468FA00000000009A +:0468FB000000000099 +:0468FC000000000098 +:0468FD000000000097 +:0468FE000000000096 +:0468FF000000000095 +:046900000000000093 +:046901000000000092 +:046902000000000091 +:046903000000000090 +:04690400000000008F +:04690500000000008E +:04690600000000008D +:04690700000000008C +:04690800000000008B +:04690900000000008A +:04690A000000000089 +:04690B000000000088 +:04690C000000000087 +:04690D000000000086 +:04690E000000000085 +:04690F000000000084 +:046910000000000083 +:046911000000000082 +:046912000000000081 +:046913000000000080 +:04691400000000007F +:04691500000000007E +:04691600000000007D +:04691700000000007C +:04691800000000007B +:04691900000000007A +:04691A000000000079 +:04691B000000000078 +:04691C000000000077 +:04691D000000000076 +:04691E000000000075 +:04691F000000000074 +:046920000000000073 +:046921000000000072 +:046922000000000071 +:046923000000000070 +:04692400000000006F +:04692500000000006E +:04692600000000006D +:04692700000000006C +:04692800000000006B +:04692900000000006A +:04692A000000000069 +:04692B000000000068 +:04692C000000000067 +:04692D000000000066 +:04692E000000000065 +:04692F000000000064 +:046930000000000063 +:046931000000000062 +:046932000000000061 +:046933000000000060 +:04693400000000005F +:04693500000000005E +:04693600000000005D +:04693700000000005C +:04693800000000005B +:04693900000000005A +:04693A000000000059 +:04693B000000000058 +:04693C000000000057 +:04693D000000000056 +:04693E000000000055 +:04693F000000000054 +:046940000000000053 +:046941000000000052 +:046942000000000051 +:046943000000000050 +:04694400000000004F +:04694500000000004E +:04694600000000004D +:04694700000000004C +:04694800000000004B +:04694900000000004A +:04694A000000000049 +:04694B000000000048 +:04694C000000000047 +:04694D000000000046 +:04694E000000000045 +:04694F000000000044 +:046950000000000043 +:046951000000000042 +:046952000000000041 +:046953000000000040 +:04695400000000003F +:04695500000000003E +:04695600000000003D +:04695700000000003C +:04695800000000003B +:04695900000000003A +:04695A000000000039 +:04695B000000000038 +:04695C000000000037 +:04695D000000000036 +:04695E000000000035 +:04695F000000000034 +:046960000000000033 +:046961000000000032 +:046962000000000031 +:046963000000000030 +:04696400000000002F +:04696500000000002E +:04696600000000002D +:04696700000000002C +:04696800000000002B +:04696900000000002A +:04696A000000000029 +:04696B000000000028 +:04696C000000000027 +:04696D000000000026 +:04696E000000000025 +:04696F000000000024 +:046970000000000023 +:046971000000000022 +:046972000000000021 +:046973000000000020 +:04697400000000001F +:04697500000000001E +:04697600000000001D +:04697700000000001C +:04697800000000001B +:04697900000000001A +:04697A000000000019 +:04697B000000000018 +:04697C000000000017 +:04697D000000000016 +:04697E000000000015 +:04697F000000000014 +:046980000000000013 +:046981000000000012 +:046982000000000011 +:046983000000000010 +:04698400000000000F +:04698500000000000E +:04698600000000000D +:04698700000000000C +:04698800000000000B +:04698900000000000A +:04698A000000000009 +:04698B000000000008 +:04698C000000000007 +:04698D000000000006 +:04698E000000000005 +:04698F000000000004 +:046990000000000003 +:046991000000000002 +:046992000000000001 +:046993000000000000 +:0469940000000000FF +:0469950000000000FE +:0469960000000000FD +:0469970000000000FC +:0469980000000000FB +:0469990000000000FA +:04699A0000000000F9 +:04699B0000000000F8 +:04699C0000000000F7 +:04699D0000000000F6 +:04699E0000000000F5 +:04699F0000000000F4 +:0469A00000000000F3 +:0469A10000000000F2 +:0469A20000000000F1 +:0469A30000000000F0 +:0469A40000000000EF +:0469A50000000000EE +:0469A60000000000ED +:0469A70000000000EC +:0469A80000000000EB +:0469A90000000000EA +:0469AA0000000000E9 +:0469AB0000000000E8 +:0469AC0000000000E7 +:0469AD0000000000E6 +:0469AE0000000000E5 +:0469AF0000000000E4 +:0469B00000000000E3 +:0469B10000000000E2 +:0469B20000000000E1 +:0469B30000000000E0 +:0469B40000000000DF +:0469B50000000000DE +:0469B60000000000DD +:0469B70000000000DC +:0469B80000000000DB +:0469B90000000000DA +:0469BA0000000000D9 +:0469BB0000000000D8 +:0469BC0000000000D7 +:0469BD0000000000D6 +:0469BE0000000000D5 +:0469BF0000000000D4 +:0469C00000000000D3 +:0469C10000000000D2 +:0469C20000000000D1 +:0469C30000000000D0 +:0469C40000000000CF +:0469C50000000000CE +:0469C60000000000CD +:0469C70000000000CC +:0469C80000000000CB +:0469C90000000000CA +:0469CA0000000000C9 +:0469CB0000000000C8 +:0469CC0000000000C7 +:0469CD0000000000C6 +:0469CE0000000000C5 +:0469CF0000000000C4 +:0469D00000000000C3 +:0469D10000000000C2 +:0469D20000000000C1 +:0469D30000000000C0 +:0469D40000000000BF +:0469D50000000000BE +:0469D60000000000BD +:0469D70000000000BC +:0469D80000000000BB +:0469D90000000000BA +:0469DA0000000000B9 +:0469DB0000000000B8 +:0469DC0000000000B7 +:0469DD0000000000B6 +:0469DE0000000000B5 +:0469DF0000000000B4 +:0469E00000000000B3 +:0469E10000000000B2 +:0469E20000000000B1 +:0469E30000000000B0 +:0469E40000000000AF +:0469E50000000000AE +:0469E60000000000AD +:0469E70000000000AC +:0469E80000000000AB +:0469E90000000000AA +:0469EA0000000000A9 +:0469EB0000000000A8 +:0469EC0000000000A7 +:0469ED0000000000A6 +:0469EE0000000000A5 +:0469EF0000000000A4 +:0469F00000000000A3 +:0469F10000000000A2 +:0469F20000000000A1 +:0469F30000000000A0 +:0469F400000000009F +:0469F500000000009E +:0469F600000000009D +:0469F700000000009C +:0469F800000000009B +:0469F900000000009A +:0469FA000000000099 +:0469FB000000000098 +:0469FC000000000097 +:0469FD000000000096 +:0469FE000000000095 +:0469FF000000000094 +:046A00000000000092 +:046A01000000000091 +:046A02000000000090 +:046A0300000000008F +:046A0400000000008E +:046A0500000000008D +:046A0600000000008C +:046A0700000000008B +:046A0800000000008A +:046A09000000000089 +:046A0A000000000088 +:046A0B000000000087 +:046A0C000000000086 +:046A0D000000000085 +:046A0E000000000084 +:046A0F000000000083 +:046A10000000000082 +:046A11000000000081 +:046A12000000000080 +:046A1300000000007F +:046A1400000000007E +:046A1500000000007D +:046A1600000000007C +:046A1700000000007B +:046A1800000000007A +:046A19000000000079 +:046A1A000000000078 +:046A1B000000000077 +:046A1C000000000076 +:046A1D000000000075 +:046A1E000000000074 +:046A1F000000000073 +:046A20000000000072 +:046A21000000000071 +:046A22000000000070 +:046A2300000000006F +:046A2400000000006E +:046A2500000000006D +:046A2600000000006C +:046A2700000000006B +:046A2800000000006A +:046A29000000000069 +:046A2A000000000068 +:046A2B000000000067 +:046A2C000000000066 +:046A2D000000000065 +:046A2E000000000064 +:046A2F000000000063 +:046A30000000000062 +:046A31000000000061 +:046A32000000000060 +:046A3300000000005F +:046A3400000000005E +:046A3500000000005D +:046A3600000000005C +:046A3700000000005B +:046A3800000000005A +:046A39000000000059 +:046A3A000000000058 +:046A3B000000000057 +:046A3C000000000056 +:046A3D000000000055 +:046A3E000000000054 +:046A3F000000000053 +:046A40000000000052 +:046A41000000000051 +:046A42000000000050 +:046A4300000000004F +:046A4400000000004E +:046A4500000000004D +:046A4600000000004C +:046A4700000000004B +:046A4800000000004A +:046A49000000000049 +:046A4A000000000048 +:046A4B000000000047 +:046A4C000000000046 +:046A4D000000000045 +:046A4E000000000044 +:046A4F000000000043 +:046A50000000000042 +:046A51000000000041 +:046A52000000000040 +:046A5300000000003F +:046A5400000000003E +:046A5500000000003D +:046A5600000000003C +:046A5700000000003B +:046A5800000000003A +:046A59000000000039 +:046A5A000000000038 +:046A5B000000000037 +:046A5C000000000036 +:046A5D000000000035 +:046A5E000000000034 +:046A5F000000000033 +:046A60000000000032 +:046A61000000000031 +:046A62000000000030 +:046A6300000000002F +:046A6400000000002E +:046A6500000000002D +:046A6600000000002C +:046A6700000000002B +:046A6800000000002A +:046A69000000000029 +:046A6A000000000028 +:046A6B000000000027 +:046A6C000000000026 +:046A6D000000000025 +:046A6E000000000024 +:046A6F000000000023 +:046A70000000000022 +:046A71000000000021 +:046A72000000000020 +:046A7300000000001F +:046A7400000000001E +:046A7500000000001D +:046A7600000000001C +:046A7700000000001B +:046A7800000000001A +:046A79000000000019 +:046A7A000000000018 +:046A7B000000000017 +:046A7C000000000016 +:046A7D000000000015 +:046A7E000000000014 +:046A7F000000000013 +:046A80000000000012 +:046A81000000000011 +:046A82000000000010 +:046A8300000000000F +:046A8400000000000E +:046A8500000000000D +:046A8600000000000C +:046A8700000000000B +:046A8800000000000A +:046A89000000000009 +:046A8A000000000008 +:046A8B000000000007 +:046A8C000000000006 +:046A8D000000000005 +:046A8E000000000004 +:046A8F000000000003 +:046A90000000000002 +:046A91000000000001 +:046A92000000000000 +:046A930000000000FF +:046A940000000000FE +:046A950000000000FD +:046A960000000000FC +:046A970000000000FB +:046A980000000000FA +:046A990000000000F9 +:046A9A0000000000F8 +:046A9B0000000000F7 +:046A9C0000000000F6 +:046A9D0000000000F5 +:046A9E0000000000F4 +:046A9F0000000000F3 +:046AA00000000000F2 +:046AA10000000000F1 +:046AA20000000000F0 +:046AA30000000000EF +:046AA40000000000EE +:046AA50000000000ED +:046AA60000000000EC +:046AA70000000000EB +:046AA80000000000EA +:046AA90000000000E9 +:046AAA0000000000E8 +:046AAB0000000000E7 +:046AAC0000000000E6 +:046AAD0000000000E5 +:046AAE0000000000E4 +:046AAF0000000000E3 +:046AB00000000000E2 +:046AB10000000000E1 +:046AB20000000000E0 +:046AB30000000000DF +:046AB40000000000DE +:046AB50000000000DD +:046AB60000000000DC +:046AB70000000000DB +:046AB80000000000DA +:046AB90000000000D9 +:046ABA0000000000D8 +:046ABB0000000000D7 +:046ABC0000000000D6 +:046ABD0000000000D5 +:046ABE0000000000D4 +:046ABF0000000000D3 +:046AC00000000000D2 +:046AC10000000000D1 +:046AC20000000000D0 +:046AC30000000000CF +:046AC40000000000CE +:046AC50000000000CD +:046AC60000000000CC +:046AC70000000000CB +:046AC80000000000CA +:046AC90000000000C9 +:046ACA0000000000C8 +:046ACB0000000000C7 +:046ACC0000000000C6 +:046ACD0000000000C5 +:046ACE0000000000C4 +:046ACF0000000000C3 +:046AD00000000000C2 +:046AD10000000000C1 +:046AD20000000000C0 +:046AD30000000000BF +:046AD40000000000BE +:046AD50000000000BD +:046AD60000000000BC +:046AD70000000000BB +:046AD80000000000BA +:046AD90000000000B9 +:046ADA0000000000B8 +:046ADB0000000000B7 +:046ADC0000000000B6 +:046ADD0000000000B5 +:046ADE0000000000B4 +:046ADF0000000000B3 +:046AE00000000000B2 +:046AE10000000000B1 +:046AE20000000000B0 +:046AE30000000000AF +:046AE40000000000AE +:046AE50000000000AD +:046AE60000000000AC +:046AE70000000000AB +:046AE80000000000AA +:046AE90000000000A9 +:046AEA0000000000A8 +:046AEB0000000000A7 +:046AEC0000000000A6 +:046AED0000000000A5 +:046AEE0000000000A4 +:046AEF0000000000A3 +:046AF00000000000A2 +:046AF10000000000A1 +:046AF20000000000A0 +:046AF300000000009F +:046AF400000000009E +:046AF500000000009D +:046AF600000000009C +:046AF700000000009B +:046AF800000000009A +:046AF9000000000099 +:046AFA000000000098 +:046AFB000000000097 +:046AFC000000000096 +:046AFD000000000095 +:046AFE000000000094 +:046AFF000000000093 +:046B00000000000091 +:046B01000000000090 +:046B0200000000008F +:046B0300000000008E +:046B0400000000008D +:046B0500000000008C +:046B0600000000008B +:046B0700000000008A +:046B08000000000089 +:046B09000000000088 +:046B0A000000000087 +:046B0B000000000086 +:046B0C000000000085 +:046B0D000000000084 +:046B0E000000000083 +:046B0F000000000082 +:046B10000000000081 +:046B11000000000080 +:046B1200000000007F +:046B1300000000007E +:046B1400000000007D +:046B1500000000007C +:046B1600000000007B +:046B1700000000007A +:046B18000000000079 +:046B19000000000078 +:046B1A000000000077 +:046B1B000000000076 +:046B1C000000000075 +:046B1D000000000074 +:046B1E000000000073 +:046B1F000000000072 +:046B20000000000071 +:046B21000000000070 +:046B2200000000006F +:046B2300000000006E +:046B2400000000006D +:046B2500000000006C +:046B2600000000006B +:046B2700000000006A +:046B28000000000069 +:046B29000000000068 +:046B2A000000000067 +:046B2B000000000066 +:046B2C000000000065 +:046B2D000000000064 +:046B2E000000000063 +:046B2F000000000062 +:046B30000000000061 +:046B31000000000060 +:046B3200000000005F +:046B3300000000005E +:046B3400000000005D +:046B3500000000005C +:046B3600000000005B +:046B3700000000005A +:046B38000000000059 +:046B39000000000058 +:046B3A000000000057 +:046B3B000000000056 +:046B3C000000000055 +:046B3D000000000054 +:046B3E000000000053 +:046B3F000000000052 +:046B40000000000051 +:046B41000000000050 +:046B4200000000004F +:046B4300000000004E +:046B4400000000004D +:046B4500000000004C +:046B4600000000004B +:046B4700000000004A +:046B48000000000049 +:046B49000000000048 +:046B4A000000000047 +:046B4B000000000046 +:046B4C000000000045 +:046B4D000000000044 +:046B4E000000000043 +:046B4F000000000042 +:046B50000000000041 +:046B51000000000040 +:046B5200000000003F +:046B5300000000003E +:046B5400000000003D +:046B5500000000003C +:046B5600000000003B +:046B5700000000003A +:046B58000000000039 +:046B59000000000038 +:046B5A000000000037 +:046B5B000000000036 +:046B5C000000000035 +:046B5D000000000034 +:046B5E000000000033 +:046B5F000000000032 +:046B60000000000031 +:046B61000000000030 +:046B6200000000002F +:046B6300000000002E +:046B6400000000002D +:046B6500000000002C +:046B6600000000002B +:046B6700000000002A +:046B68000000000029 +:046B69000000000028 +:046B6A000000000027 +:046B6B000000000026 +:046B6C000000000025 +:046B6D000000000024 +:046B6E000000000023 +:046B6F000000000022 +:046B70000000000021 +:046B71000000000020 +:046B7200000000001F +:046B7300000000001E +:046B7400000000001D +:046B7500000000001C +:046B7600000000001B +:046B7700000000001A +:046B78000000000019 +:046B79000000000018 +:046B7A000000000017 +:046B7B000000000016 +:046B7C000000000015 +:046B7D000000000014 +:046B7E000000000013 +:046B7F000000000012 +:046B80000000000011 +:046B81000000000010 +:046B8200000000000F +:046B8300000000000E +:046B8400000000000D +:046B8500000000000C +:046B8600000000000B +:046B8700000000000A +:046B88000000000009 +:046B89000000000008 +:046B8A000000000007 +:046B8B000000000006 +:046B8C000000000005 +:046B8D000000000004 +:046B8E000000000003 +:046B8F000000000002 +:046B90000000000001 +:046B91000000000000 +:046B920000000000FF +:046B930000000000FE +:046B940000000000FD +:046B950000000000FC +:046B960000000000FB +:046B970000000000FA +:046B980000000000F9 +:046B990000000000F8 +:046B9A0000000000F7 +:046B9B0000000000F6 +:046B9C0000000000F5 +:046B9D0000000000F4 +:046B9E0000000000F3 +:046B9F0000000000F2 +:046BA00000000000F1 +:046BA10000000000F0 +:046BA20000000000EF +:046BA30000000000EE +:046BA40000000000ED +:046BA50000000000EC +:046BA60000000000EB +:046BA70000000000EA +:046BA80000000000E9 +:046BA90000000000E8 +:046BAA0000000000E7 +:046BAB0000000000E6 +:046BAC0000000000E5 +:046BAD0000000000E4 +:046BAE0000000000E3 +:046BAF0000000000E2 +:046BB00000000000E1 +:046BB10000000000E0 +:046BB20000000000DF +:046BB30000000000DE +:046BB40000000000DD +:046BB50000000000DC +:046BB60000000000DB +:046BB70000000000DA +:046BB80000000000D9 +:046BB90000000000D8 +:046BBA0000000000D7 +:046BBB0000000000D6 +:046BBC0000000000D5 +:046BBD0000000000D4 +:046BBE0000000000D3 +:046BBF0000000000D2 +:046BC00000000000D1 +:046BC10000000000D0 +:046BC20000000000CF +:046BC30000000000CE +:046BC40000000000CD +:046BC50000000000CC +:046BC60000000000CB +:046BC70000000000CA +:046BC80000000000C9 +:046BC90000000000C8 +:046BCA0000000000C7 +:046BCB0000000000C6 +:046BCC0000000000C5 +:046BCD0000000000C4 +:046BCE0000000000C3 +:046BCF0000000000C2 +:046BD00000000000C1 +:046BD10000000000C0 +:046BD20000000000BF +:046BD30000000000BE +:046BD40000000000BD +:046BD50000000000BC +:046BD60000000000BB +:046BD70000000000BA +:046BD80000000000B9 +:046BD90000000000B8 +:046BDA0000000000B7 +:046BDB0000000000B6 +:046BDC0000000000B5 +:046BDD0000000000B4 +:046BDE0000000000B3 +:046BDF0000000000B2 +:046BE00000000000B1 +:046BE10000000000B0 +:046BE20000000000AF +:046BE30000000000AE +:046BE40000000000AD +:046BE50000000000AC +:046BE60000000000AB +:046BE70000000000AA +:046BE80000000000A9 +:046BE90000000000A8 +:046BEA0000000000A7 +:046BEB0000000000A6 +:046BEC0000000000A5 +:046BED0000000000A4 +:046BEE0000000000A3 +:046BEF0000000000A2 +:046BF00000000000A1 +:046BF10000000000A0 +:046BF200000000009F +:046BF300000000009E +:046BF400000000009D +:046BF500000000009C +:046BF600000000009B +:046BF700000000009A +:046BF8000000000099 +:046BF9000000000098 +:046BFA000000000097 +:046BFB000000000096 +:046BFC000000000095 +:046BFD000000000094 +:046BFE000000000093 +:046BFF000000000092 +:046C00000000000090 +:046C0100000000008F +:046C0200000000008E +:046C0300000000008D +:046C0400000000008C +:046C0500000000008B +:046C0600000000008A +:046C07000000000089 +:046C08000000000088 +:046C09000000000087 +:046C0A000000000086 +:046C0B000000000085 +:046C0C000000000084 +:046C0D000000000083 +:046C0E000000000082 +:046C0F000000000081 +:046C10000000000080 +:046C1100000000007F +:046C1200000000007E +:046C1300000000007D +:046C1400000000007C +:046C1500000000007B +:046C1600000000007A +:046C17000000000079 +:046C18000000000078 +:046C19000000000077 +:046C1A000000000076 +:046C1B000000000075 +:046C1C000000000074 +:046C1D000000000073 +:046C1E000000000072 +:046C1F000000000071 +:046C20000000000070 +:046C2100000000006F +:046C2200000000006E +:046C2300000000006D +:046C2400000000006C +:046C2500000000006B +:046C2600000000006A +:046C27000000000069 +:046C28000000000068 +:046C29000000000067 +:046C2A000000000066 +:046C2B000000000065 +:046C2C000000000064 +:046C2D000000000063 +:046C2E000000000062 +:046C2F000000000061 +:046C30000000000060 +:046C3100000000005F +:046C3200000000005E +:046C3300000000005D +:046C3400000000005C +:046C3500000000005B +:046C3600000000005A +:046C37000000000059 +:046C38000000000058 +:046C39000000000057 +:046C3A000000000056 +:046C3B000000000055 +:046C3C000000000054 +:046C3D000000000053 +:046C3E000000000052 +:046C3F000000000051 +:046C40000000000050 +:046C4100000000004F +:046C4200000000004E +:046C4300000000004D +:046C4400000000004C +:046C4500000000004B +:046C4600000000004A +:046C47000000000049 +:046C48000000000048 +:046C49000000000047 +:046C4A000000000046 +:046C4B000000000045 +:046C4C000000000044 +:046C4D000000000043 +:046C4E000000000042 +:046C4F000000000041 +:046C50000000000040 +:046C5100000000003F +:046C5200000000003E +:046C5300000000003D +:046C5400000000003C +:046C5500000000003B +:046C5600000000003A +:046C57000000000039 +:046C58000000000038 +:046C59000000000037 +:046C5A000000000036 +:046C5B000000000035 +:046C5C000000000034 +:046C5D000000000033 +:046C5E000000000032 +:046C5F000000000031 +:046C60000000000030 +:046C6100000000002F +:046C6200000000002E +:046C6300000000002D +:046C6400000000002C +:046C6500000000002B +:046C6600000000002A +:046C67000000000029 +:046C68000000000028 +:046C69000000000027 +:046C6A000000000026 +:046C6B000000000025 +:046C6C000000000024 +:046C6D000000000023 +:046C6E000000000022 +:046C6F000000000021 +:046C70000000000020 +:046C7100000000001F +:046C7200000000001E +:046C7300000000001D +:046C7400000000001C +:046C7500000000001B +:046C7600000000001A +:046C77000000000019 +:046C78000000000018 +:046C79000000000017 +:046C7A000000000016 +:046C7B000000000015 +:046C7C000000000014 +:046C7D000000000013 +:046C7E000000000012 +:046C7F000000000011 +:046C80000000000010 +:046C8100000000000F +:046C8200000000000E +:046C8300000000000D +:046C8400000000000C +:046C8500000000000B +:046C8600000000000A +:046C87000000000009 +:046C88000000000008 +:046C89000000000007 +:046C8A000000000006 +:046C8B000000000005 +:046C8C000000000004 +:046C8D000000000003 +:046C8E000000000002 +:046C8F000000000001 +:046C90000000000000 +:046C910000000000FF +:046C920000000000FE +:046C930000000000FD +:046C940000000000FC +:046C950000000000FB +:046C960000000000FA +:046C970000000000F9 +:046C980000000000F8 +:046C990000000000F7 +:046C9A0000000000F6 +:046C9B0000000000F5 +:046C9C0000000000F4 +:046C9D0000000000F3 +:046C9E0000000000F2 +:046C9F0000000000F1 +:046CA00000000000F0 +:046CA10000000000EF +:046CA20000000000EE +:046CA30000000000ED +:046CA40000000000EC +:046CA50000000000EB +:046CA60000000000EA +:046CA70000000000E9 +:046CA80000000000E8 +:046CA90000000000E7 +:046CAA0000000000E6 +:046CAB0000000000E5 +:046CAC0000000000E4 +:046CAD0000000000E3 +:046CAE0000000000E2 +:046CAF0000000000E1 +:046CB00000000000E0 +:046CB10000000000DF +:046CB20000000000DE +:046CB30000000000DD +:046CB40000000000DC +:046CB50000000000DB +:046CB60000000000DA +:046CB70000000000D9 +:046CB80000000000D8 +:046CB90000000000D7 +:046CBA0000000000D6 +:046CBB0000000000D5 +:046CBC0000000000D4 +:046CBD0000000000D3 +:046CBE0000000000D2 +:046CBF0000000000D1 +:046CC00000000000D0 +:046CC10000000000CF +:046CC20000000000CE +:046CC30000000000CD +:046CC40000000000CC +:046CC50000000000CB +:046CC60000000000CA +:046CC70000000000C9 +:046CC80000000000C8 +:046CC90000000000C7 +:046CCA0000000000C6 +:046CCB0000000000C5 +:046CCC0000000000C4 +:046CCD0000000000C3 +:046CCE0000000000C2 +:046CCF0000000000C1 +:046CD00000000000C0 +:046CD10000000000BF +:046CD20000000000BE +:046CD30000000000BD +:046CD40000000000BC +:046CD50000000000BB +:046CD60000000000BA +:046CD70000000000B9 +:046CD80000000000B8 +:046CD90000000000B7 +:046CDA0000000000B6 +:046CDB0000000000B5 +:046CDC0000000000B4 +:046CDD0000000000B3 +:046CDE0000000000B2 +:046CDF0000000000B1 +:046CE00000000000B0 +:046CE10000000000AF +:046CE20000000000AE +:046CE30000000000AD +:046CE40000000000AC +:046CE50000000000AB +:046CE60000000000AA +:046CE70000000000A9 +:046CE80000000000A8 +:046CE90000000000A7 +:046CEA0000000000A6 +:046CEB0000000000A5 +:046CEC0000000000A4 +:046CED0000000000A3 +:046CEE0000000000A2 +:046CEF0000000000A1 +:046CF00000000000A0 +:046CF100000000009F +:046CF200000000009E +:046CF300000000009D +:046CF400000000009C +:046CF500000000009B +:046CF600000000009A +:046CF7000000000099 +:046CF8000000000098 +:046CF9000000000097 +:046CFA000000000096 +:046CFB000000000095 +:046CFC000000000094 +:046CFD000000000093 +:046CFE000000000092 +:046CFF000000000091 +:046D0000000000008F +:046D0100000000008E +:046D0200000000008D +:046D0300000000008C +:046D0400000000008B +:046D0500000000008A +:046D06000000000089 +:046D07000000000088 +:046D08000000000087 +:046D09000000000086 +:046D0A000000000085 +:046D0B000000000084 +:046D0C000000000083 +:046D0D000000000082 +:046D0E000000000081 +:046D0F000000000080 +:046D1000000000007F +:046D1100000000007E +:046D1200000000007D +:046D1300000000007C +:046D1400000000007B +:046D1500000000007A +:046D16000000000079 +:046D17000000000078 +:046D18000000000077 +:046D19000000000076 +:046D1A000000000075 +:046D1B000000000074 +:046D1C000000000073 +:046D1D000000000072 +:046D1E000000000071 +:046D1F000000000070 +:046D2000000000006F +:046D2100000000006E +:046D2200000000006D +:046D2300000000006C +:046D2400000000006B +:046D2500000000006A +:046D26000000000069 +:046D27000000000068 +:046D28000000000067 +:046D29000000000066 +:046D2A000000000065 +:046D2B000000000064 +:046D2C000000000063 +:046D2D000000000062 +:046D2E000000000061 +:046D2F000000000060 +:046D3000000000005F +:046D3100000000005E +:046D3200000000005D +:046D3300000000005C +:046D3400000000005B +:046D3500000000005A +:046D36000000000059 +:046D37000000000058 +:046D38000000000057 +:046D39000000000056 +:046D3A000000000055 +:046D3B000000000054 +:046D3C000000000053 +:046D3D000000000052 +:046D3E000000000051 +:046D3F000000000050 +:046D4000000000004F +:046D4100000000004E +:046D4200000000004D +:046D4300000000004C +:046D4400000000004B +:046D4500000000004A +:046D46000000000049 +:046D47000000000048 +:046D48000000000047 +:046D49000000000046 +:046D4A000000000045 +:046D4B000000000044 +:046D4C000000000043 +:046D4D000000000042 +:046D4E000000000041 +:046D4F000000000040 +:046D5000000000003F +:046D5100000000003E +:046D5200000000003D +:046D5300000000003C +:046D5400000000003B +:046D5500000000003A +:046D56000000000039 +:046D57000000000038 +:046D58000000000037 +:046D59000000000036 +:046D5A000000000035 +:046D5B000000000034 +:046D5C000000000033 +:046D5D000000000032 +:046D5E000000000031 +:046D5F000000000030 +:046D6000000000002F +:046D6100000000002E +:046D6200000000002D +:046D6300000000002C +:046D6400000000002B +:046D6500000000002A +:046D66000000000029 +:046D67000000000028 +:046D68000000000027 +:046D69000000000026 +:046D6A000000000025 +:046D6B000000000024 +:046D6C000000000023 +:046D6D000000000022 +:046D6E000000000021 +:046D6F000000000020 +:046D7000000000001F +:046D7100000000001E +:046D7200000000001D +:046D7300000000001C +:046D7400000000001B +:046D7500000000001A +:046D76000000000019 +:046D77000000000018 +:046D78000000000017 +:046D79000000000016 +:046D7A000000000015 +:046D7B000000000014 +:046D7C000000000013 +:046D7D000000000012 +:046D7E000000000011 +:046D7F000000000010 +:046D8000000000000F +:046D8100000000000E +:046D8200000000000D +:046D8300000000000C +:046D8400000000000B +:046D8500000000000A +:046D86000000000009 +:046D87000000000008 +:046D88000000000007 +:046D89000000000006 +:046D8A000000000005 +:046D8B000000000004 +:046D8C000000000003 +:046D8D000000000002 +:046D8E000000000001 +:046D8F000000000000 +:046D900000000000FF +:046D910000000000FE +:046D920000000000FD +:046D930000000000FC +:046D940000000000FB +:046D950000000000FA +:046D960000000000F9 +:046D970000000000F8 +:046D980000000000F7 +:046D990000000000F6 +:046D9A0000000000F5 +:046D9B0000000000F4 +:046D9C0000000000F3 +:046D9D0000000000F2 +:046D9E0000000000F1 +:046D9F0000000000F0 +:046DA00000000000EF +:046DA10000000000EE +:046DA20000000000ED +:046DA30000000000EC +:046DA40000000000EB +:046DA50000000000EA +:046DA60000000000E9 +:046DA70000000000E8 +:046DA80000000000E7 +:046DA90000000000E6 +:046DAA0000000000E5 +:046DAB0000000000E4 +:046DAC0000000000E3 +:046DAD0000000000E2 +:046DAE0000000000E1 +:046DAF0000000000E0 +:046DB00000000000DF +:046DB10000000000DE +:046DB20000000000DD +:046DB30000000000DC +:046DB40000000000DB +:046DB50000000000DA +:046DB60000000000D9 +:046DB70000000000D8 +:046DB80000000000D7 +:046DB90000000000D6 +:046DBA0000000000D5 +:046DBB0000000000D4 +:046DBC0000000000D3 +:046DBD0000000000D2 +:046DBE0000000000D1 +:046DBF0000000000D0 +:046DC00000000000CF +:046DC10000000000CE +:046DC20000000000CD +:046DC30000000000CC +:046DC40000000000CB +:046DC50000000000CA +:046DC60000000000C9 +:046DC70000000000C8 +:046DC80000000000C7 +:046DC90000000000C6 +:046DCA0000000000C5 +:046DCB0000000000C4 +:046DCC0000000000C3 +:046DCD0000000000C2 +:046DCE0000000000C1 +:046DCF0000000000C0 +:046DD00000000000BF +:046DD10000000000BE +:046DD20000000000BD +:046DD30000000000BC +:046DD40000000000BB +:046DD50000000000BA +:046DD60000000000B9 +:046DD70000000000B8 +:046DD80000000000B7 +:046DD90000000000B6 +:046DDA0000000000B5 +:046DDB0000000000B4 +:046DDC0000000000B3 +:046DDD0000000000B2 +:046DDE0000000000B1 +:046DDF0000000000B0 +:046DE00000000000AF +:046DE10000000000AE +:046DE20000000000AD +:046DE30000000000AC +:046DE40000000000AB +:046DE50000000000AA +:046DE60000000000A9 +:046DE70000000000A8 +:046DE80000000000A7 +:046DE90000000000A6 +:046DEA0000000000A5 +:046DEB0000000000A4 +:046DEC0000000000A3 +:046DED0000000000A2 +:046DEE0000000000A1 +:046DEF0000000000A0 +:046DF000000000009F +:046DF100000000009E +:046DF200000000009D +:046DF300000000009C +:046DF400000000009B +:046DF500000000009A +:046DF6000000000099 +:046DF7000000000098 +:046DF8000000000097 +:046DF9000000000096 +:046DFA000000000095 +:046DFB000000000094 +:046DFC000000000093 +:046DFD000000000092 +:046DFE000000000091 +:046DFF000000000090 +:046E0000000000008E +:046E0100000000008D +:046E0200000000008C +:046E0300000000008B +:046E0400000000008A +:046E05000000000089 +:046E06000000000088 +:046E07000000000087 +:046E08000000000086 +:046E09000000000085 +:046E0A000000000084 +:046E0B000000000083 +:046E0C000000000082 +:046E0D000000000081 +:046E0E000000000080 +:046E0F00000000007F +:046E1000000000007E +:046E1100000000007D +:046E1200000000007C +:046E1300000000007B +:046E1400000000007A +:046E15000000000079 +:046E16000000000078 +:046E17000000000077 +:046E18000000000076 +:046E19000000000075 +:046E1A000000000074 +:046E1B000000000073 +:046E1C000000000072 +:046E1D000000000071 +:046E1E000000000070 +:046E1F00000000006F +:046E2000000000006E +:046E2100000000006D +:046E2200000000006C +:046E2300000000006B +:046E2400000000006A +:046E25000000000069 +:046E26000000000068 +:046E27000000000067 +:046E28000000000066 +:046E29000000000065 +:046E2A000000000064 +:046E2B000000000063 +:046E2C000000000062 +:046E2D000000000061 +:046E2E000000000060 +:046E2F00000000005F +:046E3000000000005E +:046E3100000000005D +:046E3200000000005C +:046E3300000000005B +:046E3400000000005A +:046E35000000000059 +:046E36000000000058 +:046E37000000000057 +:046E38000000000056 +:046E39000000000055 +:046E3A000000000054 +:046E3B000000000053 +:046E3C000000000052 +:046E3D000000000051 +:046E3E000000000050 +:046E3F00000000004F +:046E4000000000004E +:046E4100000000004D +:046E4200000000004C +:046E4300000000004B +:046E4400000000004A +:046E45000000000049 +:046E46000000000048 +:046E47000000000047 +:046E48000000000046 +:046E49000000000045 +:046E4A000000000044 +:046E4B000000000043 +:046E4C000000000042 +:046E4D000000000041 +:046E4E000000000040 +:046E4F00000000003F +:046E5000000000003E +:046E5100000000003D +:046E5200000000003C +:046E5300000000003B +:046E5400000000003A +:046E55000000000039 +:046E56000000000038 +:046E57000000000037 +:046E58000000000036 +:046E59000000000035 +:046E5A000000000034 +:046E5B000000000033 +:046E5C000000000032 +:046E5D000000000031 +:046E5E000000000030 +:046E5F00000000002F +:046E6000000000002E +:046E6100000000002D +:046E6200000000002C +:046E6300000000002B +:046E6400000000002A +:046E65000000000029 +:046E66000000000028 +:046E67000000000027 +:046E68000000000026 +:046E69000000000025 +:046E6A000000000024 +:046E6B000000000023 +:046E6C000000000022 +:046E6D000000000021 +:046E6E000000000020 +:046E6F00000000001F +:046E7000000000001E +:046E7100000000001D +:046E7200000000001C +:046E7300000000001B +:046E7400000000001A +:046E75000000000019 +:046E76000000000018 +:046E77000000000017 +:046E78000000000016 +:046E79000000000015 +:046E7A000000000014 +:046E7B000000000013 +:046E7C000000000012 +:046E7D000000000011 +:046E7E000000000010 +:046E7F00000000000F +:046E8000000000000E +:046E8100000000000D +:046E8200000000000C +:046E8300000000000B +:046E8400000000000A +:046E85000000000009 +:046E86000000000008 +:046E87000000000007 +:046E88000000000006 +:046E89000000000005 +:046E8A000000000004 +:046E8B000000000003 +:046E8C000000000002 +:046E8D000000000001 +:046E8E000000000000 +:046E8F0000000000FF +:046E900000000000FE +:046E910000000000FD +:046E920000000000FC +:046E930000000000FB +:046E940000000000FA +:046E950000000000F9 +:046E960000000000F8 +:046E970000000000F7 +:046E980000000000F6 +:046E990000000000F5 +:046E9A0000000000F4 +:046E9B0000000000F3 +:046E9C0000000000F2 +:046E9D0000000000F1 +:046E9E0000000000F0 +:046E9F0000000000EF +:046EA00000000000EE +:046EA10000000000ED +:046EA20000000000EC +:046EA30000000000EB +:046EA40000000000EA +:046EA50000000000E9 +:046EA60000000000E8 +:046EA70000000000E7 +:046EA80000000000E6 +:046EA90000000000E5 +:046EAA0000000000E4 +:046EAB0000000000E3 +:046EAC0000000000E2 +:046EAD0000000000E1 +:046EAE0000000000E0 +:046EAF0000000000DF +:046EB00000000000DE +:046EB10000000000DD +:046EB20000000000DC +:046EB30000000000DB +:046EB40000000000DA +:046EB50000000000D9 +:046EB60000000000D8 +:046EB70000000000D7 +:046EB80000000000D6 +:046EB90000000000D5 +:046EBA0000000000D4 +:046EBB0000000000D3 +:046EBC0000000000D2 +:046EBD0000000000D1 +:046EBE0000000000D0 +:046EBF0000000000CF +:046EC00000000000CE +:046EC10000000000CD +:046EC20000000000CC +:046EC30000000000CB +:046EC40000000000CA +:046EC50000000000C9 +:046EC60000000000C8 +:046EC70000000000C7 +:046EC80000000000C6 +:046EC90000000000C5 +:046ECA0000000000C4 +:046ECB0000000000C3 +:046ECC0000000000C2 +:046ECD0000000000C1 +:046ECE0000000000C0 +:046ECF0000000000BF +:046ED00000000000BE +:046ED10000000000BD +:046ED20000000000BC +:046ED30000000000BB +:046ED40000000000BA +:046ED50000000000B9 +:046ED60000000000B8 +:046ED70000000000B7 +:046ED80000000000B6 +:046ED90000000000B5 +:046EDA0000000000B4 +:046EDB0000000000B3 +:046EDC0000000000B2 +:046EDD0000000000B1 +:046EDE0000000000B0 +:046EDF0000000000AF +:046EE00000000000AE +:046EE10000000000AD +:046EE20000000000AC +:046EE30000000000AB +:046EE40000000000AA +:046EE50000000000A9 +:046EE60000000000A8 +:046EE70000000000A7 +:046EE80000000000A6 +:046EE90000000000A5 +:046EEA0000000000A4 +:046EEB0000000000A3 +:046EEC0000000000A2 +:046EED0000000000A1 +:046EEE0000000000A0 +:046EEF00000000009F +:046EF000000000009E +:046EF100000000009D +:046EF200000000009C +:046EF300000000009B +:046EF400000000009A +:046EF5000000000099 +:046EF6000000000098 +:046EF7000000000097 +:046EF8000000000096 +:046EF9000000000095 +:046EFA000000000094 +:046EFB000000000093 +:046EFC000000000092 +:046EFD000000000091 +:046EFE000000000090 +:046EFF00000000008F +:046F0000000000008D +:046F0100000000008C +:046F0200000000008B +:046F0300000000008A +:046F04000000000089 +:046F05000000000088 +:046F06000000000087 +:046F07000000000086 +:046F08000000000085 +:046F09000000000084 +:046F0A000000000083 +:046F0B000000000082 +:046F0C000000000081 +:046F0D000000000080 +:046F0E00000000007F +:046F0F00000000007E +:046F1000000000007D +:046F1100000000007C +:046F1200000000007B +:046F1300000000007A +:046F14000000000079 +:046F15000000000078 +:046F16000000000077 +:046F17000000000076 +:046F18000000000075 +:046F19000000000074 +:046F1A000000000073 +:046F1B000000000072 +:046F1C000000000071 +:046F1D000000000070 +:046F1E00000000006F +:046F1F00000000006E +:046F2000000000006D +:046F2100000000006C +:046F2200000000006B +:046F2300000000006A +:046F24000000000069 +:046F25000000000068 +:046F26000000000067 +:046F27000000000066 +:046F28000000000065 +:046F29000000000064 +:046F2A000000000063 +:046F2B000000000062 +:046F2C000000000061 +:046F2D000000000060 +:046F2E00000000005F +:046F2F00000000005E +:046F3000000000005D +:046F3100000000005C +:046F3200000000005B +:046F3300000000005A +:046F34000000000059 +:046F35000000000058 +:046F36000000000057 +:046F37000000000056 +:046F38000000000055 +:046F39000000000054 +:046F3A000000000053 +:046F3B000000000052 +:046F3C000000000051 +:046F3D000000000050 +:046F3E00000000004F +:046F3F00000000004E +:046F4000000000004D +:046F4100000000004C +:046F4200000000004B +:046F4300000000004A +:046F44000000000049 +:046F45000000000048 +:046F46000000000047 +:046F47000000000046 +:046F48000000000045 +:046F49000000000044 +:046F4A000000000043 +:046F4B000000000042 +:046F4C000000000041 +:046F4D000000000040 +:046F4E00000000003F +:046F4F00000000003E +:046F5000000000003D +:046F5100000000003C +:046F5200000000003B +:046F5300000000003A +:046F54000000000039 +:046F55000000000038 +:046F56000000000037 +:046F57000000000036 +:046F58000000000035 +:046F59000000000034 +:046F5A000000000033 +:046F5B000000000032 +:046F5C000000000031 +:046F5D000000000030 +:046F5E00000000002F +:046F5F00000000002E +:046F6000000000002D +:046F6100000000002C +:046F6200000000002B +:046F6300000000002A +:046F64000000000029 +:046F65000000000028 +:046F66000000000027 +:046F67000000000026 +:046F68000000000025 +:046F69000000000024 +:046F6A000000000023 +:046F6B000000000022 +:046F6C000000000021 +:046F6D000000000020 +:046F6E00000000001F +:046F6F00000000001E +:046F7000000000001D +:046F7100000000001C +:046F7200000000001B +:046F7300000000001A +:046F74000000000019 +:046F75000000000018 +:046F76000000000017 +:046F77000000000016 +:046F78000000000015 +:046F79000000000014 +:046F7A000000000013 +:046F7B000000000012 +:046F7C000000000011 +:046F7D000000000010 +:046F7E00000000000F +:046F7F00000000000E +:046F8000000000000D +:046F8100000000000C +:046F8200000000000B +:046F8300000000000A +:046F84000000000009 +:046F85000000000008 +:046F86000000000007 +:046F87000000000006 +:046F88000000000005 +:046F89000000000004 +:046F8A000000000003 +:046F8B000000000002 +:046F8C000000000001 +:046F8D000000000000 +:046F8E0000000000FF +:046F8F0000000000FE +:046F900000000000FD +:046F910000000000FC +:046F920000000000FB +:046F930000000000FA +:046F940000000000F9 +:046F950000000000F8 +:046F960000000000F7 +:046F970000000000F6 +:046F980000000000F5 +:046F990000000000F4 +:046F9A0000000000F3 +:046F9B0000000000F2 +:046F9C0000000000F1 +:046F9D0000000000F0 +:046F9E0000000000EF +:046F9F0000000000EE +:046FA00000000000ED +:046FA10000000000EC +:046FA20000000000EB +:046FA30000000000EA +:046FA40000000000E9 +:046FA50000000000E8 +:046FA60000000000E7 +:046FA70000000000E6 +:046FA80000000000E5 +:046FA90000000000E4 +:046FAA0000000000E3 +:046FAB0000000000E2 +:046FAC0000000000E1 +:046FAD0000000000E0 +:046FAE0000000000DF +:046FAF0000000000DE +:046FB00000000000DD +:046FB10000000000DC +:046FB20000000000DB +:046FB30000000000DA +:046FB40000000000D9 +:046FB50000000000D8 +:046FB60000000000D7 +:046FB70000000000D6 +:046FB80000000000D5 +:046FB90000000000D4 +:046FBA0000000000D3 +:046FBB0000000000D2 +:046FBC0000000000D1 +:046FBD0000000000D0 +:046FBE0000000000CF +:046FBF0000000000CE +:046FC00000000000CD +:046FC10000000000CC +:046FC20000000000CB +:046FC30000000000CA +:046FC40000000000C9 +:046FC50000000000C8 +:046FC60000000000C7 +:046FC70000000000C6 +:046FC80000000000C5 +:046FC90000000000C4 +:046FCA0000000000C3 +:046FCB0000000000C2 +:046FCC0000000000C1 +:046FCD0000000000C0 +:046FCE0000000000BF +:046FCF0000000000BE +:046FD00000000000BD +:046FD10000000000BC +:046FD20000000000BB +:046FD30000000000BA +:046FD40000000000B9 +:046FD50000000000B8 +:046FD60000000000B7 +:046FD70000000000B6 +:046FD80000000000B5 +:046FD90000000000B4 +:046FDA0000000000B3 +:046FDB0000000000B2 +:046FDC0000000000B1 +:046FDD0000000000B0 +:046FDE0000000000AF +:046FDF0000000000AE +:046FE00000000000AD +:046FE10000000000AC +:046FE20000000000AB +:046FE30000000000AA +:046FE40000000000A9 +:046FE50000000000A8 +:046FE60000000000A7 +:046FE70000000000A6 +:046FE80000000000A5 +:046FE90000000000A4 +:046FEA0000000000A3 +:046FEB0000000000A2 +:046FEC0000000000A1 +:046FED0000000000A0 +:046FEE00000000009F +:046FEF00000000009E +:046FF000000000009D +:046FF100000000009C +:046FF200000000009B +:046FF300000000009A +:046FF4000000000099 +:046FF5000000000098 +:046FF6000000000097 +:046FF7000000000096 +:046FF8000000000095 +:046FF9000000000094 +:046FFA000000000093 +:046FFB000000000092 +:046FFC000000000091 +:046FFD000000000090 +:046FFE00000000008F +:046FFF00000000008E +:04700000000000008C +:04700100000000008B +:04700200000000008A +:047003000000000089 +:047004000000000088 +:047005000000000087 +:047006000000000086 +:047007000000000085 +:047008000000000084 +:047009000000000083 +:04700A000000000082 +:04700B000000000081 +:04700C000000000080 +:04700D00000000007F +:04700E00000000007E +:04700F00000000007D +:04701000000000007C +:04701100000000007B +:04701200000000007A +:047013000000000079 +:047014000000000078 +:047015000000000077 +:047016000000000076 +:047017000000000075 +:047018000000000074 +:047019000000000073 +:04701A000000000072 +:04701B000000000071 +:04701C000000000070 +:04701D00000000006F +:04701E00000000006E +:04701F00000000006D +:04702000000000006C +:04702100000000006B +:04702200000000006A +:047023000000000069 +:047024000000000068 +:047025000000000067 +:047026000000000066 +:047027000000000065 +:047028000000000064 +:047029000000000063 +:04702A000000000062 +:04702B000000000061 +:04702C000000000060 +:04702D00000000005F +:04702E00000000005E +:04702F00000000005D +:04703000000000005C +:04703100000000005B +:04703200000000005A +:047033000000000059 +:047034000000000058 +:047035000000000057 +:047036000000000056 +:047037000000000055 +:047038000000000054 +:047039000000000053 +:04703A000000000052 +:04703B000000000051 +:04703C000000000050 +:04703D00000000004F +:04703E00000000004E +:04703F00000000004D +:04704000000000004C +:04704100000000004B +:04704200000000004A +:047043000000000049 +:047044000000000048 +:047045000000000047 +:047046000000000046 +:047047000000000045 +:047048000000000044 +:047049000000000043 +:04704A000000000042 +:04704B000000000041 +:04704C000000000040 +:04704D00000000003F +:04704E00000000003E +:04704F00000000003D +:04705000000000003C +:04705100000000003B +:04705200000000003A +:047053000000000039 +:047054000000000038 +:047055000000000037 +:047056000000000036 +:047057000000000035 +:047058000000000034 +:047059000000000033 +:04705A000000000032 +:04705B000000000031 +:04705C000000000030 +:04705D00000000002F +:04705E00000000002E +:04705F00000000002D +:04706000000000002C +:04706100000000002B +:04706200000000002A +:047063000000000029 +:047064000000000028 +:047065000000000027 +:047066000000000026 +:047067000000000025 +:047068000000000024 +:047069000000000023 +:04706A000000000022 +:04706B000000000021 +:04706C000000000020 +:04706D00000000001F +:04706E00000000001E +:04706F00000000001D +:04707000000000001C +:04707100000000001B +:04707200000000001A +:047073000000000019 +:047074000000000018 +:047075000000000017 +:047076000000000016 +:047077000000000015 +:047078000000000014 +:047079000000000013 +:04707A000000000012 +:04707B000000000011 +:04707C000000000010 +:04707D00000000000F +:04707E00000000000E +:04707F00000000000D +:04708000000000000C +:04708100000000000B +:04708200000000000A +:047083000000000009 +:047084000000000008 +:047085000000000007 +:047086000000000006 +:047087000000000005 +:047088000000000004 +:047089000000000003 +:04708A000000000002 +:04708B000000000001 +:04708C000000000000 +:04708D0000000000FF +:04708E0000000000FE +:04708F0000000000FD +:0470900000000000FC +:0470910000000000FB +:0470920000000000FA +:0470930000000000F9 +:0470940000000000F8 +:0470950000000000F7 +:0470960000000000F6 +:0470970000000000F5 +:0470980000000000F4 +:0470990000000000F3 +:04709A0000000000F2 +:04709B0000000000F1 +:04709C0000000000F0 +:04709D0000000000EF +:04709E0000000000EE +:04709F0000000000ED +:0470A00000000000EC +:0470A10000000000EB +:0470A20000000000EA +:0470A30000000000E9 +:0470A40000000000E8 +:0470A50000000000E7 +:0470A60000000000E6 +:0470A70000000000E5 +:0470A80000000000E4 +:0470A90000000000E3 +:0470AA0000000000E2 +:0470AB0000000000E1 +:0470AC0000000000E0 +:0470AD0000000000DF +:0470AE0000000000DE +:0470AF0000000000DD +:0470B00000000000DC +:0470B10000000000DB +:0470B20000000000DA +:0470B30000000000D9 +:0470B40000000000D8 +:0470B50000000000D7 +:0470B60000000000D6 +:0470B70000000000D5 +:0470B80000000000D4 +:0470B90000000000D3 +:0470BA0000000000D2 +:0470BB0000000000D1 +:0470BC0000000000D0 +:0470BD0000000000CF +:0470BE0000000000CE +:0470BF0000000000CD +:0470C00000000000CC +:0470C10000000000CB +:0470C20000000000CA +:0470C30000000000C9 +:0470C40000000000C8 +:0470C50000000000C7 +:0470C60000000000C6 +:0470C70000000000C5 +:0470C80000000000C4 +:0470C90000000000C3 +:0470CA0000000000C2 +:0470CB0000000000C1 +:0470CC0000000000C0 +:0470CD0000000000BF +:0470CE0000000000BE +:0470CF0000000000BD +:0470D00000000000BC +:0470D10000000000BB +:0470D20000000000BA +:0470D30000000000B9 +:0470D40000000000B8 +:0470D50000000000B7 +:0470D60000000000B6 +:0470D70000000000B5 +:0470D80000000000B4 +:0470D90000000000B3 +:0470DA0000000000B2 +:0470DB0000000000B1 +:0470DC0000000000B0 +:0470DD0000000000AF +:0470DE0000000000AE +:0470DF0000000000AD +:0470E00000000000AC +:0470E10000000000AB +:0470E20000000000AA +:0470E30000000000A9 +:0470E40000000000A8 +:0470E50000000000A7 +:0470E60000000000A6 +:0470E70000000000A5 +:0470E80000000000A4 +:0470E90000000000A3 +:0470EA0000000000A2 +:0470EB0000000000A1 +:0470EC0000000000A0 +:0470ED00000000009F +:0470EE00000000009E +:0470EF00000000009D +:0470F000000000009C +:0470F100000000009B +:0470F200000000009A +:0470F3000000000099 +:0470F4000000000098 +:0470F5000000000097 +:0470F6000000000096 +:0470F7000000000095 +:0470F8000000000094 +:0470F9000000000093 +:0470FA000000000092 +:0470FB000000000091 +:0470FC000000000090 +:0470FD00000000008F +:0470FE00000000008E +:0470FF00000000008D +:04710000000000008B +:04710100000000008A +:047102000000000089 +:047103000000000088 +:047104000000000087 +:047105000000000086 +:047106000000000085 +:047107000000000084 +:047108000000000083 +:047109000000000082 +:04710A000000000081 +:04710B000000000080 +:04710C00000000007F +:04710D00000000007E +:04710E00000000007D +:04710F00000000007C +:04711000000000007B +:04711100000000007A +:047112000000000079 +:047113000000000078 +:047114000000000077 +:047115000000000076 +:047116000000000075 +:047117000000000074 +:047118000000000073 +:047119000000000072 +:04711A000000000071 +:04711B000000000070 +:04711C00000000006F +:04711D00000000006E +:04711E00000000006D +:04711F00000000006C +:04712000000000006B +:04712100000000006A +:047122000000000069 +:047123000000000068 +:047124000000000067 +:047125000000000066 +:047126000000000065 +:047127000000000064 +:047128000000000063 +:047129000000000062 +:04712A000000000061 +:04712B000000000060 +:04712C00000000005F +:04712D00000000005E +:04712E00000000005D +:04712F00000000005C +:04713000000000005B +:04713100000000005A +:047132000000000059 +:047133000000000058 +:047134000000000057 +:047135000000000056 +:047136000000000055 +:047137000000000054 +:047138000000000053 +:047139000000000052 +:04713A000000000051 +:04713B000000000050 +:04713C00000000004F +:04713D00000000004E +:04713E00000000004D +:04713F00000000004C +:04714000000000004B +:04714100000000004A +:047142000000000049 +:047143000000000048 +:047144000000000047 +:047145000000000046 +:047146000000000045 +:047147000000000044 +:047148000000000043 +:047149000000000042 +:04714A000000000041 +:04714B000000000040 +:04714C00000000003F +:04714D00000000003E +:04714E00000000003D +:04714F00000000003C +:04715000000000003B +:04715100000000003A +:047152000000000039 +:047153000000000038 +:047154000000000037 +:047155000000000036 +:047156000000000035 +:047157000000000034 +:047158000000000033 +:047159000000000032 +:04715A000000000031 +:04715B000000000030 +:04715C00000000002F +:04715D00000000002E +:04715E00000000002D +:04715F00000000002C +:04716000000000002B +:04716100000000002A +:047162000000000029 +:047163000000000028 +:047164000000000027 +:047165000000000026 +:047166000000000025 +:047167000000000024 +:047168000000000023 +:047169000000000022 +:04716A000000000021 +:04716B000000000020 +:04716C00000000001F +:04716D00000000001E +:04716E00000000001D +:04716F00000000001C +:04717000000000001B +:04717100000000001A +:047172000000000019 +:047173000000000018 +:047174000000000017 +:047175000000000016 +:047176000000000015 +:047177000000000014 +:047178000000000013 +:047179000000000012 +:04717A000000000011 +:04717B000000000010 +:04717C00000000000F +:04717D00000000000E +:04717E00000000000D +:04717F00000000000C +:04718000000000000B +:04718100000000000A +:047182000000000009 +:047183000000000008 +:047184000000000007 +:047185000000000006 +:047186000000000005 +:047187000000000004 +:047188000000000003 +:047189000000000002 +:04718A000000000001 +:04718B000000000000 +:04718C0000000000FF +:04718D0000000000FE +:04718E0000000000FD +:04718F0000000000FC +:0471900000000000FB +:0471910000000000FA +:0471920000000000F9 +:0471930000000000F8 +:0471940000000000F7 +:0471950000000000F6 +:0471960000000000F5 +:0471970000000000F4 +:0471980000000000F3 +:0471990000000000F2 +:04719A0000000000F1 +:04719B0000000000F0 +:04719C0000000000EF +:04719D0000000000EE +:04719E0000000000ED +:04719F0000000000EC +:0471A00000000000EB +:0471A10000000000EA +:0471A20000000000E9 +:0471A30000000000E8 +:0471A40000000000E7 +:0471A50000000000E6 +:0471A60000000000E5 +:0471A70000000000E4 +:0471A80000000000E3 +:0471A90000000000E2 +:0471AA0000000000E1 +:0471AB0000000000E0 +:0471AC0000000000DF +:0471AD0000000000DE +:0471AE0000000000DD +:0471AF0000000000DC +:0471B00000000000DB +:0471B10000000000DA +:0471B20000000000D9 +:0471B30000000000D8 +:0471B40000000000D7 +:0471B50000000000D6 +:0471B60000000000D5 +:0471B70000000000D4 +:0471B80000000000D3 +:0471B90000000000D2 +:0471BA0000000000D1 +:0471BB0000000000D0 +:0471BC0000000000CF +:0471BD0000000000CE +:0471BE0000000000CD +:0471BF0000000000CC +:0471C00000000000CB +:0471C10000000000CA +:0471C20000000000C9 +:0471C30000000000C8 +:0471C40000000000C7 +:0471C50000000000C6 +:0471C60000000000C5 +:0471C70000000000C4 +:0471C80000000000C3 +:0471C90000000000C2 +:0471CA0000000000C1 +:0471CB0000000000C0 +:0471CC0000000000BF +:0471CD0000000000BE +:0471CE0000000000BD +:0471CF0000000000BC +:0471D00000000000BB +:0471D10000000000BA +:0471D20000000000B9 +:0471D30000000000B8 +:0471D40000000000B7 +:0471D50000000000B6 +:0471D60000000000B5 +:0471D70000000000B4 +:0471D80000000000B3 +:0471D90000000000B2 +:0471DA0000000000B1 +:0471DB0000000000B0 +:0471DC0000000000AF +:0471DD0000000000AE +:0471DE0000000000AD +:0471DF0000000000AC +:0471E00000000000AB +:0471E10000000000AA +:0471E20000000000A9 +:0471E30000000000A8 +:0471E40000000000A7 +:0471E50000000000A6 +:0471E60000000000A5 +:0471E70000000000A4 +:0471E80000000000A3 +:0471E90000000000A2 +:0471EA0000000000A1 +:0471EB0000000000A0 +:0471EC00000000009F +:0471ED00000000009E +:0471EE00000000009D +:0471EF00000000009C +:0471F000000000009B +:0471F100000000009A +:0471F2000000000099 +:0471F3000000000098 +:0471F4000000000097 +:0471F5000000000096 +:0471F6000000000095 +:0471F7000000000094 +:0471F8000000000093 +:0471F9000000000092 +:0471FA000000000091 +:0471FB000000000090 +:0471FC00000000008F +:0471FD00000000008E +:0471FE00000000008D +:0471FF00000000008C +:04720000000000008A +:047201000000000089 +:047202000000000088 +:047203000000000087 +:047204000000000086 +:047205000000000085 +:047206000000000084 +:047207000000000083 +:047208000000000082 +:047209000000000081 +:04720A000000000080 +:04720B00000000007F +:04720C00000000007E +:04720D00000000007D +:04720E00000000007C +:04720F00000000007B +:04721000000000007A +:047211000000000079 +:047212000000000078 +:047213000000000077 +:047214000000000076 +:047215000000000075 +:047216000000000074 +:047217000000000073 +:047218000000000072 +:047219000000000071 +:04721A000000000070 +:04721B00000000006F +:04721C00000000006E +:04721D00000000006D +:04721E00000000006C +:04721F00000000006B +:04722000000000006A +:047221000000000069 +:047222000000000068 +:047223000000000067 +:047224000000000066 +:047225000000000065 +:047226000000000064 +:047227000000000063 +:047228000000000062 +:047229000000000061 +:04722A000000000060 +:04722B00000000005F +:04722C00000000005E +:04722D00000000005D +:04722E00000000005C +:04722F00000000005B +:04723000000000005A +:047231000000000059 +:047232000000000058 +:047233000000000057 +:047234000000000056 +:047235000000000055 +:047236000000000054 +:047237000000000053 +:047238000000000052 +:047239000000000051 +:04723A000000000050 +:04723B00000000004F +:04723C00000000004E +:04723D00000000004D +:04723E00000000004C +:04723F00000000004B +:04724000000000004A +:047241000000000049 +:047242000000000048 +:047243000000000047 +:047244000000000046 +:047245000000000045 +:047246000000000044 +:047247000000000043 +:047248000000000042 +:047249000000000041 +:04724A000000000040 +:04724B00000000003F +:04724C00000000003E +:04724D00000000003D +:04724E00000000003C +:04724F00000000003B +:04725000000000003A +:047251000000000039 +:047252000000000038 +:047253000000000037 +:047254000000000036 +:047255000000000035 +:047256000000000034 +:047257000000000033 +:047258000000000032 +:047259000000000031 +:04725A000000000030 +:04725B00000000002F +:04725C00000000002E +:04725D00000000002D +:04725E00000000002C +:04725F00000000002B +:04726000000000002A +:047261000000000029 +:047262000000000028 +:047263000000000027 +:047264000000000026 +:047265000000000025 +:047266000000000024 +:047267000000000023 +:047268000000000022 +:047269000000000021 +:04726A000000000020 +:04726B00000000001F +:04726C00000000001E +:04726D00000000001D +:04726E00000000001C +:04726F00000000001B +:04727000000000001A +:047271000000000019 +:047272000000000018 +:047273000000000017 +:047274000000000016 +:047275000000000015 +:047276000000000014 +:047277000000000013 +:047278000000000012 +:047279000000000011 +:04727A000000000010 +:04727B00000000000F +:04727C00000000000E +:04727D00000000000D +:04727E00000000000C +:04727F00000000000B +:04728000000000000A +:047281000000000009 +:047282000000000008 +:047283000000000007 +:047284000000000006 +:047285000000000005 +:047286000000000004 +:047287000000000003 +:047288000000000002 +:047289000000000001 +:04728A000000000000 +:04728B0000000000FF +:04728C0000000000FE +:04728D0000000000FD +:04728E0000000000FC +:04728F0000000000FB +:0472900000000000FA +:0472910000000000F9 +:0472920000000000F8 +:0472930000000000F7 +:0472940000000000F6 +:0472950000000000F5 +:0472960000000000F4 +:0472970000000000F3 +:0472980000000000F2 +:0472990000000000F1 +:04729A0000000000F0 +:04729B0000000000EF +:04729C0000000000EE +:04729D0000000000ED +:04729E0000000000EC +:04729F0000000000EB +:0472A00000000000EA +:0472A10000000000E9 +:0472A20000000000E8 +:0472A30000000000E7 +:0472A40000000000E6 +:0472A50000000000E5 +:0472A60000000000E4 +:0472A70000000000E3 +:0472A80000000000E2 +:0472A90000000000E1 +:0472AA0000000000E0 +:0472AB0000000000DF +:0472AC0000000000DE +:0472AD0000000000DD +:0472AE0000000000DC +:0472AF0000000000DB +:0472B00000000000DA +:0472B10000000000D9 +:0472B20000000000D8 +:0472B30000000000D7 +:0472B40000000000D6 +:0472B50000000000D5 +:0472B60000000000D4 +:0472B70000000000D3 +:0472B80000000000D2 +:0472B90000000000D1 +:0472BA0000000000D0 +:0472BB0000000000CF +:0472BC0000000000CE +:0472BD0000000000CD +:0472BE0000000000CC +:0472BF0000000000CB +:0472C00000000000CA +:0472C10000000000C9 +:0472C20000000000C8 +:0472C30000000000C7 +:0472C40000000000C6 +:0472C50000000000C5 +:0472C60000000000C4 +:0472C70000000000C3 +:0472C80000000000C2 +:0472C90000000000C1 +:0472CA0000000000C0 +:0472CB0000000000BF +:0472CC0000000000BE +:0472CD0000000000BD +:0472CE0000000000BC +:0472CF0000000000BB +:0472D00000000000BA +:0472D10000000000B9 +:0472D20000000000B8 +:0472D30000000000B7 +:0472D40000000000B6 +:0472D50000000000B5 +:0472D60000000000B4 +:0472D70000000000B3 +:0472D80000000000B2 +:0472D90000000000B1 +:0472DA0000000000B0 +:0472DB0000000000AF +:0472DC0000000000AE +:0472DD0000000000AD +:0472DE0000000000AC +:0472DF0000000000AB +:0472E00000000000AA +:0472E10000000000A9 +:0472E20000000000A8 +:0472E30000000000A7 +:0472E40000000000A6 +:0472E50000000000A5 +:0472E60000000000A4 +:0472E70000000000A3 +:0472E80000000000A2 +:0472E90000000000A1 +:0472EA0000000000A0 +:0472EB00000000009F +:0472EC00000000009E +:0472ED00000000009D +:0472EE00000000009C +:0472EF00000000009B +:0472F000000000009A +:0472F1000000000099 +:0472F2000000000098 +:0472F3000000000097 +:0472F4000000000096 +:0472F5000000000095 +:0472F6000000000094 +:0472F7000000000093 +:0472F8000000000092 +:0472F9000000000091 +:0472FA000000000090 +:0472FB00000000008F +:0472FC00000000008E +:0472FD00000000008D +:0472FE00000000008C +:0472FF00000000008B +:047300000000000089 +:047301000000000088 +:047302000000000087 +:047303000000000086 +:047304000000000085 +:047305000000000084 +:047306000000000083 +:047307000000000082 +:047308000000000081 +:047309000000000080 +:04730A00000000007F +:04730B00000000007E +:04730C00000000007D +:04730D00000000007C +:04730E00000000007B +:04730F00000000007A +:047310000000000079 +:047311000000000078 +:047312000000000077 +:047313000000000076 +:047314000000000075 +:047315000000000074 +:047316000000000073 +:047317000000000072 +:047318000000000071 +:047319000000000070 +:04731A00000000006F +:04731B00000000006E +:04731C00000000006D +:04731D00000000006C +:04731E00000000006B +:04731F00000000006A +:047320000000000069 +:047321000000000068 +:047322000000000067 +:047323000000000066 +:047324000000000065 +:047325000000000064 +:047326000000000063 +:047327000000000062 +:047328000000000061 +:047329000000000060 +:04732A00000000005F +:04732B00000000005E +:04732C00000000005D +:04732D00000000005C +:04732E00000000005B +:04732F00000000005A +:047330000000000059 +:047331000000000058 +:047332000000000057 +:047333000000000056 +:047334000000000055 +:047335000000000054 +:047336000000000053 +:047337000000000052 +:047338000000000051 +:047339000000000050 +:04733A00000000004F +:04733B00000000004E +:04733C00000000004D +:04733D00000000004C +:04733E00000000004B +:04733F00000000004A +:047340000000000049 +:047341000000000048 +:047342000000000047 +:047343000000000046 +:047344000000000045 +:047345000000000044 +:047346000000000043 +:047347000000000042 +:047348000000000041 +:047349000000000040 +:04734A00000000003F +:04734B00000000003E +:04734C00000000003D +:04734D00000000003C +:04734E00000000003B +:04734F00000000003A +:047350000000000039 +:047351000000000038 +:047352000000000037 +:047353000000000036 +:047354000000000035 +:047355000000000034 +:047356000000000033 +:047357000000000032 +:047358000000000031 +:047359000000000030 +:04735A00000000002F +:04735B00000000002E +:04735C00000000002D +:04735D00000000002C +:04735E00000000002B +:04735F00000000002A +:047360000000000029 +:047361000000000028 +:047362000000000027 +:047363000000000026 +:047364000000000025 +:047365000000000024 +:047366000000000023 +:047367000000000022 +:047368000000000021 +:047369000000000020 +:04736A00000000001F +:04736B00000000001E +:04736C00000000001D +:04736D00000000001C +:04736E00000000001B +:04736F00000000001A +:047370000000000019 +:047371000000000018 +:047372000000000017 +:047373000000000016 +:047374000000000015 +:047375000000000014 +:047376000000000013 +:047377000000000012 +:047378000000000011 +:047379000000000010 +:04737A00000000000F +:04737B00000000000E +:04737C00000000000D +:04737D00000000000C +:04737E00000000000B +:04737F00000000000A +:047380000000000009 +:047381000000000008 +:047382000000000007 +:047383000000000006 +:047384000000000005 +:047385000000000004 +:047386000000000003 +:047387000000000002 +:047388000000000001 +:047389000000000000 +:04738A0000000000FF +:04738B0000000000FE +:04738C0000000000FD +:04738D0000000000FC +:04738E0000000000FB +:04738F0000000000FA +:0473900000000000F9 +:0473910000000000F8 +:0473920000000000F7 +:0473930000000000F6 +:0473940000000000F5 +:0473950000000000F4 +:0473960000000000F3 +:0473970000000000F2 +:0473980000000000F1 +:0473990000000000F0 +:04739A0000000000EF +:04739B0000000000EE +:04739C0000000000ED +:04739D0000000000EC +:04739E0000000000EB +:04739F0000000000EA +:0473A00000000000E9 +:0473A10000000000E8 +:0473A20000000000E7 +:0473A30000000000E6 +:0473A40000000000E5 +:0473A50000000000E4 +:0473A60000000000E3 +:0473A70000000000E2 +:0473A80000000000E1 +:0473A90000000000E0 +:0473AA0000000000DF +:0473AB0000000000DE +:0473AC0000000000DD +:0473AD0000000000DC +:0473AE0000000000DB +:0473AF0000000000DA +:0473B00000000000D9 +:0473B10000000000D8 +:0473B20000000000D7 +:0473B30000000000D6 +:0473B40000000000D5 +:0473B50000000000D4 +:0473B60000000000D3 +:0473B70000000000D2 +:0473B80000000000D1 +:0473B90000000000D0 +:0473BA0000000000CF +:0473BB0000000000CE +:0473BC0000000000CD +:0473BD0000000000CC +:0473BE0000000000CB +:0473BF0000000000CA +:0473C00000000000C9 +:0473C10000000000C8 +:0473C20000000000C7 +:0473C30000000000C6 +:0473C40000000000C5 +:0473C50000000000C4 +:0473C60000000000C3 +:0473C70000000000C2 +:0473C80000000000C1 +:0473C90000000000C0 +:0473CA0000000000BF +:0473CB0000000000BE +:0473CC0000000000BD +:0473CD0000000000BC +:0473CE0000000000BB +:0473CF0000000000BA +:0473D00000000000B9 +:0473D10000000000B8 +:0473D20000000000B7 +:0473D30000000000B6 +:0473D40000000000B5 +:0473D50000000000B4 +:0473D60000000000B3 +:0473D70000000000B2 +:0473D80000000000B1 +:0473D90000000000B0 +:0473DA0000000000AF +:0473DB0000000000AE +:0473DC0000000000AD +:0473DD0000000000AC +:0473DE0000000000AB +:0473DF0000000000AA +:0473E00000000000A9 +:0473E10000000000A8 +:0473E20000000000A7 +:0473E30000000000A6 +:0473E40000000000A5 +:0473E50000000000A4 +:0473E60000000000A3 +:0473E70000000000A2 +:0473E80000000000A1 +:0473E90000000000A0 +:0473EA00000000009F +:0473EB00000000009E +:0473EC00000000009D +:0473ED00000000009C +:0473EE00000000009B +:0473EF00000000009A +:0473F0000000000099 +:0473F1000000000098 +:0473F2000000000097 +:0473F3000000000096 +:0473F4000000000095 +:0473F5000000000094 +:0473F6000000000093 +:0473F7000000000092 +:0473F8000000000091 +:0473F9000000000090 +:0473FA00000000008F +:0473FB00000000008E +:0473FC00000000008D +:0473FD00000000008C +:0473FE00000000008B +:0473FF00000000008A +:047400000000000088 +:047401000000000087 +:047402000000000086 +:047403000000000085 +:047404000000000084 +:047405000000000083 +:047406000000000082 +:047407000000000081 +:047408000000000080 +:04740900000000007F +:04740A00000000007E +:04740B00000000007D +:04740C00000000007C +:04740D00000000007B +:04740E00000000007A +:04740F000000000079 +:047410000000000078 +:047411000000000077 +:047412000000000076 +:047413000000000075 +:047414000000000074 +:047415000000000073 +:047416000000000072 +:047417000000000071 +:047418000000000070 +:04741900000000006F +:04741A00000000006E +:04741B00000000006D +:04741C00000000006C +:04741D00000000006B +:04741E00000000006A +:04741F000000000069 +:047420000000000068 +:047421000000000067 +:047422000000000066 +:047423000000000065 +:047424000000000064 +:047425000000000063 +:047426000000000062 +:047427000000000061 +:047428000000000060 +:04742900000000005F +:04742A00000000005E +:04742B00000000005D +:04742C00000000005C +:04742D00000000005B +:04742E00000000005A +:04742F000000000059 +:047430000000000058 +:047431000000000057 +:047432000000000056 +:047433000000000055 +:047434000000000054 +:047435000000000053 +:047436000000000052 +:047437000000000051 +:047438000000000050 +:04743900000000004F +:04743A00000000004E +:04743B00000000004D +:04743C00000000004C +:04743D00000000004B +:04743E00000000004A +:04743F000000000049 +:047440000000000048 +:047441000000000047 +:047442000000000046 +:047443000000000045 +:047444000000000044 +:047445000000000043 +:047446000000000042 +:047447000000000041 +:047448000000000040 +:04744900000000003F +:04744A00000000003E +:04744B00000000003D +:04744C00000000003C +:04744D00000000003B +:04744E00000000003A +:04744F000000000039 +:047450000000000038 +:047451000000000037 +:047452000000000036 +:047453000000000035 +:047454000000000034 +:047455000000000033 +:047456000000000032 +:047457000000000031 +:047458000000000030 +:04745900000000002F +:04745A00000000002E +:04745B00000000002D +:04745C00000000002C +:04745D00000000002B +:04745E00000000002A +:04745F000000000029 +:047460000000000028 +:047461000000000027 +:047462000000000026 +:047463000000000025 +:047464000000000024 +:047465000000000023 +:047466000000000022 +:047467000000000021 +:047468000000000020 +:04746900000000001F +:04746A00000000001E +:04746B00000000001D +:04746C00000000001C +:04746D00000000001B +:04746E00000000001A +:04746F000000000019 +:047470000000000018 +:047471000000000017 +:047472000000000016 +:047473000000000015 +:047474000000000014 +:047475000000000013 +:047476000000000012 +:047477000000000011 +:047478000000000010 +:04747900000000000F +:04747A00000000000E +:04747B00000000000D +:04747C00000000000C +:04747D00000000000B +:04747E00000000000A +:04747F000000000009 +:047480000000000008 +:047481000000000007 +:047482000000000006 +:047483000000000005 +:047484000000000004 +:047485000000000003 +:047486000000000002 +:047487000000000001 +:047488000000000000 +:0474890000000000FF +:04748A0000000000FE +:04748B0000000000FD +:04748C0000000000FC +:04748D0000000000FB +:04748E0000000000FA +:04748F0000000000F9 +:0474900000000000F8 +:0474910000000000F7 +:0474920000000000F6 +:0474930000000000F5 +:0474940000000000F4 +:0474950000000000F3 +:0474960000000000F2 +:0474970000000000F1 +:0474980000000000F0 +:0474990000000000EF +:04749A0000000000EE +:04749B0000000000ED +:04749C0000000000EC +:04749D0000000000EB +:04749E0000000000EA +:04749F0000000000E9 +:0474A00000000000E8 +:0474A10000000000E7 +:0474A20000000000E6 +:0474A30000000000E5 +:0474A40000000000E4 +:0474A50000000000E3 +:0474A60000000000E2 +:0474A70000000000E1 +:0474A80000000000E0 +:0474A90000000000DF +:0474AA0000000000DE +:0474AB0000000000DD +:0474AC0000000000DC +:0474AD0000000000DB +:0474AE0000000000DA +:0474AF0000000000D9 +:0474B00000000000D8 +:0474B10000000000D7 +:0474B20000000000D6 +:0474B30000000000D5 +:0474B40000000000D4 +:0474B50000000000D3 +:0474B60000000000D2 +:0474B70000000000D1 +:0474B80000000000D0 +:0474B90000000000CF +:0474BA0000000000CE +:0474BB0000000000CD +:0474BC0000000000CC +:0474BD0000000000CB +:0474BE0000000000CA +:0474BF0000000000C9 +:0474C00000000000C8 +:0474C10000000000C7 +:0474C20000000000C6 +:0474C30000000000C5 +:0474C40000000000C4 +:0474C50000000000C3 +:0474C60000000000C2 +:0474C70000000000C1 +:0474C80000000000C0 +:0474C90000000000BF +:0474CA0000000000BE +:0474CB0000000000BD +:0474CC0000000000BC +:0474CD0000000000BB +:0474CE0000000000BA +:0474CF0000000000B9 +:0474D00000000000B8 +:0474D10000000000B7 +:0474D20000000000B6 +:0474D30000000000B5 +:0474D40000000000B4 +:0474D50000000000B3 +:0474D60000000000B2 +:0474D70000000000B1 +:0474D80000000000B0 +:0474D90000000000AF +:0474DA0000000000AE +:0474DB0000000000AD +:0474DC0000000000AC +:0474DD0000000000AB +:0474DE0000000000AA +:0474DF0000000000A9 +:0474E00000000000A8 +:0474E10000000000A7 +:0474E20000000000A6 +:0474E30000000000A5 +:0474E40000000000A4 +:0474E50000000000A3 +:0474E60000000000A2 +:0474E70000000000A1 +:0474E80000000000A0 +:0474E900000000009F +:0474EA00000000009E +:0474EB00000000009D +:0474EC00000000009C +:0474ED00000000009B +:0474EE00000000009A +:0474EF000000000099 +:0474F0000000000098 +:0474F1000000000097 +:0474F2000000000096 +:0474F3000000000095 +:0474F4000000000094 +:0474F5000000000093 +:0474F6000000000092 +:0474F7000000000091 +:0474F8000000000090 +:0474F900000000008F +:0474FA00000000008E +:0474FB00000000008D +:0474FC00000000008C +:0474FD00000000008B +:0474FE00000000008A +:0474FF000000000089 +:047500000000000087 +:047501000000000086 +:047502000000000085 +:047503000000000084 +:047504000000000083 +:047505000000000082 +:047506000000000081 +:047507000000000080 +:04750800000000007F +:04750900000000007E +:04750A00000000007D +:04750B00000000007C +:04750C00000000007B +:04750D00000000007A +:04750E000000000079 +:04750F000000000078 +:047510000000000077 +:047511000000000076 +:047512000000000075 +:047513000000000074 +:047514000000000073 +:047515000000000072 +:047516000000000071 +:047517000000000070 +:04751800000000006F +:04751900000000006E +:04751A00000000006D +:04751B00000000006C +:04751C00000000006B +:04751D00000000006A +:04751E000000000069 +:04751F000000000068 +:047520000000000067 +:047521000000000066 +:047522000000000065 +:047523000000000064 +:047524000000000063 +:047525000000000062 +:047526000000000061 +:047527000000000060 +:04752800000000005F +:04752900000000005E +:04752A00000000005D +:04752B00000000005C +:04752C00000000005B +:04752D00000000005A +:04752E000000000059 +:04752F000000000058 +:047530000000000057 +:047531000000000056 +:047532000000000055 +:047533000000000054 +:047534000000000053 +:047535000000000052 +:047536000000000051 +:047537000000000050 +:04753800000000004F +:04753900000000004E +:04753A00000000004D +:04753B00000000004C +:04753C00000000004B +:04753D00000000004A +:04753E000000000049 +:04753F000000000048 +:047540000000000047 +:047541000000000046 +:047542000000000045 +:047543000000000044 +:047544000000000043 +:047545000000000042 +:047546000000000041 +:047547000000000040 +:04754800000000003F +:04754900000000003E +:04754A00000000003D +:04754B00000000003C +:04754C00000000003B +:04754D00000000003A +:04754E000000000039 +:04754F000000000038 +:047550000000000037 +:047551000000000036 +:047552000000000035 +:047553000000000034 +:047554000000000033 +:047555000000000032 +:047556000000000031 +:047557000000000030 +:04755800000000002F +:04755900000000002E +:04755A00000000002D +:04755B00000000002C +:04755C00000000002B +:04755D00000000002A +:04755E000000000029 +:04755F000000000028 +:047560000000000027 +:047561000000000026 +:047562000000000025 +:047563000000000024 +:047564000000000023 +:047565000000000022 +:047566000000000021 +:047567000000000020 +:04756800000000001F +:04756900000000001E +:04756A00000000001D +:04756B00000000001C +:04756C00000000001B +:04756D00000000001A +:04756E000000000019 +:04756F000000000018 +:047570000000000017 +:047571000000000016 +:047572000000000015 +:047573000000000014 +:047574000000000013 +:047575000000000012 +:047576000000000011 +:047577000000000010 +:04757800000000000F +:04757900000000000E +:04757A00000000000D +:04757B00000000000C +:04757C00000000000B +:04757D00000000000A +:04757E000000000009 +:04757F000000000008 +:047580000000000007 +:047581000000000006 +:047582000000000005 +:047583000000000004 +:047584000000000003 +:047585000000000002 +:047586000000000001 +:047587000000000000 +:0475880000000000FF +:0475890000000000FE +:04758A0000000000FD +:04758B0000000000FC +:04758C0000000000FB +:04758D0000000000FA +:04758E0000000000F9 +:04758F0000000000F8 +:0475900000000000F7 +:0475910000000000F6 +:0475920000000000F5 +:0475930000000000F4 +:0475940000000000F3 +:0475950000000000F2 +:0475960000000000F1 +:0475970000000000F0 +:0475980000000000EF +:0475990000000000EE +:04759A0000000000ED +:04759B0000000000EC +:04759C0000000000EB +:04759D0000000000EA +:04759E0000000000E9 +:04759F0000000000E8 +:0475A00000000000E7 +:0475A10000000000E6 +:0475A20000000000E5 +:0475A30000000000E4 +:0475A40000000000E3 +:0475A50000000000E2 +:0475A60000000000E1 +:0475A70000000000E0 +:0475A80000000000DF +:0475A90000000000DE +:0475AA0000000000DD +:0475AB0000000000DC +:0475AC0000000000DB +:0475AD0000000000DA +:0475AE0000000000D9 +:0475AF0000000000D8 +:0475B00000000000D7 +:0475B10000000000D6 +:0475B20000000000D5 +:0475B30000000000D4 +:0475B40000000000D3 +:0475B50000000000D2 +:0475B60000000000D1 +:0475B70000000000D0 +:0475B80000000000CF +:0475B90000000000CE +:0475BA0000000000CD +:0475BB0000000000CC +:0475BC0000000000CB +:0475BD0000000000CA +:0475BE0000000000C9 +:0475BF0000000000C8 +:0475C00000000000C7 +:0475C10000000000C6 +:0475C20000000000C5 +:0475C30000000000C4 +:0475C40000000000C3 +:0475C50000000000C2 +:0475C60000000000C1 +:0475C70000000000C0 +:0475C80000000000BF +:0475C90000000000BE +:0475CA0000000000BD +:0475CB0000000000BC +:0475CC0000000000BB +:0475CD0000000000BA +:0475CE0000000000B9 +:0475CF0000000000B8 +:0475D00000000000B7 +:0475D10000000000B6 +:0475D20000000000B5 +:0475D30000000000B4 +:0475D40000000000B3 +:0475D50000000000B2 +:0475D60000000000B1 +:0475D70000000000B0 +:0475D80000000000AF +:0475D90000000000AE +:0475DA0000000000AD +:0475DB0000000000AC +:0475DC0000000000AB +:0475DD0000000000AA +:0475DE0000000000A9 +:0475DF0000000000A8 +:0475E00000000000A7 +:0475E10000000000A6 +:0475E20000000000A5 +:0475E30000000000A4 +:0475E40000000000A3 +:0475E50000000000A2 +:0475E60000000000A1 +:0475E70000000000A0 +:0475E800000000009F +:0475E900000000009E +:0475EA00000000009D +:0475EB00000000009C +:0475EC00000000009B +:0475ED00000000009A +:0475EE000000000099 +:0475EF000000000098 +:0475F0000000000097 +:0475F1000000000096 +:0475F2000000000095 +:0475F3000000000094 +:0475F4000000000093 +:0475F5000000000092 +:0475F6000000000091 +:0475F7000000000090 +:0475F800000000008F +:0475F900000000008E +:0475FA00000000008D +:0475FB00000000008C +:0475FC00000000008B +:0475FD00000000008A +:0475FE000000000089 +:0475FF000000000088 +:047600000000000086 +:047601000000000085 +:047602000000000084 +:047603000000000083 +:047604000000000082 +:047605000000000081 +:047606000000000080 +:04760700000000007F +:04760800000000007E +:04760900000000007D +:04760A00000000007C +:04760B00000000007B +:04760C00000000007A +:04760D000000000079 +:04760E000000000078 +:04760F000000000077 +:047610000000000076 +:047611000000000075 +:047612000000000074 +:047613000000000073 +:047614000000000072 +:047615000000000071 +:047616000000000070 +:04761700000000006F +:04761800000000006E +:04761900000000006D +:04761A00000000006C +:04761B00000000006B +:04761C00000000006A +:04761D000000000069 +:04761E000000000068 +:04761F000000000067 +:047620000000000066 +:047621000000000065 +:047622000000000064 +:047623000000000063 +:047624000000000062 +:047625000000000061 +:047626000000000060 +:04762700000000005F +:04762800000000005E +:04762900000000005D +:04762A00000000005C +:04762B00000000005B +:04762C00000000005A +:04762D000000000059 +:04762E000000000058 +:04762F000000000057 +:047630000000000056 +:047631000000000055 +:047632000000000054 +:047633000000000053 +:047634000000000052 +:047635000000000051 +:047636000000000050 +:04763700000000004F +:04763800000000004E +:04763900000000004D +:04763A00000000004C +:04763B00000000004B +:04763C00000000004A +:04763D000000000049 +:04763E000000000048 +:04763F000000000047 +:047640000000000046 +:047641000000000045 +:047642000000000044 +:047643000000000043 +:047644000000000042 +:047645000000000041 +:047646000000000040 +:04764700000000003F +:04764800000000003E +:04764900000000003D +:04764A00000000003C +:04764B00000000003B +:04764C00000000003A +:04764D000000000039 +:04764E000000000038 +:04764F000000000037 +:047650000000000036 +:047651000000000035 +:047652000000000034 +:047653000000000033 +:047654000000000032 +:047655000000000031 +:047656000000000030 +:04765700000000002F +:04765800000000002E +:04765900000000002D +:04765A00000000002C +:04765B00000000002B +:04765C00000000002A +:04765D000000000029 +:04765E000000000028 +:04765F000000000027 +:047660000000000026 +:047661000000000025 +:047662000000000024 +:047663000000000023 +:047664000000000022 +:047665000000000021 +:047666000000000020 +:04766700000000001F +:04766800000000001E +:04766900000000001D +:04766A00000000001C +:04766B00000000001B +:04766C00000000001A +:04766D000000000019 +:04766E000000000018 +:04766F000000000017 +:047670000000000016 +:047671000000000015 +:047672000000000014 +:047673000000000013 +:047674000000000012 +:047675000000000011 +:047676000000000010 +:04767700000000000F +:04767800000000000E +:04767900000000000D +:04767A00000000000C +:04767B00000000000B +:04767C00000000000A +:04767D000000000009 +:04767E000000000008 +:04767F000000000007 +:047680000000000006 +:047681000000000005 +:047682000000000004 +:047683000000000003 +:047684000000000002 +:047685000000000001 +:047686000000000000 +:0476870000000000FF +:0476880000000000FE +:0476890000000000FD +:04768A0000000000FC +:04768B0000000000FB +:04768C0000000000FA +:04768D0000000000F9 +:04768E0000000000F8 +:04768F0000000000F7 +:0476900000000000F6 +:0476910000000000F5 +:0476920000000000F4 +:0476930000000000F3 +:0476940000000000F2 +:0476950000000000F1 +:0476960000000000F0 +:0476970000000000EF +:0476980000000000EE +:0476990000000000ED +:04769A0000000000EC +:04769B0000000000EB +:04769C0000000000EA +:04769D0000000000E9 +:04769E0000000000E8 +:04769F0000000000E7 +:0476A00000000000E6 +:0476A10000000000E5 +:0476A20000000000E4 +:0476A30000000000E3 +:0476A40000000000E2 +:0476A50000000000E1 +:0476A60000000000E0 +:0476A70000000000DF +:0476A80000000000DE +:0476A90000000000DD +:0476AA0000000000DC +:0476AB0000000000DB +:0476AC0000000000DA +:0476AD0000000000D9 +:0476AE0000000000D8 +:0476AF0000000000D7 +:0476B00000000000D6 +:0476B10000000000D5 +:0476B20000000000D4 +:0476B30000000000D3 +:0476B40000000000D2 +:0476B50000000000D1 +:0476B60000000000D0 +:0476B70000000000CF +:0476B80000000000CE +:0476B90000000000CD +:0476BA0000000000CC +:0476BB0000000000CB +:0476BC0000000000CA +:0476BD0000000000C9 +:0476BE0000000000C8 +:0476BF0000000000C7 +:0476C00000000000C6 +:0476C10000000000C5 +:0476C20000000000C4 +:0476C30000000000C3 +:0476C40000000000C2 +:0476C50000000000C1 +:0476C60000000000C0 +:0476C70000000000BF +:0476C80000000000BE +:0476C90000000000BD +:0476CA0000000000BC +:0476CB0000000000BB +:0476CC0000000000BA +:0476CD0000000000B9 +:0476CE0000000000B8 +:0476CF0000000000B7 +:0476D00000000000B6 +:0476D10000000000B5 +:0476D20000000000B4 +:0476D30000000000B3 +:0476D40000000000B2 +:0476D50000000000B1 +:0476D60000000000B0 +:0476D70000000000AF +:0476D80000000000AE +:0476D90000000000AD +:0476DA0000000000AC +:0476DB0000000000AB +:0476DC0000000000AA +:0476DD0000000000A9 +:0476DE0000000000A8 +:0476DF0000000000A7 +:0476E00000000000A6 +:0476E10000000000A5 +:0476E20000000000A4 +:0476E30000000000A3 +:0476E40000000000A2 +:0476E50000000000A1 +:0476E60000000000A0 +:0476E700000000009F +:0476E800000000009E +:0476E900000000009D +:0476EA00000000009C +:0476EB00000000009B +:0476EC00000000009A +:0476ED000000000099 +:0476EE000000000098 +:0476EF000000000097 +:0476F0000000000096 +:0476F1000000000095 +:0476F2000000000094 +:0476F3000000000093 +:0476F4000000000092 +:0476F5000000000091 +:0476F6000000000090 +:0476F700000000008F +:0476F800000000008E +:0476F900000000008D +:0476FA00000000008C +:0476FB00000000008B +:0476FC00000000008A +:0476FD000000000089 +:0476FE000000000088 +:0476FF000000000087 +:047700000000000085 +:047701000000000084 +:047702000000000083 +:047703000000000082 +:047704000000000081 +:047705000000000080 +:04770600000000007F +:04770700000000007E +:04770800000000007D +:04770900000000007C +:04770A00000000007B +:04770B00000000007A +:04770C000000000079 +:04770D000000000078 +:04770E000000000077 +:04770F000000000076 +:047710000000000075 +:047711000000000074 +:047712000000000073 +:047713000000000072 +:047714000000000071 +:047715000000000070 +:04771600000000006F +:04771700000000006E +:04771800000000006D +:04771900000000006C +:04771A00000000006B +:04771B00000000006A +:04771C000000000069 +:04771D000000000068 +:04771E000000000067 +:04771F000000000066 +:047720000000000065 +:047721000000000064 +:047722000000000063 +:047723000000000062 +:047724000000000061 +:047725000000000060 +:04772600000000005F +:04772700000000005E +:04772800000000005D +:04772900000000005C +:04772A00000000005B +:04772B00000000005A +:04772C000000000059 +:04772D000000000058 +:04772E000000000057 +:04772F000000000056 +:047730000000000055 +:047731000000000054 +:047732000000000053 +:047733000000000052 +:047734000000000051 +:047735000000000050 +:04773600000000004F +:04773700000000004E +:04773800000000004D +:04773900000000004C +:04773A00000000004B +:04773B00000000004A +:04773C000000000049 +:04773D000000000048 +:04773E000000000047 +:04773F000000000046 +:047740000000000045 +:047741000000000044 +:047742000000000043 +:047743000000000042 +:047744000000000041 +:047745000000000040 +:04774600000000003F +:04774700000000003E +:04774800000000003D +:04774900000000003C +:04774A00000000003B +:04774B00000000003A +:04774C000000000039 +:04774D000000000038 +:04774E000000000037 +:04774F000000000036 +:047750000000000035 +:047751000000000034 +:047752000000000033 +:047753000000000032 +:047754000000000031 +:047755000000000030 +:04775600000000002F +:04775700000000002E +:04775800000000002D +:04775900000000002C +:04775A00000000002B +:04775B00000000002A +:04775C000000000029 +:04775D000000000028 +:04775E000000000027 +:04775F000000000026 +:047760000000000025 +:047761000000000024 +:047762000000000023 +:047763000000000022 +:047764000000000021 +:047765000000000020 +:04776600000000001F +:04776700000000001E +:04776800000000001D +:04776900000000001C +:04776A00000000001B +:04776B00000000001A +:04776C000000000019 +:04776D000000000018 +:04776E000000000017 +:04776F000000000016 +:047770000000000015 +:047771000000000014 +:047772000000000013 +:047773000000000012 +:047774000000000011 +:047775000000000010 +:04777600000000000F +:04777700000000000E +:04777800000000000D +:04777900000000000C +:04777A00000000000B +:04777B00000000000A +:04777C000000000009 +:04777D000000000008 +:04777E000000000007 +:04777F000000000006 +:047780000000000005 +:047781000000000004 +:047782000000000003 +:047783000000000002 +:047784000000000001 +:047785000000000000 +:0477860000000000FF +:0477870000000000FE +:0477880000000000FD +:0477890000000000FC +:04778A0000000000FB +:04778B0000000000FA +:04778C0000000000F9 +:04778D0000000000F8 +:04778E0000000000F7 +:04778F0000000000F6 +:0477900000000000F5 +:0477910000000000F4 +:0477920000000000F3 +:0477930000000000F2 +:0477940000000000F1 +:0477950000000000F0 +:0477960000000000EF +:0477970000000000EE +:0477980000000000ED +:0477990000000000EC +:04779A0000000000EB +:04779B0000000000EA +:04779C0000000000E9 +:04779D0000000000E8 +:04779E0000000000E7 +:04779F0000000000E6 +:0477A00000000000E5 +:0477A10000000000E4 +:0477A20000000000E3 +:0477A30000000000E2 +:0477A40000000000E1 +:0477A50000000000E0 +:0477A60000000000DF +:0477A70000000000DE +:0477A80000000000DD +:0477A90000000000DC +:0477AA0000000000DB +:0477AB0000000000DA +:0477AC0000000000D9 +:0477AD0000000000D8 +:0477AE0000000000D7 +:0477AF0000000000D6 +:0477B00000000000D5 +:0477B10000000000D4 +:0477B20000000000D3 +:0477B30000000000D2 +:0477B40000000000D1 +:0477B50000000000D0 +:0477B60000000000CF +:0477B70000000000CE +:0477B80000000000CD +:0477B90000000000CC +:0477BA0000000000CB +:0477BB0000000000CA +:0477BC0000000000C9 +:0477BD0000000000C8 +:0477BE0000000000C7 +:0477BF0000000000C6 +:0477C00000000000C5 +:0477C10000000000C4 +:0477C20000000000C3 +:0477C30000000000C2 +:0477C40000000000C1 +:0477C50000000000C0 +:0477C60000000000BF +:0477C70000000000BE +:0477C80000000000BD +:0477C90000000000BC +:0477CA0000000000BB +:0477CB0000000000BA +:0477CC0000000000B9 +:0477CD0000000000B8 +:0477CE0000000000B7 +:0477CF0000000000B6 +:0477D00000000000B5 +:0477D10000000000B4 +:0477D20000000000B3 +:0477D30000000000B2 +:0477D40000000000B1 +:0477D50000000000B0 +:0477D60000000000AF +:0477D70000000000AE +:0477D80000000000AD +:0477D90000000000AC +:0477DA0000000000AB +:0477DB0000000000AA +:0477DC0000000000A9 +:0477DD0000000000A8 +:0477DE0000000000A7 +:0477DF0000000000A6 +:0477E00000000000A5 +:0477E10000000000A4 +:0477E20000000000A3 +:0477E30000000000A2 +:0477E40000000000A1 +:0477E50000000000A0 +:0477E600000000009F +:0477E700000000009E +:0477E800000000009D +:0477E900000000009C +:0477EA00000000009B +:0477EB00000000009A +:0477EC000000000099 +:0477ED000000000098 +:0477EE000000000097 +:0477EF000000000096 +:0477F0000000000095 +:0477F1000000000094 +:0477F2000000000093 +:0477F3000000000092 +:0477F4000000000091 +:0477F5000000000090 +:0477F600000000008F +:0477F700000000008E +:0477F800000000008D +:0477F900000000008C +:0477FA00000000008B +:0477FB00000000008A +:0477FC000000000089 +:0477FD000000000088 +:0477FE000000000087 +:0477FF000000000086 +:047800000000000084 +:047801000000000083 +:047802000000000082 +:047803000000000081 +:047804000000000080 +:04780500000000007F +:04780600000000007E +:04780700000000007D +:04780800000000007C +:04780900000000007B +:04780A00000000007A +:04780B000000000079 +:04780C000000000078 +:04780D000000000077 +:04780E000000000076 +:04780F000000000075 +:047810000000000074 +:047811000000000073 +:047812000000000072 +:047813000000000071 +:047814000000000070 +:04781500000000006F +:04781600000000006E +:04781700000000006D +:04781800000000006C +:04781900000000006B +:04781A00000000006A +:04781B000000000069 +:04781C000000000068 +:04781D000000000067 +:04781E000000000066 +:04781F000000000065 +:047820000000000064 +:047821000000000063 +:047822000000000062 +:047823000000000061 +:047824000000000060 +:04782500000000005F +:04782600000000005E +:04782700000000005D +:04782800000000005C +:04782900000000005B +:04782A00000000005A +:04782B000000000059 +:04782C000000000058 +:04782D000000000057 +:04782E000000000056 +:04782F000000000055 +:047830000000000054 +:047831000000000053 +:047832000000000052 +:047833000000000051 +:047834000000000050 +:04783500000000004F +:04783600000000004E +:04783700000000004D +:04783800000000004C +:04783900000000004B +:04783A00000000004A +:04783B000000000049 +:04783C000000000048 +:04783D000000000047 +:04783E000000000046 +:04783F000000000045 +:047840000000000044 +:047841000000000043 +:047842000000000042 +:047843000000000041 +:047844000000000040 +:04784500000000003F +:04784600000000003E +:04784700000000003D +:04784800000000003C +:04784900000000003B +:04784A00000000003A +:04784B000000000039 +:04784C000000000038 +:04784D000000000037 +:04784E000000000036 +:04784F000000000035 +:047850000000000034 +:047851000000000033 +:047852000000000032 +:047853000000000031 +:047854000000000030 +:04785500000000002F +:04785600000000002E +:04785700000000002D +:04785800000000002C +:04785900000000002B +:04785A00000000002A +:04785B000000000029 +:04785C000000000028 +:04785D000000000027 +:04785E000000000026 +:04785F000000000025 +:047860000000000024 +:047861000000000023 +:047862000000000022 +:047863000000000021 +:047864000000000020 +:04786500000000001F +:04786600000000001E +:04786700000000001D +:04786800000000001C +:04786900000000001B +:04786A00000000001A +:04786B000000000019 +:04786C000000000018 +:04786D000000000017 +:04786E000000000016 +:04786F000000000015 +:047870000000000014 +:047871000000000013 +:047872000000000012 +:047873000000000011 +:047874000000000010 +:04787500000000000F +:04787600000000000E +:04787700000000000D +:04787800000000000C +:04787900000000000B +:04787A00000000000A +:04787B000000000009 +:04787C000000000008 +:04787D000000000007 +:04787E000000000006 +:04787F000000000005 +:047880000000000004 +:047881000000000003 +:047882000000000002 +:047883000000000001 +:047884000000000000 +:0478850000000000FF +:0478860000000000FE +:0478870000000000FD +:0478880000000000FC +:0478890000000000FB +:04788A0000000000FA +:04788B0000000000F9 +:04788C0000000000F8 +:04788D0000000000F7 +:04788E0000000000F6 +:04788F0000000000F5 +:0478900000000000F4 +:0478910000000000F3 +:0478920000000000F2 +:0478930000000000F1 +:0478940000000000F0 +:0478950000000000EF +:0478960000000000EE +:0478970000000000ED +:0478980000000000EC +:0478990000000000EB +:04789A0000000000EA +:04789B0000000000E9 +:04789C0000000000E8 +:04789D0000000000E7 +:04789E0000000000E6 +:04789F0000000000E5 +:0478A00000000000E4 +:0478A10000000000E3 +:0478A20000000000E2 +:0478A30000000000E1 +:0478A40000000000E0 +:0478A50000000000DF +:0478A60000000000DE +:0478A70000000000DD +:0478A80000000000DC +:0478A90000000000DB +:0478AA0000000000DA +:0478AB0000000000D9 +:0478AC0000000000D8 +:0478AD0000000000D7 +:0478AE0000000000D6 +:0478AF0000000000D5 +:0478B00000000000D4 +:0478B10000000000D3 +:0478B20000000000D2 +:0478B30000000000D1 +:0478B40000000000D0 +:0478B50000000000CF +:0478B60000000000CE +:0478B70000000000CD +:0478B80000000000CC +:0478B90000000000CB +:0478BA0000000000CA +:0478BB0000000000C9 +:0478BC0000000000C8 +:0478BD0000000000C7 +:0478BE0000000000C6 +:0478BF0000000000C5 +:0478C00000000000C4 +:0478C10000000000C3 +:0478C20000000000C2 +:0478C30000000000C1 +:0478C40000000000C0 +:0478C50000000000BF +:0478C60000000000BE +:0478C70000000000BD +:0478C80000000000BC +:0478C90000000000BB +:0478CA0000000000BA +:0478CB0000000000B9 +:0478CC0000000000B8 +:0478CD0000000000B7 +:0478CE0000000000B6 +:0478CF0000000000B5 +:0478D00000000000B4 +:0478D10000000000B3 +:0478D20000000000B2 +:0478D30000000000B1 +:0478D40000000000B0 +:0478D50000000000AF +:0478D60000000000AE +:0478D70000000000AD +:0478D80000000000AC +:0478D90000000000AB +:0478DA0000000000AA +:0478DB0000000000A9 +:0478DC0000000000A8 +:0478DD0000000000A7 +:0478DE0000000000A6 +:0478DF0000000000A5 +:0478E00000000000A4 +:0478E10000000000A3 +:0478E20000000000A2 +:0478E30000000000A1 +:0478E40000000000A0 +:0478E500000000009F +:0478E600000000009E +:0478E700000000009D +:0478E800000000009C +:0478E900000000009B +:0478EA00000000009A +:0478EB000000000099 +:0478EC000000000098 +:0478ED000000000097 +:0478EE000000000096 +:0478EF000000000095 +:0478F0000000000094 +:0478F1000000000093 +:0478F2000000000092 +:0478F3000000000091 +:0478F4000000000090 +:0478F500000000008F +:0478F600000000008E +:0478F700000000008D +:0478F800000000008C +:0478F900000000008B +:0478FA00000000008A +:0478FB000000000089 +:0478FC000000000088 +:0478FD000000000087 +:0478FE000000000086 +:0478FF000000000085 +:047900000000000083 +:047901000000000082 +:047902000000000081 +:047903000000000080 +:04790400000000007F +:04790500000000007E +:04790600000000007D +:04790700000000007C +:04790800000000007B +:04790900000000007A +:04790A000000000079 +:04790B000000000078 +:04790C000000000077 +:04790D000000000076 +:04790E000000000075 +:04790F000000000074 +:047910000000000073 +:047911000000000072 +:047912000000000071 +:047913000000000070 +:04791400000000006F +:04791500000000006E +:04791600000000006D +:04791700000000006C +:04791800000000006B +:04791900000000006A +:04791A000000000069 +:04791B000000000068 +:04791C000000000067 +:04791D000000000066 +:04791E000000000065 +:04791F000000000064 +:047920000000000063 +:047921000000000062 +:047922000000000061 +:047923000000000060 +:04792400000000005F +:04792500000000005E +:04792600000000005D +:04792700000000005C +:04792800000000005B +:04792900000000005A +:04792A000000000059 +:04792B000000000058 +:04792C000000000057 +:04792D000000000056 +:04792E000000000055 +:04792F000000000054 +:047930000000000053 +:047931000000000052 +:047932000000000051 +:047933000000000050 +:04793400000000004F +:04793500000000004E +:04793600000000004D +:04793700000000004C +:04793800000000004B +:04793900000000004A +:04793A000000000049 +:04793B000000000048 +:04793C000000000047 +:04793D000000000046 +:04793E000000000045 +:04793F000000000044 +:047940000000000043 +:047941000000000042 +:047942000000000041 +:047943000000000040 +:04794400000000003F +:04794500000000003E +:04794600000000003D +:04794700000000003C +:04794800000000003B +:04794900000000003A +:04794A000000000039 +:04794B000000000038 +:04794C000000000037 +:04794D000000000036 +:04794E000000000035 +:04794F000000000034 +:047950000000000033 +:047951000000000032 +:047952000000000031 +:047953000000000030 +:04795400000000002F +:04795500000000002E +:04795600000000002D +:04795700000000002C +:04795800000000002B +:04795900000000002A +:04795A000000000029 +:04795B000000000028 +:04795C000000000027 +:04795D000000000026 +:04795E000000000025 +:04795F000000000024 +:047960000000000023 +:047961000000000022 +:047962000000000021 +:047963000000000020 +:04796400000000001F +:04796500000000001E +:04796600000000001D +:04796700000000001C +:04796800000000001B +:04796900000000001A +:04796A000000000019 +:04796B000000000018 +:04796C000000000017 +:04796D000000000016 +:04796E000000000015 +:04796F000000000014 +:047970000000000013 +:047971000000000012 +:047972000000000011 +:047973000000000010 +:04797400000000000F +:04797500000000000E +:04797600000000000D +:04797700000000000C +:04797800000000000B +:04797900000000000A +:04797A000000000009 +:04797B000000000008 +:04797C000000000007 +:04797D000000000006 +:04797E000000000005 +:04797F000000000004 +:047980000000000003 +:047981000000000002 +:047982000000000001 +:047983000000000000 +:0479840000000000FF +:0479850000000000FE +:0479860000000000FD +:0479870000000000FC +:0479880000000000FB +:0479890000000000FA +:04798A0000000000F9 +:04798B0000000000F8 +:04798C0000000000F7 +:04798D0000000000F6 +:04798E0000000000F5 +:04798F0000000000F4 +:0479900000000000F3 +:0479910000000000F2 +:0479920000000000F1 +:0479930000000000F0 +:0479940000000000EF +:0479950000000000EE +:0479960000000000ED +:0479970000000000EC +:0479980000000000EB +:0479990000000000EA +:04799A0000000000E9 +:04799B0000000000E8 +:04799C0000000000E7 +:04799D0000000000E6 +:04799E0000000000E5 +:04799F0000000000E4 +:0479A00000000000E3 +:0479A10000000000E2 +:0479A20000000000E1 +:0479A30000000000E0 +:0479A40000000000DF +:0479A50000000000DE +:0479A60000000000DD +:0479A70000000000DC +:0479A80000000000DB +:0479A90000000000DA +:0479AA0000000000D9 +:0479AB0000000000D8 +:0479AC0000000000D7 +:0479AD0000000000D6 +:0479AE0000000000D5 +:0479AF0000000000D4 +:0479B00000000000D3 +:0479B10000000000D2 +:0479B20000000000D1 +:0479B30000000000D0 +:0479B40000000000CF +:0479B50000000000CE +:0479B60000000000CD +:0479B70000000000CC +:0479B80000000000CB +:0479B90000000000CA +:0479BA0000000000C9 +:0479BB0000000000C8 +:0479BC0000000000C7 +:0479BD0000000000C6 +:0479BE0000000000C5 +:0479BF0000000000C4 +:0479C00000000000C3 +:0479C10000000000C2 +:0479C20000000000C1 +:0479C30000000000C0 +:0479C40000000000BF +:0479C50000000000BE +:0479C60000000000BD +:0479C70000000000BC +:0479C80000000000BB +:0479C90000000000BA +:0479CA0000000000B9 +:0479CB0000000000B8 +:0479CC0000000000B7 +:0479CD0000000000B6 +:0479CE0000000000B5 +:0479CF0000000000B4 +:0479D00000000000B3 +:0479D10000000000B2 +:0479D20000000000B1 +:0479D30000000000B0 +:0479D40000000000AF +:0479D50000000000AE +:0479D60000000000AD +:0479D70000000000AC +:0479D80000000000AB +:0479D90000000000AA +:0479DA0000000000A9 +:0479DB0000000000A8 +:0479DC0000000000A7 +:0479DD0000000000A6 +:0479DE0000000000A5 +:0479DF0000000000A4 +:0479E00000000000A3 +:0479E10000000000A2 +:0479E20000000000A1 +:0479E30000000000A0 +:0479E400000000009F +:0479E500000000009E +:0479E600000000009D +:0479E700000000009C +:0479E800000000009B +:0479E900000000009A +:0479EA000000000099 +:0479EB000000000098 +:0479EC000000000097 +:0479ED000000000096 +:0479EE000000000095 +:0479EF000000000094 +:0479F0000000000093 +:0479F1000000000092 +:0479F2000000000091 +:0479F3000000000090 +:0479F400000000008F +:0479F500000000008E +:0479F600000000008D +:0479F700000000008C +:0479F800000000008B +:0479F900000000008A +:0479FA000000000089 +:0479FB000000000088 +:0479FC000000000087 +:0479FD000000000086 +:0479FE000000000085 +:0479FF000000000084 +:047A00000000000082 +:047A01000000000081 +:047A02000000000080 +:047A0300000000007F +:047A0400000000007E +:047A0500000000007D +:047A0600000000007C +:047A0700000000007B +:047A0800000000007A +:047A09000000000079 +:047A0A000000000078 +:047A0B000000000077 +:047A0C000000000076 +:047A0D000000000075 +:047A0E000000000074 +:047A0F000000000073 +:047A10000000000072 +:047A11000000000071 +:047A12000000000070 +:047A1300000000006F +:047A1400000000006E +:047A1500000000006D +:047A1600000000006C +:047A1700000000006B +:047A1800000000006A +:047A19000000000069 +:047A1A000000000068 +:047A1B000000000067 +:047A1C000000000066 +:047A1D000000000065 +:047A1E000000000064 +:047A1F000000000063 +:047A20000000000062 +:047A21000000000061 +:047A22000000000060 +:047A2300000000005F +:047A2400000000005E +:047A2500000000005D +:047A2600000000005C +:047A2700000000005B +:047A2800000000005A +:047A29000000000059 +:047A2A000000000058 +:047A2B000000000057 +:047A2C000000000056 +:047A2D000000000055 +:047A2E000000000054 +:047A2F000000000053 +:047A30000000000052 +:047A31000000000051 +:047A32000000000050 +:047A3300000000004F +:047A3400000000004E +:047A3500000000004D +:047A3600000000004C +:047A3700000000004B +:047A3800000000004A +:047A39000000000049 +:047A3A000000000048 +:047A3B000000000047 +:047A3C000000000046 +:047A3D000000000045 +:047A3E000000000044 +:047A3F000000000043 +:047A40000000000042 +:047A41000000000041 +:047A42000000000040 +:047A4300000000003F +:047A4400000000003E +:047A4500000000003D +:047A4600000000003C +:047A4700000000003B +:047A4800000000003A +:047A49000000000039 +:047A4A000000000038 +:047A4B000000000037 +:047A4C000000000036 +:047A4D000000000035 +:047A4E000000000034 +:047A4F000000000033 +:047A50000000000032 +:047A51000000000031 +:047A52000000000030 +:047A5300000000002F +:047A5400000000002E +:047A5500000000002D +:047A5600000000002C +:047A5700000000002B +:047A5800000000002A +:047A59000000000029 +:047A5A000000000028 +:047A5B000000000027 +:047A5C000000000026 +:047A5D000000000025 +:047A5E000000000024 +:047A5F000000000023 +:047A60000000000022 +:047A61000000000021 +:047A62000000000020 +:047A6300000000001F +:047A6400000000001E +:047A6500000000001D +:047A6600000000001C +:047A6700000000001B +:047A6800000000001A +:047A69000000000019 +:047A6A000000000018 +:047A6B000000000017 +:047A6C000000000016 +:047A6D000000000015 +:047A6E000000000014 +:047A6F000000000013 +:047A70000000000012 +:047A71000000000011 +:047A72000000000010 +:047A7300000000000F +:047A7400000000000E +:047A7500000000000D +:047A7600000000000C +:047A7700000000000B +:047A7800000000000A +:047A79000000000009 +:047A7A000000000008 +:047A7B000000000007 +:047A7C000000000006 +:047A7D000000000005 +:047A7E000000000004 +:047A7F000000000003 +:047A80000000000002 +:047A81000000000001 +:047A82000000000000 +:047A830000000000FF +:047A840000000000FE +:047A850000000000FD +:047A860000000000FC +:047A870000000000FB +:047A880000000000FA +:047A890000000000F9 +:047A8A0000000000F8 +:047A8B0000000000F7 +:047A8C0000000000F6 +:047A8D0000000000F5 +:047A8E0000000000F4 +:047A8F0000000000F3 +:047A900000000000F2 +:047A910000000000F1 +:047A920000000000F0 +:047A930000000000EF +:047A940000000000EE +:047A950000000000ED +:047A960000000000EC +:047A970000000000EB +:047A980000000000EA +:047A990000000000E9 +:047A9A0000000000E8 +:047A9B0000000000E7 +:047A9C0000000000E6 +:047A9D0000000000E5 +:047A9E0000000000E4 +:047A9F0000000000E3 +:047AA00000000000E2 +:047AA10000000000E1 +:047AA20000000000E0 +:047AA30000000000DF +:047AA40000000000DE +:047AA50000000000DD +:047AA60000000000DC +:047AA70000000000DB +:047AA80000000000DA +:047AA90000000000D9 +:047AAA0000000000D8 +:047AAB0000000000D7 +:047AAC0000000000D6 +:047AAD0000000000D5 +:047AAE0000000000D4 +:047AAF0000000000D3 +:047AB00000000000D2 +:047AB10000000000D1 +:047AB20000000000D0 +:047AB30000000000CF +:047AB40000000000CE +:047AB50000000000CD +:047AB60000000000CC +:047AB70000000000CB +:047AB80000000000CA +:047AB90000000000C9 +:047ABA0000000000C8 +:047ABB0000000000C7 +:047ABC0000000000C6 +:047ABD0000000000C5 +:047ABE0000000000C4 +:047ABF0000000000C3 +:047AC00000000000C2 +:047AC10000000000C1 +:047AC20000000000C0 +:047AC30000000000BF +:047AC40000000000BE +:047AC50000000000BD +:047AC60000000000BC +:047AC70000000000BB +:047AC80000000000BA +:047AC90000000000B9 +:047ACA0000000000B8 +:047ACB0000000000B7 +:047ACC0000000000B6 +:047ACD0000000000B5 +:047ACE0000000000B4 +:047ACF0000000000B3 +:047AD00000000000B2 +:047AD10000000000B1 +:047AD20000000000B0 +:047AD30000000000AF +:047AD40000000000AE +:047AD50000000000AD +:047AD60000000000AC +:047AD70000000000AB +:047AD80000000000AA +:047AD90000000000A9 +:047ADA0000000000A8 +:047ADB0000000000A7 +:047ADC0000000000A6 +:047ADD0000000000A5 +:047ADE0000000000A4 +:047ADF0000000000A3 +:047AE00000000000A2 +:047AE10000000000A1 +:047AE20000000000A0 +:047AE300000000009F +:047AE400000000009E +:047AE500000000009D +:047AE600000000009C +:047AE700000000009B +:047AE800000000009A +:047AE9000000000099 +:047AEA000000000098 +:047AEB000000000097 +:047AEC000000000096 +:047AED000000000095 +:047AEE000000000094 +:047AEF000000000093 +:047AF0000000000092 +:047AF1000000000091 +:047AF2000000000090 +:047AF300000000008F +:047AF400000000008E +:047AF500000000008D +:047AF600000000008C +:047AF700000000008B +:047AF800000000008A +:047AF9000000000089 +:047AFA000000000088 +:047AFB000000000087 +:047AFC000000000086 +:047AFD000000000085 +:047AFE000000000084 +:047AFF000000000083 +:047B00000000000081 +:047B01000000000080 +:047B0200000000007F +:047B0300000000007E +:047B0400000000007D +:047B0500000000007C +:047B0600000000007B +:047B0700000000007A +:047B08000000000079 +:047B09000000000078 +:047B0A000000000077 +:047B0B000000000076 +:047B0C000000000075 +:047B0D000000000074 +:047B0E000000000073 +:047B0F000000000072 +:047B10000000000071 +:047B11000000000070 +:047B1200000000006F +:047B1300000000006E +:047B1400000000006D +:047B1500000000006C +:047B1600000000006B +:047B1700000000006A +:047B18000000000069 +:047B19000000000068 +:047B1A000000000067 +:047B1B000000000066 +:047B1C000000000065 +:047B1D000000000064 +:047B1E000000000063 +:047B1F000000000062 +:047B20000000000061 +:047B21000000000060 +:047B2200000000005F +:047B2300000000005E +:047B2400000000005D +:047B2500000000005C +:047B2600000000005B +:047B2700000000005A +:047B28000000000059 +:047B29000000000058 +:047B2A000000000057 +:047B2B000000000056 +:047B2C000000000055 +:047B2D000000000054 +:047B2E000000000053 +:047B2F000000000052 +:047B30000000000051 +:047B31000000000050 +:047B3200000000004F +:047B3300000000004E +:047B3400000000004D +:047B3500000000004C +:047B3600000000004B +:047B3700000000004A +:047B38000000000049 +:047B39000000000048 +:047B3A000000000047 +:047B3B000000000046 +:047B3C000000000045 +:047B3D000000000044 +:047B3E000000000043 +:047B3F000000000042 +:047B40000000000041 +:047B41000000000040 +:047B4200000000003F +:047B4300000000003E +:047B4400000000003D +:047B4500000000003C +:047B4600000000003B +:047B4700000000003A +:047B48000000000039 +:047B49000000000038 +:047B4A000000000037 +:047B4B000000000036 +:047B4C000000000035 +:047B4D000000000034 +:047B4E000000000033 +:047B4F000000000032 +:047B50000000000031 +:047B51000000000030 +:047B5200000000002F +:047B5300000000002E +:047B5400000000002D +:047B5500000000002C +:047B5600000000002B +:047B5700000000002A +:047B58000000000029 +:047B59000000000028 +:047B5A000000000027 +:047B5B000000000026 +:047B5C000000000025 +:047B5D000000000024 +:047B5E000000000023 +:047B5F000000000022 +:047B60000000000021 +:047B61000000000020 +:047B6200000000001F +:047B6300000000001E +:047B6400000000001D +:047B6500000000001C +:047B6600000000001B +:047B6700000000001A +:047B68000000000019 +:047B69000000000018 +:047B6A000000000017 +:047B6B000000000016 +:047B6C000000000015 +:047B6D000000000014 +:047B6E000000000013 +:047B6F000000000012 +:047B70000000000011 +:047B71000000000010 +:047B7200000000000F +:047B7300000000000E +:047B7400000000000D +:047B7500000000000C +:047B7600000000000B +:047B7700000000000A +:047B78000000000009 +:047B79000000000008 +:047B7A000000000007 +:047B7B000000000006 +:047B7C000000000005 +:047B7D000000000004 +:047B7E000000000003 +:047B7F000000000002 +:047B80000000000001 +:047B81000000000000 +:047B820000000000FF +:047B830000000000FE +:047B840000000000FD +:047B850000000000FC +:047B860000000000FB +:047B870000000000FA +:047B880000000000F9 +:047B890000000000F8 +:047B8A0000000000F7 +:047B8B0000000000F6 +:047B8C0000000000F5 +:047B8D0000000000F4 +:047B8E0000000000F3 +:047B8F0000000000F2 +:047B900000000000F1 +:047B910000000000F0 +:047B920000000000EF +:047B930000000000EE +:047B940000000000ED +:047B950000000000EC +:047B960000000000EB +:047B970000000000EA +:047B980000000000E9 +:047B990000000000E8 +:047B9A0000000000E7 +:047B9B0000000000E6 +:047B9C0000000000E5 +:047B9D0000000000E4 +:047B9E0000000000E3 +:047B9F0000000000E2 +:047BA00000000000E1 +:047BA10000000000E0 +:047BA20000000000DF +:047BA30000000000DE +:047BA40000000000DD +:047BA50000000000DC +:047BA60000000000DB +:047BA70000000000DA +:047BA80000000000D9 +:047BA90000000000D8 +:047BAA0000000000D7 +:047BAB0000000000D6 +:047BAC0000000000D5 +:047BAD0000000000D4 +:047BAE0000000000D3 +:047BAF0000000000D2 +:047BB00000000000D1 +:047BB10000000000D0 +:047BB20000000000CF +:047BB30000000000CE +:047BB40000000000CD +:047BB50000000000CC +:047BB60000000000CB +:047BB70000000000CA +:047BB80000000000C9 +:047BB90000000000C8 +:047BBA0000000000C7 +:047BBB0000000000C6 +:047BBC0000000000C5 +:047BBD0000000000C4 +:047BBE0000000000C3 +:047BBF0000000000C2 +:047BC00000000000C1 +:047BC10000000000C0 +:047BC20000000000BF +:047BC30000000000BE +:047BC40000000000BD +:047BC50000000000BC +:047BC60000000000BB +:047BC70000000000BA +:047BC80000000000B9 +:047BC90000000000B8 +:047BCA0000000000B7 +:047BCB0000000000B6 +:047BCC0000000000B5 +:047BCD0000000000B4 +:047BCE0000000000B3 +:047BCF0000000000B2 +:047BD00000000000B1 +:047BD10000000000B0 +:047BD20000000000AF +:047BD30000000000AE +:047BD40000000000AD +:047BD50000000000AC +:047BD60000000000AB +:047BD70000000000AA +:047BD80000000000A9 +:047BD90000000000A8 +:047BDA0000000000A7 +:047BDB0000000000A6 +:047BDC0000000000A5 +:047BDD0000000000A4 +:047BDE0000000000A3 +:047BDF0000000000A2 +:047BE00000000000A1 +:047BE10000000000A0 +:047BE200000000009F +:047BE300000000009E +:047BE400000000009D +:047BE500000000009C +:047BE600000000009B +:047BE700000000009A +:047BE8000000000099 +:047BE9000000000098 +:047BEA000000000097 +:047BEB000000000096 +:047BEC000000000095 +:047BED000000000094 +:047BEE000000000093 +:047BEF000000000092 +:047BF0000000000091 +:047BF1000000000090 +:047BF200000000008F +:047BF300000000008E +:047BF400000000008D +:047BF500000000008C +:047BF600000000008B +:047BF700000000008A +:047BF8000000000089 +:047BF9000000000088 +:047BFA000000000087 +:047BFB000000000086 +:047BFC000000000085 +:047BFD000000000084 +:047BFE000000000083 +:047BFF000000000082 +:047C00000000000080 +:047C0100000000007F +:047C0200000000007E +:047C0300000000007D +:047C0400000000007C +:047C0500000000007B +:047C0600000000007A +:047C07000000000079 +:047C08000000000078 +:047C09000000000077 +:047C0A000000000076 +:047C0B000000000075 +:047C0C000000000074 +:047C0D000000000073 +:047C0E000000000072 +:047C0F000000000071 +:047C10000000000070 +:047C1100000000006F +:047C1200000000006E +:047C1300000000006D +:047C1400000000006C +:047C1500000000006B +:047C1600000000006A +:047C17000000000069 +:047C18000000000068 +:047C19000000000067 +:047C1A000000000066 +:047C1B000000000065 +:047C1C000000000064 +:047C1D000000000063 +:047C1E000000000062 +:047C1F000000000061 +:047C20000000000060 +:047C2100000000005F +:047C2200000000005E +:047C2300000000005D +:047C2400000000005C +:047C2500000000005B +:047C2600000000005A +:047C27000000000059 +:047C28000000000058 +:047C29000000000057 +:047C2A000000000056 +:047C2B000000000055 +:047C2C000000000054 +:047C2D000000000053 +:047C2E000000000052 +:047C2F000000000051 +:047C30000000000050 +:047C3100000000004F +:047C3200000000004E +:047C3300000000004D +:047C3400000000004C +:047C3500000000004B +:047C3600000000004A +:047C37000000000049 +:047C38000000000048 +:047C39000000000047 +:047C3A000000000046 +:047C3B000000000045 +:047C3C000000000044 +:047C3D000000000043 +:047C3E000000000042 +:047C3F000000000041 +:047C40000000000040 +:047C4100000000003F +:047C4200000000003E +:047C4300000000003D +:047C4400000000003C +:047C4500000000003B +:047C4600000000003A +:047C47000000000039 +:047C48000000000038 +:047C49000000000037 +:047C4A000000000036 +:047C4B000000000035 +:047C4C000000000034 +:047C4D000000000033 +:047C4E000000000032 +:047C4F000000000031 +:047C50000000000030 +:047C5100000000002F +:047C5200000000002E +:047C5300000000002D +:047C5400000000002C +:047C5500000000002B +:047C5600000000002A +:047C57000000000029 +:047C58000000000028 +:047C59000000000027 +:047C5A000000000026 +:047C5B000000000025 +:047C5C000000000024 +:047C5D000000000023 +:047C5E000000000022 +:047C5F000000000021 +:047C60000000000020 +:047C6100000000001F +:047C6200000000001E +:047C6300000000001D +:047C6400000000001C +:047C6500000000001B +:047C6600000000001A +:047C67000000000019 +:047C68000000000018 +:047C69000000000017 +:047C6A000000000016 +:047C6B000000000015 +:047C6C000000000014 +:047C6D000000000013 +:047C6E000000000012 +:047C6F000000000011 +:047C70000000000010 +:047C7100000000000F +:047C7200000000000E +:047C7300000000000D +:047C7400000000000C +:047C7500000000000B +:047C7600000000000A +:047C77000000000009 +:047C78000000000008 +:047C79000000000007 +:047C7A000000000006 +:047C7B000000000005 +:047C7C000000000004 +:047C7D000000000003 +:047C7E000000000002 +:047C7F000000000001 +:047C80000000000000 +:047C810000000000FF +:047C820000000000FE +:047C830000000000FD +:047C840000000000FC +:047C850000000000FB +:047C860000000000FA +:047C870000000000F9 +:047C880000000000F8 +:047C890000000000F7 +:047C8A0000000000F6 +:047C8B0000000000F5 +:047C8C0000000000F4 +:047C8D0000000000F3 +:047C8E0000000000F2 +:047C8F0000000000F1 +:047C900000000000F0 +:047C910000000000EF +:047C920000000000EE +:047C930000000000ED +:047C940000000000EC +:047C950000000000EB +:047C960000000000EA +:047C970000000000E9 +:047C980000000000E8 +:047C990000000000E7 +:047C9A0000000000E6 +:047C9B0000000000E5 +:047C9C0000000000E4 +:047C9D0000000000E3 +:047C9E0000000000E2 +:047C9F0000000000E1 +:047CA00000000000E0 +:047CA10000000000DF +:047CA20000000000DE +:047CA30000000000DD +:047CA40000000000DC +:047CA50000000000DB +:047CA60000000000DA +:047CA70000000000D9 +:047CA80000000000D8 +:047CA90000000000D7 +:047CAA0000000000D6 +:047CAB0000000000D5 +:047CAC0000000000D4 +:047CAD0000000000D3 +:047CAE0000000000D2 +:047CAF0000000000D1 +:047CB00000000000D0 +:047CB10000000000CF +:047CB20000000000CE +:047CB30000000000CD +:047CB40000000000CC +:047CB50000000000CB +:047CB60000000000CA +:047CB70000000000C9 +:047CB80000000000C8 +:047CB90000000000C7 +:047CBA0000000000C6 +:047CBB0000000000C5 +:047CBC0000000000C4 +:047CBD0000000000C3 +:047CBE0000000000C2 +:047CBF0000000000C1 +:047CC00000000000C0 +:047CC10000000000BF +:047CC20000000000BE +:047CC30000000000BD +:047CC40000000000BC +:047CC50000000000BB +:047CC60000000000BA +:047CC70000000000B9 +:047CC80000000000B8 +:047CC90000000000B7 +:047CCA0000000000B6 +:047CCB0000000000B5 +:047CCC0000000000B4 +:047CCD0000000000B3 +:047CCE0000000000B2 +:047CCF0000000000B1 +:047CD00000000000B0 +:047CD10000000000AF +:047CD20000000000AE +:047CD30000000000AD +:047CD40000000000AC +:047CD50000000000AB +:047CD60000000000AA +:047CD70000000000A9 +:047CD80000000000A8 +:047CD90000000000A7 +:047CDA0000000000A6 +:047CDB0000000000A5 +:047CDC0000000000A4 +:047CDD0000000000A3 +:047CDE0000000000A2 +:047CDF0000000000A1 +:047CE00000000000A0 +:047CE100000000009F +:047CE200000000009E +:047CE300000000009D +:047CE400000000009C +:047CE500000000009B +:047CE600000000009A +:047CE7000000000099 +:047CE8000000000098 +:047CE9000000000097 +:047CEA000000000096 +:047CEB000000000095 +:047CEC000000000094 +:047CED000000000093 +:047CEE000000000092 +:047CEF000000000091 +:047CF0000000000090 +:047CF100000000008F +:047CF200000000008E +:047CF300000000008D +:047CF400000000008C +:047CF500000000008B +:047CF600000000008A +:047CF7000000000089 +:047CF8000000000088 +:047CF9000000000087 +:047CFA000000000086 +:047CFB000000000085 +:047CFC000000000084 +:047CFD000000000083 +:047CFE000000000082 +:047CFF000000000081 +:047D0000000000007F +:047D0100000000007E +:047D0200000000007D +:047D0300000000007C +:047D0400000000007B +:047D0500000000007A +:047D06000000000079 +:047D07000000000078 +:047D08000000000077 +:047D09000000000076 +:047D0A000000000075 +:047D0B000000000074 +:047D0C000000000073 +:047D0D000000000072 +:047D0E000000000071 +:047D0F000000000070 +:047D1000000000006F +:047D1100000000006E +:047D1200000000006D +:047D1300000000006C +:047D1400000000006B +:047D1500000000006A +:047D16000000000069 +:047D17000000000068 +:047D18000000000067 +:047D19000000000066 +:047D1A000000000065 +:047D1B000000000064 +:047D1C000000000063 +:047D1D000000000062 +:047D1E000000000061 +:047D1F000000000060 +:047D2000000000005F +:047D2100000000005E +:047D2200000000005D +:047D2300000000005C +:047D2400000000005B +:047D2500000000005A +:047D26000000000059 +:047D27000000000058 +:047D28000000000057 +:047D29000000000056 +:047D2A000000000055 +:047D2B000000000054 +:047D2C000000000053 +:047D2D000000000052 +:047D2E000000000051 +:047D2F000000000050 +:047D3000000000004F +:047D3100000000004E +:047D3200000000004D +:047D3300000000004C +:047D3400000000004B +:047D3500000000004A +:047D36000000000049 +:047D37000000000048 +:047D38000000000047 +:047D39000000000046 +:047D3A000000000045 +:047D3B000000000044 +:047D3C000000000043 +:047D3D000000000042 +:047D3E000000000041 +:047D3F000000000040 +:047D4000000000003F +:047D4100000000003E +:047D4200000000003D +:047D4300000000003C +:047D4400000000003B +:047D4500000000003A +:047D46000000000039 +:047D47000000000038 +:047D48000000000037 +:047D49000000000036 +:047D4A000000000035 +:047D4B000000000034 +:047D4C000000000033 +:047D4D000000000032 +:047D4E000000000031 +:047D4F000000000030 +:047D5000000000002F +:047D5100000000002E +:047D5200000000002D +:047D5300000000002C +:047D5400000000002B +:047D5500000000002A +:047D56000000000029 +:047D57000000000028 +:047D58000000000027 +:047D59000000000026 +:047D5A000000000025 +:047D5B000000000024 +:047D5C000000000023 +:047D5D000000000022 +:047D5E000000000021 +:047D5F000000000020 +:047D6000000000001F +:047D6100000000001E +:047D6200000000001D +:047D6300000000001C +:047D6400000000001B +:047D6500000000001A +:047D66000000000019 +:047D67000000000018 +:047D68000000000017 +:047D69000000000016 +:047D6A000000000015 +:047D6B000000000014 +:047D6C000000000013 +:047D6D000000000012 +:047D6E000000000011 +:047D6F000000000010 +:047D7000000000000F +:047D7100000000000E +:047D7200000000000D +:047D7300000000000C +:047D7400000000000B +:047D7500000000000A +:047D76000000000009 +:047D77000000000008 +:047D78000000000007 +:047D79000000000006 +:047D7A000000000005 +:047D7B000000000004 +:047D7C000000000003 +:047D7D000000000002 +:047D7E000000000001 +:047D7F000000000000 +:047D800000000000FF +:047D810000000000FE +:047D820000000000FD +:047D830000000000FC +:047D840000000000FB +:047D850000000000FA +:047D860000000000F9 +:047D870000000000F8 +:047D880000000000F7 +:047D890000000000F6 +:047D8A0000000000F5 +:047D8B0000000000F4 +:047D8C0000000000F3 +:047D8D0000000000F2 +:047D8E0000000000F1 +:047D8F0000000000F0 +:047D900000000000EF +:047D910000000000EE +:047D920000000000ED +:047D930000000000EC +:047D940000000000EB +:047D950000000000EA +:047D960000000000E9 +:047D970000000000E8 +:047D980000000000E7 +:047D990000000000E6 +:047D9A0000000000E5 +:047D9B0000000000E4 +:047D9C0000000000E3 +:047D9D0000000000E2 +:047D9E0000000000E1 +:047D9F0000000000E0 +:047DA00000000000DF +:047DA10000000000DE +:047DA20000000000DD +:047DA30000000000DC +:047DA40000000000DB +:047DA50000000000DA +:047DA60000000000D9 +:047DA70000000000D8 +:047DA80000000000D7 +:047DA90000000000D6 +:047DAA0000000000D5 +:047DAB0000000000D4 +:047DAC0000000000D3 +:047DAD0000000000D2 +:047DAE0000000000D1 +:047DAF0000000000D0 +:047DB00000000000CF +:047DB10000000000CE +:047DB20000000000CD +:047DB30000000000CC +:047DB40000000000CB +:047DB50000000000CA +:047DB60000000000C9 +:047DB70000000000C8 +:047DB80000000000C7 +:047DB90000000000C6 +:047DBA0000000000C5 +:047DBB0000000000C4 +:047DBC0000000000C3 +:047DBD0000000000C2 +:047DBE0000000000C1 +:047DBF0000000000C0 +:047DC00000000000BF +:047DC10000000000BE +:047DC20000000000BD +:047DC30000000000BC +:047DC40000000000BB +:047DC50000000000BA +:047DC60000000000B9 +:047DC70000000000B8 +:047DC80000000000B7 +:047DC90000000000B6 +:047DCA0000000000B5 +:047DCB0000000000B4 +:047DCC0000000000B3 +:047DCD0000000000B2 +:047DCE0000000000B1 +:047DCF0000000000B0 +:047DD00000000000AF +:047DD10000000000AE +:047DD20000000000AD +:047DD30000000000AC +:047DD40000000000AB +:047DD50000000000AA +:047DD60000000000A9 +:047DD70000000000A8 +:047DD80000000000A7 +:047DD90000000000A6 +:047DDA0000000000A5 +:047DDB0000000000A4 +:047DDC0000000000A3 +:047DDD0000000000A2 +:047DDE0000000000A1 +:047DDF0000000000A0 +:047DE000000000009F +:047DE100000000009E +:047DE200000000009D +:047DE300000000009C +:047DE400000000009B +:047DE500000000009A +:047DE6000000000099 +:047DE7000000000098 +:047DE8000000000097 +:047DE9000000000096 +:047DEA000000000095 +:047DEB000000000094 +:047DEC000000000093 +:047DED000000000092 +:047DEE000000000091 +:047DEF000000000090 +:047DF000000000008F +:047DF100000000008E +:047DF200000000008D +:047DF300000000008C +:047DF400000000008B +:047DF500000000008A +:047DF6000000000089 +:047DF7000000000088 +:047DF8000000000087 +:047DF9000000000086 +:047DFA000000000085 +:047DFB000000000084 +:047DFC000000000083 +:047DFD000000000082 +:047DFE000000000081 +:047DFF000000000080 +:047E0000000000007E +:047E0100000000007D +:047E0200000000007C +:047E0300000000007B +:047E0400000000007A +:047E05000000000079 +:047E06000000000078 +:047E07000000000077 +:047E08000000000076 +:047E09000000000075 +:047E0A000000000074 +:047E0B000000000073 +:047E0C000000000072 +:047E0D000000000071 +:047E0E000000000070 +:047E0F00000000006F +:047E1000000000006E +:047E1100000000006D +:047E1200000000006C +:047E1300000000006B +:047E1400000000006A +:047E15000000000069 +:047E16000000000068 +:047E17000000000067 +:047E18000000000066 +:047E19000000000065 +:047E1A000000000064 +:047E1B000000000063 +:047E1C000000000062 +:047E1D000000000061 +:047E1E000000000060 +:047E1F00000000005F +:047E2000000000005E +:047E2100000000005D +:047E2200000000005C +:047E2300000000005B +:047E2400000000005A +:047E25000000000059 +:047E26000000000058 +:047E27000000000057 +:047E28000000000056 +:047E29000000000055 +:047E2A000000000054 +:047E2B000000000053 +:047E2C000000000052 +:047E2D000000000051 +:047E2E000000000050 +:047E2F00000000004F +:047E3000000000004E +:047E3100000000004D +:047E3200000000004C +:047E3300000000004B +:047E3400000000004A +:047E35000000000049 +:047E36000000000048 +:047E37000000000047 +:047E38000000000046 +:047E39000000000045 +:047E3A000000000044 +:047E3B000000000043 +:047E3C000000000042 +:047E3D000000000041 +:047E3E000000000040 +:047E3F00000000003F +:047E4000000000003E +:047E4100000000003D +:047E4200000000003C +:047E4300000000003B +:047E4400000000003A +:047E45000000000039 +:047E46000000000038 +:047E47000000000037 +:047E48000000000036 +:047E49000000000035 +:047E4A000000000034 +:047E4B000000000033 +:047E4C000000000032 +:047E4D000000000031 +:047E4E000000000030 +:047E4F00000000002F +:047E5000000000002E +:047E5100000000002D +:047E5200000000002C +:047E5300000000002B +:047E5400000000002A +:047E55000000000029 +:047E56000000000028 +:047E57000000000027 +:047E58000000000026 +:047E59000000000025 +:047E5A000000000024 +:047E5B000000000023 +:047E5C000000000022 +:047E5D000000000021 +:047E5E000000000020 +:047E5F00000000001F +:047E6000000000001E +:047E6100000000001D +:047E6200000000001C +:047E6300000000001B +:047E6400000000001A +:047E65000000000019 +:047E66000000000018 +:047E67000000000017 +:047E68000000000016 +:047E69000000000015 +:047E6A000000000014 +:047E6B000000000013 +:047E6C000000000012 +:047E6D000000000011 +:047E6E000000000010 +:047E6F00000000000F +:047E7000000000000E +:047E7100000000000D +:047E7200000000000C +:047E7300000000000B +:047E7400000000000A +:047E75000000000009 +:047E76000000000008 +:047E77000000000007 +:047E78000000000006 +:047E79000000000005 +:047E7A000000000004 +:047E7B000000000003 +:047E7C000000000002 +:047E7D000000000001 +:047E7E000000000000 +:047E7F0000000000FF +:047E800000000000FE +:047E810000000000FD +:047E820000000000FC +:047E830000000000FB +:047E840000000000FA +:047E850000000000F9 +:047E860000000000F8 +:047E870000000000F7 +:047E880000000000F6 +:047E890000000000F5 +:047E8A0000000000F4 +:047E8B0000000000F3 +:047E8C0000000000F2 +:047E8D0000000000F1 +:047E8E0000000000F0 +:047E8F0000000000EF +:047E900000000000EE +:047E910000000000ED +:047E920000000000EC +:047E930000000000EB +:047E940000000000EA +:047E950000000000E9 +:047E960000000000E8 +:047E970000000000E7 +:047E980000000000E6 +:047E990000000000E5 +:047E9A0000000000E4 +:047E9B0000000000E3 +:047E9C0000000000E2 +:047E9D0000000000E1 +:047E9E0000000000E0 +:047E9F0000000000DF +:047EA00000000000DE +:047EA10000000000DD +:047EA20000000000DC +:047EA30000000000DB +:047EA40000000000DA +:047EA50000000000D9 +:047EA60000000000D8 +:047EA70000000000D7 +:047EA80000000000D6 +:047EA90000000000D5 +:047EAA0000000000D4 +:047EAB0000000000D3 +:047EAC0000000000D2 +:047EAD0000000000D1 +:047EAE0000000000D0 +:047EAF0000000000CF +:047EB00000000000CE +:047EB10000000000CD +:047EB20000000000CC +:047EB30000000000CB +:047EB40000000000CA +:047EB50000000000C9 +:047EB60000000000C8 +:047EB70000000000C7 +:047EB80000000000C6 +:047EB90000000000C5 +:047EBA0000000000C4 +:047EBB0000000000C3 +:047EBC0000000000C2 +:047EBD0000000000C1 +:047EBE0000000000C0 +:047EBF0000000000BF +:047EC00000000000BE +:047EC10000000000BD +:047EC20000000000BC +:047EC30000000000BB +:047EC40000000000BA +:047EC50000000000B9 +:047EC60000000000B8 +:047EC70000000000B7 +:047EC80000000000B6 +:047EC90000000000B5 +:047ECA0000000000B4 +:047ECB0000000000B3 +:047ECC0000000000B2 +:047ECD0000000000B1 +:047ECE0000000000B0 +:047ECF0000000000AF +:047ED00000000000AE +:047ED10000000000AD +:047ED20000000000AC +:047ED30000000000AB +:047ED40000000000AA +:047ED50000000000A9 +:047ED60000000000A8 +:047ED70000000000A7 +:047ED80000000000A6 +:047ED90000000000A5 +:047EDA0000000000A4 +:047EDB0000000000A3 +:047EDC0000000000A2 +:047EDD0000000000A1 +:047EDE0000000000A0 +:047EDF00000000009F +:047EE000000000009E +:047EE100000000009D +:047EE200000000009C +:047EE300000000009B +:047EE400000000009A +:047EE5000000000099 +:047EE6000000000098 +:047EE7000000000097 +:047EE8000000000096 +:047EE9000000000095 +:047EEA000000000094 +:047EEB000000000093 +:047EEC000000000092 +:047EED000000000091 +:047EEE000000000090 +:047EEF00000000008F +:047EF000000000008E +:047EF100000000008D +:047EF200000000008C +:047EF300000000008B +:047EF400000000008A +:047EF5000000000089 +:047EF6000000000088 +:047EF7000000000087 +:047EF8000000000086 +:047EF9000000000085 +:047EFA000000000084 +:047EFB000000000083 +:047EFC000000000082 +:047EFD000000000081 +:047EFE000000000080 +:047EFF00000000007F +:047F0000000000007D +:047F0100000000007C +:047F0200000000007B +:047F0300000000007A +:047F04000000000079 +:047F05000000000078 +:047F06000000000077 +:047F07000000000076 +:047F08000000000075 +:047F09000000000074 +:047F0A000000000073 +:047F0B000000000072 +:047F0C000000000071 +:047F0D000000000070 +:047F0E00000000006F +:047F0F00000000006E +:047F1000000000006D +:047F1100000000006C +:047F1200000000006B +:047F1300000000006A +:047F14000000000069 +:047F15000000000068 +:047F16000000000067 +:047F17000000000066 +:047F18000000000065 +:047F19000000000064 +:047F1A000000000063 +:047F1B000000000062 +:047F1C000000000061 +:047F1D000000000060 +:047F1E00000000005F +:047F1F00000000005E +:047F2000000000005D +:047F2100000000005C +:047F2200000000005B +:047F2300000000005A +:047F24000000000059 +:047F25000000000058 +:047F26000000000057 +:047F27000000000056 +:047F28000000000055 +:047F29000000000054 +:047F2A000000000053 +:047F2B000000000052 +:047F2C000000000051 +:047F2D000000000050 +:047F2E00000000004F +:047F2F00000000004E +:047F3000000000004D +:047F3100000000004C +:047F3200000000004B +:047F3300000000004A +:047F34000000000049 +:047F35000000000048 +:047F36000000000047 +:047F37000000000046 +:047F38000000000045 +:047F39000000000044 +:047F3A000000000043 +:047F3B000000000042 +:047F3C000000000041 +:047F3D000000000040 +:047F3E00000000003F +:047F3F00000000003E +:047F4000000000003D +:047F4100000000003C +:047F4200000000003B +:047F4300000000003A +:047F44000000000039 +:047F45000000000038 +:047F46000000000037 +:047F47000000000036 +:047F48000000000035 +:047F49000000000034 +:047F4A000000000033 +:047F4B000000000032 +:047F4C000000000031 +:047F4D000000000030 +:047F4E00000000002F +:047F4F00000000002E +:047F5000000000002D +:047F5100000000002C +:047F5200000000002B +:047F5300000000002A +:047F54000000000029 +:047F55000000000028 +:047F56000000000027 +:047F57000000000026 +:047F58000000000025 +:047F59000000000024 +:047F5A000000000023 +:047F5B000000000022 +:047F5C000000000021 +:047F5D000000000020 +:047F5E00000000001F +:047F5F00000000001E +:047F6000000000001D +:047F6100000000001C +:047F6200000000001B +:047F6300000000001A +:047F64000000000019 +:047F65000000000018 +:047F66000000000017 +:047F67000000000016 +:047F68000000000015 +:047F69000000000014 +:047F6A000000000013 +:047F6B000000000012 +:047F6C000000000011 +:047F6D000000000010 +:047F6E00000000000F +:047F6F00000000000E +:047F7000000000000D +:047F7100000000000C +:047F7200000000000B +:047F7300000000000A +:047F74000000000009 +:047F75000000000008 +:047F76000000000007 +:047F77000000000006 +:047F78000000000005 +:047F79000000000004 +:047F7A000000000003 +:047F7B000000000002 +:047F7C000000000001 +:047F7D000000000000 +:047F7E0000000000FF +:047F7F0000000000FE +:047F800000000000FD +:047F810000000000FC +:047F820000000000FB +:047F830000000000FA +:047F840000000000F9 +:047F850000000000F8 +:047F860000000000F7 +:047F870000000000F6 +:047F880000000000F5 +:047F890000000000F4 +:047F8A0000000000F3 +:047F8B0000000000F2 +:047F8C0000000000F1 +:047F8D0000000000F0 +:047F8E0000000000EF +:047F8F0000000000EE +:047F900000000000ED +:047F910000000000EC +:047F920000000000EB +:047F930000000000EA +:047F940000000000E9 +:047F950000000000E8 +:047F960000000000E7 +:047F970000000000E6 +:047F980000000000E5 +:047F990000000000E4 +:047F9A0000000000E3 +:047F9B0000000000E2 +:047F9C0000000000E1 +:047F9D0000000000E0 +:047F9E0000000000DF +:047F9F0000000000DE +:047FA00000000000DD +:047FA10000000000DC +:047FA20000000000DB +:047FA30000000000DA +:047FA40000000000D9 +:047FA50000000000D8 +:047FA60000000000D7 +:047FA70000000000D6 +:047FA80000000000D5 +:047FA90000000000D4 +:047FAA0000000000D3 +:047FAB0000000000D2 +:047FAC0000000000D1 +:047FAD0000000000D0 +:047FAE0000000000CF +:047FAF0000000000CE +:047FB00000000000CD +:047FB10000000000CC +:047FB20000000000CB +:047FB30000000000CA +:047FB40000000000C9 +:047FB50000000000C8 +:047FB60000000000C7 +:047FB70000000000C6 +:047FB80000000000C5 +:047FB90000000000C4 +:047FBA0000000000C3 +:047FBB0000000000C2 +:047FBC0000000000C1 +:047FBD0000000000C0 +:047FBE0000000000BF +:047FBF0000000000BE +:047FC00000000000BD +:047FC10000000000BC +:047FC20000000000BB +:047FC30000000000BA +:047FC40000000000B9 +:047FC50000000000B8 +:047FC60000000000B7 +:047FC70000000000B6 +:047FC80000000000B5 +:047FC90000000000B4 +:047FCA0000000000B3 +:047FCB0000000000B2 +:047FCC0000000000B1 +:047FCD0000000000B0 +:047FCE0000000000AF +:047FCF0000000000AE +:047FD00000000000AD +:047FD10000000000AC +:047FD20000000000AB +:047FD30000000000AA +:047FD40000000000A9 +:047FD50000000000A8 +:047FD60000000000A7 +:047FD70000000000A6 +:047FD80000000000A5 +:047FD90000000000A4 +:047FDA0000000000A3 +:047FDB0000000000A2 +:047FDC0000000000A1 +:047FDD0000000000A0 +:047FDE00000000009F +:047FDF00000000009E +:047FE000000000009D +:047FE100000000009C +:047FE200000000009B +:047FE300000000009A +:047FE4000000000099 +:047FE5000000000098 +:047FE6000000000097 +:047FE7000000000096 +:047FE8000000000095 +:047FE9000000000094 +:047FEA000000000093 +:047FEB000000000092 +:047FEC000000000091 +:047FED000000000090 +:047FEE00000000008F +:047FEF00000000008E +:047FF000000000008D +:047FF100000000008C +:047FF200000000008B +:047FF300000000008A +:047FF4000000000089 +:047FF5000000000088 +:047FF6000000000087 +:047FF7000000000086 +:047FF8000000000085 +:047FF9000000000084 +:047FFA000000000083 +:047FFB000000000082 +:047FFC000000000081 +:047FFD000000000080 +:047FFE00000000007F +:047FFF00000000007E +:04800000000000007C +:04800100000000007B +:04800200000000007A +:048003000000000079 +:048004000000000078 +:048005000000000077 +:048006000000000076 +:048007000000000075 +:048008000000000074 +:048009000000000073 +:04800A000000000072 +:04800B000000000071 +:04800C000000000070 +:04800D00000000006F +:04800E00000000006E +:04800F00000000006D +:04801000000000006C +:04801100000000006B +:04801200000000006A +:048013000000000069 +:048014000000000068 +:048015000000000067 +:048016000000000066 +:048017000000000065 +:048018000000000064 +:048019000000000063 +:04801A000000000062 +:04801B000000000061 +:04801C000000000060 +:04801D00000000005F +:04801E00000000005E +:04801F00000000005D +:04802000000000005C +:04802100000000005B +:04802200000000005A +:048023000000000059 +:048024000000000058 +:048025000000000057 +:048026000000000056 +:048027000000000055 +:048028000000000054 +:048029000000000053 +:04802A000000000052 +:04802B000000000051 +:04802C000000000050 +:04802D00000000004F +:04802E00000000004E +:04802F00000000004D +:04803000000000004C +:04803100000000004B +:04803200000000004A +:048033000000000049 +:048034000000000048 +:048035000000000047 +:048036000000000046 +:048037000000000045 +:048038000000000044 +:048039000000000043 +:04803A000000000042 +:04803B000000000041 +:04803C000000000040 +:04803D00000000003F +:04803E00000000003E +:04803F00000000003D +:04804000000000003C +:04804100000000003B +:04804200000000003A +:048043000000000039 +:048044000000000038 +:048045000000000037 +:048046000000000036 +:048047000000000035 +:048048000000000034 +:048049000000000033 +:04804A000000000032 +:04804B000000000031 +:04804C000000000030 +:04804D00000000002F +:04804E00000000002E +:04804F00000000002D +:04805000000000002C +:04805100000000002B +:04805200000000002A +:048053000000000029 +:048054000000000028 +:048055000000000027 +:048056000000000026 +:048057000000000025 +:048058000000000024 +:048059000000000023 +:04805A000000000022 +:04805B000000000021 +:04805C000000000020 +:04805D00000000001F +:04805E00000000001E +:04805F00000000001D +:04806000000000001C +:04806100000000001B +:04806200000000001A +:048063000000000019 +:048064000000000018 +:048065000000000017 +:048066000000000016 +:048067000000000015 +:048068000000000014 +:048069000000000013 +:04806A000000000012 +:04806B000000000011 +:04806C000000000010 +:04806D00000000000F +:04806E00000000000E +:04806F00000000000D +:04807000000000000C +:04807100000000000B +:04807200000000000A +:048073000000000009 +:048074000000000008 +:048075000000000007 +:048076000000000006 +:048077000000000005 +:048078000000000004 +:048079000000000003 +:04807A000000000002 +:04807B000000000001 +:04807C000000000000 +:04807D0000000000FF +:04807E0000000000FE +:04807F0000000000FD +:0480800000000000FC +:0480810000000000FB +:0480820000000000FA +:0480830000000000F9 +:0480840000000000F8 +:0480850000000000F7 +:0480860000000000F6 +:0480870000000000F5 +:0480880000000000F4 +:0480890000000000F3 +:04808A0000000000F2 +:04808B0000000000F1 +:04808C0000000000F0 +:04808D0000000000EF +:04808E0000000000EE +:04808F0000000000ED +:0480900000000000EC +:0480910000000000EB +:0480920000000000EA +:0480930000000000E9 +:0480940000000000E8 +:0480950000000000E7 +:0480960000000000E6 +:0480970000000000E5 +:0480980000000000E4 +:0480990000000000E3 +:04809A0000000000E2 +:04809B0000000000E1 +:04809C0000000000E0 +:04809D0000000000DF +:04809E0000000000DE +:04809F0000000000DD +:0480A00000000000DC +:0480A10000000000DB +:0480A20000000000DA +:0480A30000000000D9 +:0480A40000000000D8 +:0480A50000000000D7 +:0480A60000000000D6 +:0480A70000000000D5 +:0480A80000000000D4 +:0480A90000000000D3 +:0480AA0000000000D2 +:0480AB0000000000D1 +:0480AC0000000000D0 +:0480AD0000000000CF +:0480AE0000000000CE +:0480AF0000000000CD +:0480B00000000000CC +:0480B10000000000CB +:0480B20000000000CA +:0480B30000000000C9 +:0480B40000000000C8 +:0480B50000000000C7 +:0480B60000000000C6 +:0480B70000000000C5 +:0480B80000000000C4 +:0480B90000000000C3 +:0480BA0000000000C2 +:0480BB0000000000C1 +:0480BC0000000000C0 +:0480BD0000000000BF +:0480BE0000000000BE +:0480BF0000000000BD +:0480C00000000000BC +:0480C10000000000BB +:0480C20000000000BA +:0480C30000000000B9 +:0480C40000000000B8 +:0480C50000000000B7 +:0480C60000000000B6 +:0480C70000000000B5 +:0480C80000000000B4 +:0480C90000000000B3 +:0480CA0000000000B2 +:0480CB0000000000B1 +:0480CC0000000000B0 +:0480CD0000000000AF +:0480CE0000000000AE +:0480CF0000000000AD +:0480D00000000000AC +:0480D10000000000AB +:0480D20000000000AA +:0480D30000000000A9 +:0480D40000000000A8 +:0480D50000000000A7 +:0480D60000000000A6 +:0480D70000000000A5 +:0480D80000000000A4 +:0480D90000000000A3 +:0480DA0000000000A2 +:0480DB0000000000A1 +:0480DC0000000000A0 +:0480DD00000000009F +:0480DE00000000009E +:0480DF00000000009D +:0480E000000000009C +:0480E100000000009B +:0480E200000000009A +:0480E3000000000099 +:0480E4000000000098 +:0480E5000000000097 +:0480E6000000000096 +:0480E7000000000095 +:0480E8000000000094 +:0480E9000000000093 +:0480EA000000000092 +:0480EB000000000091 +:0480EC000000000090 +:0480ED00000000008F +:0480EE00000000008E +:0480EF00000000008D +:0480F000000000008C +:0480F100000000008B +:0480F200000000008A +:0480F3000000000089 +:0480F4000000000088 +:0480F5000000000087 +:0480F6000000000086 +:0480F7000000000085 +:0480F8000000000084 +:0480F9000000000083 +:0480FA000000000082 +:0480FB000000000081 +:0480FC000000000080 +:0480FD00000000007F +:0480FE00000000007E +:0480FF00000000007D +:04810000000000007B +:04810100000000007A +:048102000000000079 +:048103000000000078 +:048104000000000077 +:048105000000000076 +:048106000000000075 +:048107000000000074 +:048108000000000073 +:048109000000000072 +:04810A000000000071 +:04810B000000000070 +:04810C00000000006F +:04810D00000000006E +:04810E00000000006D +:04810F00000000006C +:04811000000000006B +:04811100000000006A +:048112000000000069 +:048113000000000068 +:048114000000000067 +:048115000000000066 +:048116000000000065 +:048117000000000064 +:048118000000000063 +:048119000000000062 +:04811A000000000061 +:04811B000000000060 +:04811C00000000005F +:04811D00000000005E +:04811E00000000005D +:04811F00000000005C +:04812000000000005B +:04812100000000005A +:048122000000000059 +:048123000000000058 +:048124000000000057 +:048125000000000056 +:048126000000000055 +:048127000000000054 +:048128000000000053 +:048129000000000052 +:04812A000000000051 +:04812B000000000050 +:04812C00000000004F +:04812D00000000004E +:04812E00000000004D +:04812F00000000004C +:04813000000000004B +:04813100000000004A +:048132000000000049 +:048133000000000048 +:048134000000000047 +:048135000000000046 +:048136000000000045 +:048137000000000044 +:048138000000000043 +:048139000000000042 +:04813A000000000041 +:04813B000000000040 +:04813C00000000003F +:04813D00000000003E +:04813E00000000003D +:04813F00000000003C +:04814000000000003B +:04814100000000003A +:048142000000000039 +:048143000000000038 +:048144000000000037 +:048145000000000036 +:048146000000000035 +:048147000000000034 +:048148000000000033 +:048149000000000032 +:04814A000000000031 +:04814B000000000030 +:04814C00000000002F +:04814D00000000002E +:04814E00000000002D +:04814F00000000002C +:04815000000000002B +:04815100000000002A +:048152000000000029 +:048153000000000028 +:048154000000000027 +:048155000000000026 +:048156000000000025 +:048157000000000024 +:048158000000000023 +:048159000000000022 +:04815A000000000021 +:04815B000000000020 +:04815C00000000001F +:04815D00000000001E +:04815E00000000001D +:04815F00000000001C +:04816000000000001B +:04816100000000001A +:048162000000000019 +:048163000000000018 +:048164000000000017 +:048165000000000016 +:048166000000000015 +:048167000000000014 +:048168000000000013 +:048169000000000012 +:04816A000000000011 +:04816B000000000010 +:04816C00000000000F +:04816D00000000000E +:04816E00000000000D +:04816F00000000000C +:04817000000000000B +:04817100000000000A +:048172000000000009 +:048173000000000008 +:048174000000000007 +:048175000000000006 +:048176000000000005 +:048177000000000004 +:048178000000000003 +:048179000000000002 +:04817A000000000001 +:04817B000000000000 +:04817C0000000000FF +:04817D0000000000FE +:04817E0000000000FD +:04817F0000000000FC +:0481800000000000FB +:0481810000000000FA +:0481820000000000F9 +:0481830000000000F8 +:0481840000000000F7 +:0481850000000000F6 +:0481860000000000F5 +:0481870000000000F4 +:0481880000000000F3 +:0481890000000000F2 +:04818A0000000000F1 +:04818B0000000000F0 +:04818C0000000000EF +:04818D0000000000EE +:04818E0000000000ED +:04818F0000000000EC +:0481900000000000EB +:0481910000000000EA +:0481920000000000E9 +:0481930000000000E8 +:0481940000000000E7 +:0481950000000000E6 +:0481960000000000E5 +:0481970000000000E4 +:0481980000000000E3 +:0481990000000000E2 +:04819A0000000000E1 +:04819B0000000000E0 +:04819C0000000000DF +:04819D0000000000DE +:04819E0000000000DD +:04819F0000000000DC +:0481A00000000000DB +:0481A10000000000DA +:0481A20000000000D9 +:0481A30000000000D8 +:0481A40000000000D7 +:0481A50000000000D6 +:0481A60000000000D5 +:0481A70000000000D4 +:0481A80000000000D3 +:0481A90000000000D2 +:0481AA0000000000D1 +:0481AB0000000000D0 +:0481AC0000000000CF +:0481AD0000000000CE +:0481AE0000000000CD +:0481AF0000000000CC +:0481B00000000000CB +:0481B10000000000CA +:0481B20000000000C9 +:0481B30000000000C8 +:0481B40000000000C7 +:0481B50000000000C6 +:0481B60000000000C5 +:0481B70000000000C4 +:0481B80000000000C3 +:0481B90000000000C2 +:0481BA0000000000C1 +:0481BB0000000000C0 +:0481BC0000000000BF +:0481BD0000000000BE +:0481BE0000000000BD +:0481BF0000000000BC +:0481C00000000000BB +:0481C10000000000BA +:0481C20000000000B9 +:0481C30000000000B8 +:0481C40000000000B7 +:0481C50000000000B6 +:0481C60000000000B5 +:0481C70000000000B4 +:0481C80000000000B3 +:0481C90000000000B2 +:0481CA0000000000B1 +:0481CB0000000000B0 +:0481CC0000000000AF +:0481CD0000000000AE +:0481CE0000000000AD +:0481CF0000000000AC +:0481D00000000000AB +:0481D10000000000AA +:0481D20000000000A9 +:0481D30000000000A8 +:0481D40000000000A7 +:0481D50000000000A6 +:0481D60000000000A5 +:0481D70000000000A4 +:0481D80000000000A3 +:0481D90000000000A2 +:0481DA0000000000A1 +:0481DB0000000000A0 +:0481DC00000000009F +:0481DD00000000009E +:0481DE00000000009D +:0481DF00000000009C +:0481E000000000009B +:0481E100000000009A +:0481E2000000000099 +:0481E3000000000098 +:0481E4000000000097 +:0481E5000000000096 +:0481E6000000000095 +:0481E7000000000094 +:0481E8000000000093 +:0481E9000000000092 +:0481EA000000000091 +:0481EB000000000090 +:0481EC00000000008F +:0481ED00000000008E +:0481EE00000000008D +:0481EF00000000008C +:0481F000000000008B +:0481F100000000008A +:0481F2000000000089 +:0481F3000000000088 +:0481F4000000000087 +:0481F5000000000086 +:0481F6000000000085 +:0481F7000000000084 +:0481F8000000000083 +:0481F9000000000082 +:0481FA000000000081 +:0481FB000000000080 +:0481FC00000000007F +:0481FD00000000007E +:0481FE00000000007D +:0481FF00000000007C +:04820000000000007A +:048201000000000079 +:048202000000000078 +:048203000000000077 +:048204000000000076 +:048205000000000075 +:048206000000000074 +:048207000000000073 +:048208000000000072 +:048209000000000071 +:04820A000000000070 +:04820B00000000006F +:04820C00000000006E +:04820D00000000006D +:04820E00000000006C +:04820F00000000006B +:04821000000000006A +:048211000000000069 +:048212000000000068 +:048213000000000067 +:048214000000000066 +:048215000000000065 +:048216000000000064 +:048217000000000063 +:048218000000000062 +:048219000000000061 +:04821A000000000060 +:04821B00000000005F +:04821C00000000005E +:04821D00000000005D +:04821E00000000005C +:04821F00000000005B +:04822000000000005A +:048221000000000059 +:048222000000000058 +:048223000000000057 +:048224000000000056 +:048225000000000055 +:048226000000000054 +:048227000000000053 +:048228000000000052 +:048229000000000051 +:04822A000000000050 +:04822B00000000004F +:04822C00000000004E +:04822D00000000004D +:04822E00000000004C +:04822F00000000004B +:04823000000000004A +:048231000000000049 +:048232000000000048 +:048233000000000047 +:048234000000000046 +:048235000000000045 +:048236000000000044 +:048237000000000043 +:048238000000000042 +:048239000000000041 +:04823A000000000040 +:04823B00000000003F +:04823C00000000003E +:04823D00000000003D +:04823E00000000003C +:04823F00000000003B +:04824000000000003A +:048241000000000039 +:048242000000000038 +:048243000000000037 +:048244000000000036 +:048245000000000035 +:048246000000000034 +:048247000000000033 +:048248000000000032 +:048249000000000031 +:04824A000000000030 +:04824B00000000002F +:04824C00000000002E +:04824D00000000002D +:04824E00000000002C +:04824F00000000002B +:04825000000000002A +:048251000000000029 +:048252000000000028 +:048253000000000027 +:048254000000000026 +:048255000000000025 +:048256000000000024 +:048257000000000023 +:048258000000000022 +:048259000000000021 +:04825A000000000020 +:04825B00000000001F +:04825C00000000001E +:04825D00000000001D +:04825E00000000001C +:04825F00000000001B +:04826000000000001A +:048261000000000019 +:048262000000000018 +:048263000000000017 +:048264000000000016 +:048265000000000015 +:048266000000000014 +:048267000000000013 +:048268000000000012 +:048269000000000011 +:04826A000000000010 +:04826B00000000000F +:04826C00000000000E +:04826D00000000000D +:04826E00000000000C +:04826F00000000000B +:04827000000000000A +:048271000000000009 +:048272000000000008 +:048273000000000007 +:048274000000000006 +:048275000000000005 +:048276000000000004 +:048277000000000003 +:048278000000000002 +:048279000000000001 +:04827A000000000000 +:04827B0000000000FF +:04827C0000000000FE +:04827D0000000000FD +:04827E0000000000FC +:04827F0000000000FB +:0482800000000000FA +:0482810000000000F9 +:0482820000000000F8 +:0482830000000000F7 +:0482840000000000F6 +:0482850000000000F5 +:0482860000000000F4 +:0482870000000000F3 +:0482880000000000F2 +:0482890000000000F1 +:04828A0000000000F0 +:04828B0000000000EF +:04828C0000000000EE +:04828D0000000000ED +:04828E0000000000EC +:04828F0000000000EB +:0482900000000000EA +:0482910000000000E9 +:0482920000000000E8 +:0482930000000000E7 +:0482940000000000E6 +:0482950000000000E5 +:0482960000000000E4 +:0482970000000000E3 +:0482980000000000E2 +:0482990000000000E1 +:04829A0000000000E0 +:04829B0000000000DF +:04829C0000000000DE +:04829D0000000000DD +:04829E0000000000DC +:04829F0000000000DB +:0482A00000000000DA +:0482A10000000000D9 +:0482A20000000000D8 +:0482A30000000000D7 +:0482A40000000000D6 +:0482A50000000000D5 +:0482A60000000000D4 +:0482A70000000000D3 +:0482A80000000000D2 +:0482A90000000000D1 +:0482AA0000000000D0 +:0482AB0000000000CF +:0482AC0000000000CE +:0482AD0000000000CD +:0482AE0000000000CC +:0482AF0000000000CB +:0482B00000000000CA +:0482B10000000000C9 +:0482B20000000000C8 +:0482B30000000000C7 +:0482B40000000000C6 +:0482B50000000000C5 +:0482B60000000000C4 +:0482B70000000000C3 +:0482B80000000000C2 +:0482B90000000000C1 +:0482BA0000000000C0 +:0482BB0000000000BF +:0482BC0000000000BE +:0482BD0000000000BD +:0482BE0000000000BC +:0482BF0000000000BB +:0482C00000000000BA +:0482C10000000000B9 +:0482C20000000000B8 +:0482C30000000000B7 +:0482C40000000000B6 +:0482C50000000000B5 +:0482C60000000000B4 +:0482C70000000000B3 +:0482C80000000000B2 +:0482C90000000000B1 +:0482CA0000000000B0 +:0482CB0000000000AF +:0482CC0000000000AE +:0482CD0000000000AD +:0482CE0000000000AC +:0482CF0000000000AB +:0482D00000000000AA +:0482D10000000000A9 +:0482D20000000000A8 +:0482D30000000000A7 +:0482D40000000000A6 +:0482D50000000000A5 +:0482D60000000000A4 +:0482D70000000000A3 +:0482D80000000000A2 +:0482D90000000000A1 +:0482DA0000000000A0 +:0482DB00000000009F +:0482DC00000000009E +:0482DD00000000009D +:0482DE00000000009C +:0482DF00000000009B +:0482E000000000009A +:0482E1000000000099 +:0482E2000000000098 +:0482E3000000000097 +:0482E4000000000096 +:0482E5000000000095 +:0482E6000000000094 +:0482E7000000000093 +:0482E8000000000092 +:0482E9000000000091 +:0482EA000000000090 +:0482EB00000000008F +:0482EC00000000008E +:0482ED00000000008D +:0482EE00000000008C +:0482EF00000000008B +:0482F000000000008A +:0482F1000000000089 +:0482F2000000000088 +:0482F3000000000087 +:0482F4000000000086 +:0482F5000000000085 +:0482F6000000000084 +:0482F7000000000083 +:0482F8000000000082 +:0482F9000000000081 +:0482FA000000000080 +:0482FB00000000007F +:0482FC00000000007E +:0482FD00000000007D +:0482FE00000000007C +:0482FF00000000007B +:048300000000000079 +:048301000000000078 +:048302000000000077 +:048303000000000076 +:048304000000000075 +:048305000000000074 +:048306000000000073 +:048307000000000072 +:048308000000000071 +:048309000000000070 +:04830A00000000006F +:04830B00000000006E +:04830C00000000006D +:04830D00000000006C +:04830E00000000006B +:04830F00000000006A +:048310000000000069 +:048311000000000068 +:048312000000000067 +:048313000000000066 +:048314000000000065 +:048315000000000064 +:048316000000000063 +:048317000000000062 +:048318000000000061 +:048319000000000060 +:04831A00000000005F +:04831B00000000005E +:04831C00000000005D +:04831D00000000005C +:04831E00000000005B +:04831F00000000005A +:048320000000000059 +:048321000000000058 +:048322000000000057 +:048323000000000056 +:048324000000000055 +:048325000000000054 +:048326000000000053 +:048327000000000052 +:048328000000000051 +:048329000000000050 +:04832A00000000004F +:04832B00000000004E +:04832C00000000004D +:04832D00000000004C +:04832E00000000004B +:04832F00000000004A +:048330000000000049 +:048331000000000048 +:048332000000000047 +:048333000000000046 +:048334000000000045 +:048335000000000044 +:048336000000000043 +:048337000000000042 +:048338000000000041 +:048339000000000040 +:04833A00000000003F +:04833B00000000003E +:04833C00000000003D +:04833D00000000003C +:04833E00000000003B +:04833F00000000003A +:048340000000000039 +:048341000000000038 +:048342000000000037 +:048343000000000036 +:048344000000000035 +:048345000000000034 +:048346000000000033 +:048347000000000032 +:048348000000000031 +:048349000000000030 +:04834A00000000002F +:04834B00000000002E +:04834C00000000002D +:04834D00000000002C +:04834E00000000002B +:04834F00000000002A +:048350000000000029 +:048351000000000028 +:048352000000000027 +:048353000000000026 +:048354000000000025 +:048355000000000024 +:048356000000000023 +:048357000000000022 +:048358000000000021 +:048359000000000020 +:04835A00000000001F +:04835B00000000001E +:04835C00000000001D +:04835D00000000001C +:04835E00000000001B +:04835F00000000001A +:048360000000000019 +:048361000000000018 +:048362000000000017 +:048363000000000016 +:048364000000000015 +:048365000000000014 +:048366000000000013 +:048367000000000012 +:048368000000000011 +:048369000000000010 +:04836A00000000000F +:04836B00000000000E +:04836C00000000000D +:04836D00000000000C +:04836E00000000000B +:04836F00000000000A +:048370000000000009 +:048371000000000008 +:048372000000000007 +:048373000000000006 +:048374000000000005 +:048375000000000004 +:048376000000000003 +:048377000000000002 +:048378000000000001 +:048379000000000000 +:04837A0000000000FF +:04837B0000000000FE +:04837C0000000000FD +:04837D0000000000FC +:04837E0000000000FB +:04837F0000000000FA +:0483800000000000F9 +:0483810000000000F8 +:0483820000000000F7 +:0483830000000000F6 +:0483840000000000F5 +:0483850000000000F4 +:0483860000000000F3 +:0483870000000000F2 +:0483880000000000F1 +:0483890000000000F0 +:04838A0000000000EF +:04838B0000000000EE +:04838C0000000000ED +:04838D0000000000EC +:04838E0000000000EB +:04838F0000000000EA +:0483900000000000E9 +:0483910000000000E8 +:0483920000000000E7 +:0483930000000000E6 +:0483940000000000E5 +:0483950000000000E4 +:0483960000000000E3 +:0483970000000000E2 +:0483980000000000E1 +:0483990000000000E0 +:04839A0000000000DF +:04839B0000000000DE +:04839C0000000000DD +:04839D0000000000DC +:04839E0000000000DB +:04839F0000000000DA +:0483A00000000000D9 +:0483A10000000000D8 +:0483A20000000000D7 +:0483A30000000000D6 +:0483A40000000000D5 +:0483A50000000000D4 +:0483A60000000000D3 +:0483A70000000000D2 +:0483A80000000000D1 +:0483A90000000000D0 +:0483AA0000000000CF +:0483AB0000000000CE +:0483AC0000000000CD +:0483AD0000000000CC +:0483AE0000000000CB +:0483AF0000000000CA +:0483B00000000000C9 +:0483B10000000000C8 +:0483B20000000000C7 +:0483B30000000000C6 +:0483B40000000000C5 +:0483B50000000000C4 +:0483B60000000000C3 +:0483B70000000000C2 +:0483B80000000000C1 +:0483B90000000000C0 +:0483BA0000000000BF +:0483BB0000000000BE +:0483BC0000000000BD +:0483BD0000000000BC +:0483BE0000000000BB +:0483BF0000000000BA +:0483C00000000000B9 +:0483C10000000000B8 +:0483C20000000000B7 +:0483C30000000000B6 +:0483C40000000000B5 +:0483C50000000000B4 +:0483C60000000000B3 +:0483C70000000000B2 +:0483C80000000000B1 +:0483C90000000000B0 +:0483CA0000000000AF +:0483CB0000000000AE +:0483CC0000000000AD +:0483CD0000000000AC +:0483CE0000000000AB +:0483CF0000000000AA +:0483D00000000000A9 +:0483D10000000000A8 +:0483D20000000000A7 +:0483D30000000000A6 +:0483D40000000000A5 +:0483D50000000000A4 +:0483D60000000000A3 +:0483D70000000000A2 +:0483D80000000000A1 +:0483D90000000000A0 +:0483DA00000000009F +:0483DB00000000009E +:0483DC00000000009D +:0483DD00000000009C +:0483DE00000000009B +:0483DF00000000009A +:0483E0000000000099 +:0483E1000000000098 +:0483E2000000000097 +:0483E3000000000096 +:0483E4000000000095 +:0483E5000000000094 +:0483E6000000000093 +:0483E7000000000092 +:0483E8000000000091 +:0483E9000000000090 +:0483EA00000000008F +:0483EB00000000008E +:0483EC00000000008D +:0483ED00000000008C +:0483EE00000000008B +:0483EF00000000008A +:0483F0000000000089 +:0483F1000000000088 +:0483F2000000000087 +:0483F3000000000086 +:0483F4000000000085 +:0483F5000000000084 +:0483F6000000000083 +:0483F7000000000082 +:0483F8000000000081 +:0483F9000000000080 +:0483FA00000000007F +:0483FB00000000007E +:0483FC00000000007D +:0483FD00000000007C +:0483FE00000000007B +:0483FF00000000007A +:048400000000000078 +:048401000000000077 +:048402000000000076 +:048403000000000075 +:048404000000000074 +:048405000000000073 +:048406000000000072 +:048407000000000071 +:048408000000000070 +:04840900000000006F +:04840A00000000006E +:04840B00000000006D +:04840C00000000006C +:04840D00000000006B +:04840E00000000006A +:04840F000000000069 +:048410000000000068 +:048411000000000067 +:048412000000000066 +:048413000000000065 +:048414000000000064 +:048415000000000063 +:048416000000000062 +:048417000000000061 +:048418000000000060 +:04841900000000005F +:04841A00000000005E +:04841B00000000005D +:04841C00000000005C +:04841D00000000005B +:04841E00000000005A +:04841F000000000059 +:048420000000000058 +:048421000000000057 +:048422000000000056 +:048423000000000055 +:048424000000000054 +:048425000000000053 +:048426000000000052 +:048427000000000051 +:048428000000000050 +:04842900000000004F +:04842A00000000004E +:04842B00000000004D +:04842C00000000004C +:04842D00000000004B +:04842E00000000004A +:04842F000000000049 +:048430000000000048 +:048431000000000047 +:048432000000000046 +:048433000000000045 +:048434000000000044 +:048435000000000043 +:048436000000000042 +:048437000000000041 +:048438000000000040 +:04843900000000003F +:04843A00000000003E +:04843B00000000003D +:04843C00000000003C +:04843D00000000003B +:04843E00000000003A +:04843F000000000039 +:048440000000000038 +:048441000000000037 +:048442000000000036 +:048443000000000035 +:048444000000000034 +:048445000000000033 +:048446000000000032 +:048447000000000031 +:048448000000000030 +:04844900000000002F +:04844A00000000002E +:04844B00000000002D +:04844C00000000002C +:04844D00000000002B +:04844E00000000002A +:04844F000000000029 +:048450000000000028 +:048451000000000027 +:048452000000000026 +:048453000000000025 +:048454000000000024 +:048455000000000023 +:048456000000000022 +:048457000000000021 +:048458000000000020 +:04845900000000001F +:04845A00000000001E +:04845B00000000001D +:04845C00000000001C +:04845D00000000001B +:04845E00000000001A +:04845F000000000019 +:048460000000000018 +:048461000000000017 +:048462000000000016 +:048463000000000015 +:048464000000000014 +:048465000000000013 +:048466000000000012 +:048467000000000011 +:048468000000000010 +:04846900000000000F +:04846A00000000000E +:04846B00000000000D +:04846C00000000000C +:04846D00000000000B +:04846E00000000000A +:04846F000000000009 +:048470000000000008 +:048471000000000007 +:048472000000000006 +:048473000000000005 +:048474000000000004 +:048475000000000003 +:048476000000000002 +:048477000000000001 +:048478000000000000 +:0484790000000000FF +:04847A0000000000FE +:04847B0000000000FD +:04847C0000000000FC +:04847D0000000000FB +:04847E0000000000FA +:04847F0000000000F9 +:0484800000000000F8 +:0484810000000000F7 +:0484820000000000F6 +:0484830000000000F5 +:0484840000000000F4 +:0484850000000000F3 +:0484860000000000F2 +:0484870000000000F1 +:0484880000000000F0 +:0484890000000000EF +:04848A0000000000EE +:04848B0000000000ED +:04848C0000000000EC +:04848D0000000000EB +:04848E0000000000EA +:04848F0000000000E9 +:0484900000000000E8 +:0484910000000000E7 +:0484920000000000E6 +:0484930000000000E5 +:0484940000000000E4 +:0484950000000000E3 +:0484960000000000E2 +:0484970000000000E1 +:0484980000000000E0 +:0484990000000000DF +:04849A0000000000DE +:04849B0000000000DD +:04849C0000000000DC +:04849D0000000000DB +:04849E0000000000DA +:04849F0000000000D9 +:0484A00000000000D8 +:0484A10000000000D7 +:0484A20000000000D6 +:0484A30000000000D5 +:0484A40000000000D4 +:0484A50000000000D3 +:0484A60000000000D2 +:0484A70000000000D1 +:0484A80000000000D0 +:0484A90000000000CF +:0484AA0000000000CE +:0484AB0000000000CD +:0484AC0000000000CC +:0484AD0000000000CB +:0484AE0000000000CA +:0484AF0000000000C9 +:0484B00000000000C8 +:0484B10000000000C7 +:0484B20000000000C6 +:0484B30000000000C5 +:0484B40000000000C4 +:0484B50000000000C3 +:0484B60000000000C2 +:0484B70000000000C1 +:0484B80000000000C0 +:0484B90000000000BF +:0484BA0000000000BE +:0484BB0000000000BD +:0484BC0000000000BC +:0484BD0000000000BB +:0484BE0000000000BA +:0484BF0000000000B9 +:0484C00000000000B8 +:0484C10000000000B7 +:0484C20000000000B6 +:0484C30000000000B5 +:0484C40000000000B4 +:0484C50000000000B3 +:0484C60000000000B2 +:0484C70000000000B1 +:0484C80000000000B0 +:0484C90000000000AF +:0484CA0000000000AE +:0484CB0000000000AD +:0484CC0000000000AC +:0484CD0000000000AB +:0484CE0000000000AA +:0484CF0000000000A9 +:0484D00000000000A8 +:0484D10000000000A7 +:0484D20000000000A6 +:0484D30000000000A5 +:0484D40000000000A4 +:0484D50000000000A3 +:0484D60000000000A2 +:0484D70000000000A1 +:0484D80000000000A0 +:0484D900000000009F +:0484DA00000000009E +:0484DB00000000009D +:0484DC00000000009C +:0484DD00000000009B +:0484DE00000000009A +:0484DF000000000099 +:0484E0000000000098 +:0484E1000000000097 +:0484E2000000000096 +:0484E3000000000095 +:0484E4000000000094 +:0484E5000000000093 +:0484E6000000000092 +:0484E7000000000091 +:0484E8000000000090 +:0484E900000000008F +:0484EA00000000008E +:0484EB00000000008D +:0484EC00000000008C +:0484ED00000000008B +:0484EE00000000008A +:0484EF000000000089 +:0484F0000000000088 +:0484F1000000000087 +:0484F2000000000086 +:0484F3000000000085 +:0484F4000000000084 +:0484F5000000000083 +:0484F6000000000082 +:0484F7000000000081 +:0484F8000000000080 +:0484F900000000007F +:0484FA00000000007E +:0484FB00000000007D +:0484FC00000000007C +:0484FD00000000007B +:0484FE00000000007A +:0484FF000000000079 +:048500000000000077 +:048501000000000076 +:048502000000000075 +:048503000000000074 +:048504000000000073 +:048505000000000072 +:048506000000000071 +:048507000000000070 +:04850800000000006F +:04850900000000006E +:04850A00000000006D +:04850B00000000006C +:04850C00000000006B +:04850D00000000006A +:04850E000000000069 +:04850F000000000068 +:048510000000000067 +:048511000000000066 +:048512000000000065 +:048513000000000064 +:048514000000000063 +:048515000000000062 +:048516000000000061 +:048517000000000060 +:04851800000000005F +:04851900000000005E +:04851A00000000005D +:04851B00000000005C +:04851C00000000005B +:04851D00000000005A +:04851E000000000059 +:04851F000000000058 +:048520000000000057 +:048521000000000056 +:048522000000000055 +:048523000000000054 +:048524000000000053 +:048525000000000052 +:048526000000000051 +:048527000000000050 +:04852800000000004F +:04852900000000004E +:04852A00000000004D +:04852B00000000004C +:04852C00000000004B +:04852D00000000004A +:04852E000000000049 +:04852F000000000048 +:048530000000000047 +:048531000000000046 +:048532000000000045 +:048533000000000044 +:048534000000000043 +:048535000000000042 +:048536000000000041 +:048537000000000040 +:04853800000000003F +:04853900000000003E +:04853A00000000003D +:04853B00000000003C +:04853C00000000003B +:04853D00000000003A +:04853E000000000039 +:04853F000000000038 +:048540000000000037 +:048541000000000036 +:048542000000000035 +:048543000000000034 +:048544000000000033 +:048545000000000032 +:048546000000000031 +:048547000000000030 +:04854800000000002F +:04854900000000002E +:04854A00000000002D +:04854B00000000002C +:04854C00000000002B +:04854D00000000002A +:04854E000000000029 +:04854F000000000028 +:048550000000000027 +:048551000000000026 +:048552000000000025 +:048553000000000024 +:048554000000000023 +:048555000000000022 +:048556000000000021 +:048557000000000020 +:04855800000000001F +:04855900000000001E +:04855A00000000001D +:04855B00000000001C +:04855C00000000001B +:04855D00000000001A +:04855E000000000019 +:04855F000000000018 +:048560000000000017 +:048561000000000016 +:048562000000000015 +:048563000000000014 +:048564000000000013 +:048565000000000012 +:048566000000000011 +:048567000000000010 +:04856800000000000F +:04856900000000000E +:04856A00000000000D +:04856B00000000000C +:04856C00000000000B +:04856D00000000000A +:04856E000000000009 +:04856F000000000008 +:048570000000000007 +:048571000000000006 +:048572000000000005 +:048573000000000004 +:048574000000000003 +:048575000000000002 +:048576000000000001 +:048577000000000000 +:0485780000000000FF +:0485790000000000FE +:04857A0000000000FD +:04857B0000000000FC +:04857C0000000000FB +:04857D0000000000FA +:04857E0000000000F9 +:04857F0000000000F8 +:0485800000000000F7 +:0485810000000000F6 +:0485820000000000F5 +:0485830000000000F4 +:0485840000000000F3 +:0485850000000000F2 +:0485860000000000F1 +:0485870000000000F0 +:0485880000000000EF +:0485890000000000EE +:04858A0000000000ED +:04858B0000000000EC +:04858C0000000000EB +:04858D0000000000EA +:04858E0000000000E9 +:04858F0000000000E8 +:0485900000000000E7 +:0485910000000000E6 +:0485920000000000E5 +:0485930000000000E4 +:0485940000000000E3 +:0485950000000000E2 +:0485960000000000E1 +:0485970000000000E0 +:0485980000000000DF +:0485990000000000DE +:04859A0000000000DD +:04859B0000000000DC +:04859C0000000000DB +:04859D0000000000DA +:04859E0000000000D9 +:04859F0000000000D8 +:0485A00000000000D7 +:0485A10000000000D6 +:0485A20000000000D5 +:0485A30000000000D4 +:0485A40000000000D3 +:0485A50000000000D2 +:0485A60000000000D1 +:0485A70000000000D0 +:0485A80000000000CF +:0485A90000000000CE +:0485AA0000000000CD +:0485AB0000000000CC +:0485AC0000000000CB +:0485AD0000000000CA +:0485AE0000000000C9 +:0485AF0000000000C8 +:0485B00000000000C7 +:0485B10000000000C6 +:0485B20000000000C5 +:0485B30000000000C4 +:0485B40000000000C3 +:0485B50000000000C2 +:0485B60000000000C1 +:0485B70000000000C0 +:0485B80000000000BF +:0485B90000000000BE +:0485BA0000000000BD +:0485BB0000000000BC +:0485BC0000000000BB +:0485BD0000000000BA +:0485BE0000000000B9 +:0485BF0000000000B8 +:0485C00000000000B7 +:0485C10000000000B6 +:0485C20000000000B5 +:0485C30000000000B4 +:0485C40000000000B3 +:0485C50000000000B2 +:0485C60000000000B1 +:0485C70000000000B0 +:0485C80000000000AF +:0485C90000000000AE +:0485CA0000000000AD +:0485CB0000000000AC +:0485CC0000000000AB +:0485CD0000000000AA +:0485CE0000000000A9 +:0485CF0000000000A8 +:0485D00000000000A7 +:0485D10000000000A6 +:0485D20000000000A5 +:0485D30000000000A4 +:0485D40000000000A3 +:0485D50000000000A2 +:0485D60000000000A1 +:0485D70000000000A0 +:0485D800000000009F +:0485D900000000009E +:0485DA00000000009D +:0485DB00000000009C +:0485DC00000000009B +:0485DD00000000009A +:0485DE000000000099 +:0485DF000000000098 +:0485E0000000000097 +:0485E1000000000096 +:0485E2000000000095 +:0485E3000000000094 +:0485E4000000000093 +:0485E5000000000092 +:0485E6000000000091 +:0485E7000000000090 +:0485E800000000008F +:0485E900000000008E +:0485EA00000000008D +:0485EB00000000008C +:0485EC00000000008B +:0485ED00000000008A +:0485EE000000000089 +:0485EF000000000088 +:0485F0000000000087 +:0485F1000000000086 +:0485F2000000000085 +:0485F3000000000084 +:0485F4000000000083 +:0485F5000000000082 +:0485F6000000000081 +:0485F7000000000080 +:0485F800000000007F +:0485F900000000007E +:0485FA00000000007D +:0485FB00000000007C +:0485FC00000000007B +:0485FD00000000007A +:0485FE000000000079 +:0485FF000000000078 +:048600000000000076 +:048601000000000075 +:048602000000000074 +:048603000000000073 +:048604000000000072 +:048605000000000071 +:048606000000000070 +:04860700000000006F +:04860800000000006E +:04860900000000006D +:04860A00000000006C +:04860B00000000006B +:04860C00000000006A +:04860D000000000069 +:04860E000000000068 +:04860F000000000067 +:048610000000000066 +:048611000000000065 +:048612000000000064 +:048613000000000063 +:048614000000000062 +:048615000000000061 +:048616000000000060 +:04861700000000005F +:04861800000000005E +:04861900000000005D +:04861A00000000005C +:04861B00000000005B +:04861C00000000005A +:04861D000000000059 +:04861E000000000058 +:04861F000000000057 +:048620000000000056 +:048621000000000055 +:048622000000000054 +:048623000000000053 +:048624000000000052 +:048625000000000051 +:048626000000000050 +:04862700000000004F +:04862800000000004E +:04862900000000004D +:04862A00000000004C +:04862B00000000004B +:04862C00000000004A +:04862D000000000049 +:04862E000000000048 +:04862F000000000047 +:048630000000000046 +:048631000000000045 +:048632000000000044 +:048633000000000043 +:048634000000000042 +:048635000000000041 +:048636000000000040 +:04863700000000003F +:04863800000000003E +:04863900000000003D +:04863A00000000003C +:04863B00000000003B +:04863C00000000003A +:04863D000000000039 +:04863E000000000038 +:04863F000000000037 +:048640000000000036 +:048641000000000035 +:048642000000000034 +:048643000000000033 +:048644000000000032 +:048645000000000031 +:048646000000000030 +:04864700000000002F +:04864800000000002E +:04864900000000002D +:04864A00000000002C +:04864B00000000002B +:04864C00000000002A +:04864D000000000029 +:04864E000000000028 +:04864F000000000027 +:048650000000000026 +:048651000000000025 +:048652000000000024 +:048653000000000023 +:048654000000000022 +:048655000000000021 +:048656000000000020 +:04865700000000001F +:04865800000000001E +:04865900000000001D +:04865A00000000001C +:04865B00000000001B +:04865C00000000001A +:04865D000000000019 +:04865E000000000018 +:04865F000000000017 +:048660000000000016 +:048661000000000015 +:048662000000000014 +:048663000000000013 +:048664000000000012 +:048665000000000011 +:048666000000000010 +:04866700000000000F +:04866800000000000E +:04866900000000000D +:04866A00000000000C +:04866B00000000000B +:04866C00000000000A +:04866D000000000009 +:04866E000000000008 +:04866F000000000007 +:048670000000000006 +:048671000000000005 +:048672000000000004 +:048673000000000003 +:048674000000000002 +:048675000000000001 +:048676000000000000 +:0486770000000000FF +:0486780000000000FE +:0486790000000000FD +:04867A0000000000FC +:04867B0000000000FB +:04867C0000000000FA +:04867D0000000000F9 +:04867E0000000000F8 +:04867F0000000000F7 +:0486800000000000F6 +:0486810000000000F5 +:0486820000000000F4 +:0486830000000000F3 +:0486840000000000F2 +:0486850000000000F1 +:0486860000000000F0 +:0486870000000000EF +:0486880000000000EE +:0486890000000000ED +:04868A0000000000EC +:04868B0000000000EB +:04868C0000000000EA +:04868D0000000000E9 +:04868E0000000000E8 +:04868F0000000000E7 +:0486900000000000E6 +:0486910000000000E5 +:0486920000000000E4 +:0486930000000000E3 +:0486940000000000E2 +:0486950000000000E1 +:0486960000000000E0 +:0486970000000000DF +:0486980000000000DE +:0486990000000000DD +:04869A0000000000DC +:04869B0000000000DB +:04869C0000000000DA +:04869D0000000000D9 +:04869E0000000000D8 +:04869F0000000000D7 +:0486A00000000000D6 +:0486A10000000000D5 +:0486A20000000000D4 +:0486A30000000000D3 +:0486A40000000000D2 +:0486A50000000000D1 +:0486A60000000000D0 +:0486A70000000000CF +:0486A80000000000CE +:0486A90000000000CD +:0486AA0000000000CC +:0486AB0000000000CB +:0486AC0000000000CA +:0486AD0000000000C9 +:0486AE0000000000C8 +:0486AF0000000000C7 +:0486B00000000000C6 +:0486B10000000000C5 +:0486B20000000000C4 +:0486B30000000000C3 +:0486B40000000000C2 +:0486B50000000000C1 +:0486B60000000000C0 +:0486B70000000000BF +:0486B80000000000BE +:0486B90000000000BD +:0486BA0000000000BC +:0486BB0000000000BB +:0486BC0000000000BA +:0486BD0000000000B9 +:0486BE0000000000B8 +:0486BF0000000000B7 +:0486C00000000000B6 +:0486C10000000000B5 +:0486C20000000000B4 +:0486C30000000000B3 +:0486C40000000000B2 +:0486C50000000000B1 +:0486C60000000000B0 +:0486C70000000000AF +:0486C80000000000AE +:0486C90000000000AD +:0486CA0000000000AC +:0486CB0000000000AB +:0486CC0000000000AA +:0486CD0000000000A9 +:0486CE0000000000A8 +:0486CF0000000000A7 +:0486D00000000000A6 +:0486D10000000000A5 +:0486D20000000000A4 +:0486D30000000000A3 +:0486D40000000000A2 +:0486D50000000000A1 +:0486D60000000000A0 +:0486D700000000009F +:0486D800000000009E +:0486D900000000009D +:0486DA00000000009C +:0486DB00000000009B +:0486DC00000000009A +:0486DD000000000099 +:0486DE000000000098 +:0486DF000000000097 +:0486E0000000000096 +:0486E1000000000095 +:0486E2000000000094 +:0486E3000000000093 +:0486E4000000000092 +:0486E5000000000091 +:0486E6000000000090 +:0486E700000000008F +:0486E800000000008E +:0486E900000000008D +:0486EA00000000008C +:0486EB00000000008B +:0486EC00000000008A +:0486ED000000000089 +:0486EE000000000088 +:0486EF000000000087 +:0486F0000000000086 +:0486F1000000000085 +:0486F2000000000084 +:0486F3000000000083 +:0486F4000000000082 +:0486F5000000000081 +:0486F6000000000080 +:0486F700000000007F +:0486F800000000007E +:0486F900000000007D +:0486FA00000000007C +:0486FB00000000007B +:0486FC00000000007A +:0486FD000000000079 +:0486FE000000000078 +:0486FF000000000077 +:048700000000000075 +:048701000000000074 +:048702000000000073 +:048703000000000072 +:048704000000000071 +:048705000000000070 +:04870600000000006F +:04870700000000006E +:04870800000000006D +:04870900000000006C +:04870A00000000006B +:04870B00000000006A +:04870C000000000069 +:04870D000000000068 +:04870E000000000067 +:04870F000000000066 +:048710000000000065 +:048711000000000064 +:048712000000000063 +:048713000000000062 +:048714000000000061 +:048715000000000060 +:04871600000000005F +:04871700000000005E +:04871800000000005D +:04871900000000005C +:04871A00000000005B +:04871B00000000005A +:04871C000000000059 +:04871D000000000058 +:04871E000000000057 +:04871F000000000056 +:048720000000000055 +:048721000000000054 +:048722000000000053 +:048723000000000052 +:048724000000000051 +:048725000000000050 +:04872600000000004F +:04872700000000004E +:04872800000000004D +:04872900000000004C +:04872A00000000004B +:04872B00000000004A +:04872C000000000049 +:04872D000000000048 +:04872E000000000047 +:04872F000000000046 +:048730000000000045 +:048731000000000044 +:048732000000000043 +:048733000000000042 +:048734000000000041 +:048735000000000040 +:04873600000000003F +:04873700000000003E +:04873800000000003D +:04873900000000003C +:04873A00000000003B +:04873B00000000003A +:04873C000000000039 +:04873D000000000038 +:04873E000000000037 +:04873F000000000036 +:048740000000000035 +:048741000000000034 +:048742000000000033 +:048743000000000032 +:048744000000000031 +:048745000000000030 +:04874600000000002F +:04874700000000002E +:04874800000000002D +:04874900000000002C +:04874A00000000002B +:04874B00000000002A +:04874C000000000029 +:04874D000000000028 +:04874E000000000027 +:04874F000000000026 +:048750000000000025 +:048751000000000024 +:048752000000000023 +:048753000000000022 +:048754000000000021 +:048755000000000020 +:04875600000000001F +:04875700000000001E +:04875800000000001D +:04875900000000001C +:04875A00000000001B +:04875B00000000001A +:04875C000000000019 +:04875D000000000018 +:04875E000000000017 +:04875F000000000016 +:048760000000000015 +:048761000000000014 +:048762000000000013 +:048763000000000012 +:048764000000000011 +:048765000000000010 +:04876600000000000F +:04876700000000000E +:04876800000000000D +:04876900000000000C +:04876A00000000000B +:04876B00000000000A +:04876C000000000009 +:04876D000000000008 +:04876E000000000007 +:04876F000000000006 +:048770000000000005 +:048771000000000004 +:048772000000000003 +:048773000000000002 +:048774000000000001 +:048775000000000000 +:0487760000000000FF +:0487770000000000FE +:0487780000000000FD +:0487790000000000FC +:04877A0000000000FB +:04877B0000000000FA +:04877C0000000000F9 +:04877D0000000000F8 +:04877E0000000000F7 +:04877F0000000000F6 +:0487800000000000F5 +:0487810000000000F4 +:0487820000000000F3 +:0487830000000000F2 +:0487840000000000F1 +:0487850000000000F0 +:0487860000000000EF +:0487870000000000EE +:0487880000000000ED +:0487890000000000EC +:04878A0000000000EB +:04878B0000000000EA +:04878C0000000000E9 +:04878D0000000000E8 +:04878E0000000000E7 +:04878F0000000000E6 +:0487900000000000E5 +:0487910000000000E4 +:0487920000000000E3 +:0487930000000000E2 +:0487940000000000E1 +:0487950000000000E0 +:0487960000000000DF +:0487970000000000DE +:0487980000000000DD +:0487990000000000DC +:04879A0000000000DB +:04879B0000000000DA +:04879C0000000000D9 +:04879D0000000000D8 +:04879E0000000000D7 +:04879F0000000000D6 +:0487A00000000000D5 +:0487A10000000000D4 +:0487A20000000000D3 +:0487A30000000000D2 +:0487A40000000000D1 +:0487A50000000000D0 +:0487A60000000000CF +:0487A70000000000CE +:0487A80000000000CD +:0487A90000000000CC +:0487AA0000000000CB +:0487AB0000000000CA +:0487AC0000000000C9 +:0487AD0000000000C8 +:0487AE0000000000C7 +:0487AF0000000000C6 +:0487B00000000000C5 +:0487B10000000000C4 +:0487B20000000000C3 +:0487B30000000000C2 +:0487B40000000000C1 +:0487B50000000000C0 +:0487B60000000000BF +:0487B70000000000BE +:0487B80000000000BD +:0487B90000000000BC +:0487BA0000000000BB +:0487BB0000000000BA +:0487BC0000000000B9 +:0487BD0000000000B8 +:0487BE0000000000B7 +:0487BF0000000000B6 +:0487C00000000000B5 +:0487C10000000000B4 +:0487C20000000000B3 +:0487C30000000000B2 +:0487C40000000000B1 +:0487C50000000000B0 +:0487C60000000000AF +:0487C70000000000AE +:0487C80000000000AD +:0487C90000000000AC +:0487CA0000000000AB +:0487CB0000000000AA +:0487CC0000000000A9 +:0487CD0000000000A8 +:0487CE0000000000A7 +:0487CF0000000000A6 +:0487D00000000000A5 +:0487D10000000000A4 +:0487D20000000000A3 +:0487D30000000000A2 +:0487D40000000000A1 +:0487D50000000000A0 +:0487D600000000009F +:0487D700000000009E +:0487D800000000009D +:0487D900000000009C +:0487DA00000000009B +:0487DB00000000009A +:0487DC000000000099 +:0487DD000000000098 +:0487DE000000000097 +:0487DF000000000096 +:0487E0000000000095 +:0487E1000000000094 +:0487E2000000000093 +:0487E3000000000092 +:0487E4000000000091 +:0487E5000000000090 +:0487E600000000008F +:0487E700000000008E +:0487E800000000008D +:0487E900000000008C +:0487EA00000000008B +:0487EB00000000008A +:0487EC000000000089 +:0487ED000000000088 +:0487EE000000000087 +:0487EF000000000086 +:0487F0000000000085 +:0487F1000000000084 +:0487F2000000000083 +:0487F3000000000082 +:0487F4000000000081 +:0487F5000000000080 +:0487F600000000007F +:0487F700000000007E +:0487F800000000007D +:0487F900000000007C +:0487FA00000000007B +:0487FB00000000007A +:0487FC000000000079 +:0487FD000000000078 +:0487FE000000000077 +:0487FF000000000076 +:048800000000000074 +:048801000000000073 +:048802000000000072 +:048803000000000071 +:048804000000000070 +:04880500000000006F +:04880600000000006E +:04880700000000006D +:04880800000000006C +:04880900000000006B +:04880A00000000006A +:04880B000000000069 +:04880C000000000068 +:04880D000000000067 +:04880E000000000066 +:04880F000000000065 +:048810000000000064 +:048811000000000063 +:048812000000000062 +:048813000000000061 +:048814000000000060 +:04881500000000005F +:04881600000000005E +:04881700000000005D +:04881800000000005C +:04881900000000005B +:04881A00000000005A +:04881B000000000059 +:04881C000000000058 +:04881D000000000057 +:04881E000000000056 +:04881F000000000055 +:048820000000000054 +:048821000000000053 +:048822000000000052 +:048823000000000051 +:048824000000000050 +:04882500000000004F +:04882600000000004E +:04882700000000004D +:04882800000000004C +:04882900000000004B +:04882A00000000004A +:04882B000000000049 +:04882C000000000048 +:04882D000000000047 +:04882E000000000046 +:04882F000000000045 +:048830000000000044 +:048831000000000043 +:048832000000000042 +:048833000000000041 +:048834000000000040 +:04883500000000003F +:04883600000000003E +:04883700000000003D +:04883800000000003C +:04883900000000003B +:04883A00000000003A +:04883B000000000039 +:04883C000000000038 +:04883D000000000037 +:04883E000000000036 +:04883F000000000035 +:048840000000000034 +:048841000000000033 +:048842000000000032 +:048843000000000031 +:048844000000000030 +:04884500000000002F +:04884600000000002E +:04884700000000002D +:04884800000000002C +:04884900000000002B +:04884A00000000002A +:04884B000000000029 +:04884C000000000028 +:04884D000000000027 +:04884E000000000026 +:04884F000000000025 +:048850000000000024 +:048851000000000023 +:048852000000000022 +:048853000000000021 +:048854000000000020 +:04885500000000001F +:04885600000000001E +:04885700000000001D +:04885800000000001C +:04885900000000001B +:04885A00000000001A +:04885B000000000019 +:04885C000000000018 +:04885D000000000017 +:04885E000000000016 +:04885F000000000015 +:048860000000000014 +:048861000000000013 +:048862000000000012 +:048863000000000011 +:048864000000000010 +:04886500000000000F +:04886600000000000E +:04886700000000000D +:04886800000000000C +:04886900000000000B +:04886A00000000000A +:04886B000000000009 +:04886C000000000008 +:04886D000000000007 +:04886E000000000006 +:04886F000000000005 +:048870000000000004 +:048871000000000003 +:048872000000000002 +:048873000000000001 +:048874000000000000 +:0488750000000000FF +:0488760000000000FE +:0488770000000000FD +:0488780000000000FC +:0488790000000000FB +:04887A0000000000FA +:04887B0000000000F9 +:04887C0000000000F8 +:04887D0000000000F7 +:04887E0000000000F6 +:04887F0000000000F5 +:0488800000000000F4 +:0488810000000000F3 +:0488820000000000F2 +:0488830000000000F1 +:0488840000000000F0 +:0488850000000000EF +:0488860000000000EE +:0488870000000000ED +:0488880000000000EC +:0488890000000000EB +:04888A0000000000EA +:04888B0000000000E9 +:04888C0000000000E8 +:04888D0000000000E7 +:04888E0000000000E6 +:04888F0000000000E5 +:0488900000000000E4 +:0488910000000000E3 +:0488920000000000E2 +:0488930000000000E1 +:0488940000000000E0 +:0488950000000000DF +:0488960000000000DE +:0488970000000000DD +:0488980000000000DC +:0488990000000000DB +:04889A0000000000DA +:04889B0000000000D9 +:04889C0000000000D8 +:04889D0000000000D7 +:04889E0000000000D6 +:04889F0000000000D5 +:0488A00000000000D4 +:0488A10000000000D3 +:0488A20000000000D2 +:0488A30000000000D1 +:0488A40000000000D0 +:0488A50000000000CF +:0488A60000000000CE +:0488A70000000000CD +:0488A80000000000CC +:0488A90000000000CB +:0488AA0000000000CA +:0488AB0000000000C9 +:0488AC0000000000C8 +:0488AD0000000000C7 +:0488AE0000000000C6 +:0488AF0000000000C5 +:0488B00000000000C4 +:0488B10000000000C3 +:0488B20000000000C2 +:0488B30000000000C1 +:0488B40000000000C0 +:0488B50000000000BF +:0488B60000000000BE +:0488B70000000000BD +:0488B80000000000BC +:0488B90000000000BB +:0488BA0000000000BA +:0488BB0000000000B9 +:0488BC0000000000B8 +:0488BD0000000000B7 +:0488BE0000000000B6 +:0488BF0000000000B5 +:0488C00000000000B4 +:0488C10000000000B3 +:0488C20000000000B2 +:0488C30000000000B1 +:0488C40000000000B0 +:0488C50000000000AF +:0488C60000000000AE +:0488C70000000000AD +:0488C80000000000AC +:0488C90000000000AB +:0488CA0000000000AA +:0488CB0000000000A9 +:0488CC0000000000A8 +:0488CD0000000000A7 +:0488CE0000000000A6 +:0488CF0000000000A5 +:0488D00000000000A4 +:0488D10000000000A3 +:0488D20000000000A2 +:0488D30000000000A1 +:0488D40000000000A0 +:0488D500000000009F +:0488D600000000009E +:0488D700000000009D +:0488D800000000009C +:0488D900000000009B +:0488DA00000000009A +:0488DB000000000099 +:0488DC000000000098 +:0488DD000000000097 +:0488DE000000000096 +:0488DF000000000095 +:0488E0000000000094 +:0488E1000000000093 +:0488E2000000000092 +:0488E3000000000091 +:0488E4000000000090 +:0488E500000000008F +:0488E600000000008E +:0488E700000000008D +:0488E800000000008C +:0488E900000000008B +:0488EA00000000008A +:0488EB000000000089 +:0488EC000000000088 +:0488ED000000000087 +:0488EE000000000086 +:0488EF000000000085 +:0488F0000000000084 +:0488F1000000000083 +:0488F2000000000082 +:0488F3000000000081 +:0488F4000000000080 +:0488F500000000007F +:0488F600000000007E +:0488F700000000007D +:0488F800000000007C +:0488F900000000007B +:0488FA00000000007A +:0488FB000000000079 +:0488FC000000000078 +:0488FD000000000077 +:0488FE000000000076 +:0488FF000000000075 +:048900000000000073 +:048901000000000072 +:048902000000000071 +:048903000000000070 +:04890400000000006F +:04890500000000006E +:04890600000000006D +:04890700000000006C +:04890800000000006B +:04890900000000006A +:04890A000000000069 +:04890B000000000068 +:04890C000000000067 +:04890D000000000066 +:04890E000000000065 +:04890F000000000064 +:048910000000000063 +:048911000000000062 +:048912000000000061 +:048913000000000060 +:04891400000000005F +:04891500000000005E +:04891600000000005D +:04891700000000005C +:04891800000000005B +:04891900000000005A +:04891A000000000059 +:04891B000000000058 +:04891C000000000057 +:04891D000000000056 +:04891E000000000055 +:04891F000000000054 +:048920000000000053 +:048921000000000052 +:048922000000000051 +:048923000000000050 +:04892400000000004F +:04892500000000004E +:04892600000000004D +:04892700000000004C +:04892800000000004B +:04892900000000004A +:04892A000000000049 +:04892B000000000048 +:04892C000000000047 +:04892D000000000046 +:04892E000000000045 +:04892F000000000044 +:048930000000000043 +:048931000000000042 +:048932000000000041 +:048933000000000040 +:04893400000000003F +:04893500000000003E +:04893600000000003D +:04893700000000003C +:04893800000000003B +:04893900000000003A +:04893A000000000039 +:04893B000000000038 +:04893C000000000037 +:04893D000000000036 +:04893E000000000035 +:04893F000000000034 +:048940000000000033 +:048941000000000032 +:048942000000000031 +:048943000000000030 +:04894400000000002F +:04894500000000002E +:04894600000000002D +:04894700000000002C +:04894800000000002B +:04894900000000002A +:04894A000000000029 +:04894B000000000028 +:04894C000000000027 +:04894D000000000026 +:04894E000000000025 +:04894F000000000024 +:048950000000000023 +:048951000000000022 +:048952000000000021 +:048953000000000020 +:04895400000000001F +:04895500000000001E +:04895600000000001D +:04895700000000001C +:04895800000000001B +:04895900000000001A +:04895A000000000019 +:04895B000000000018 +:04895C000000000017 +:04895D000000000016 +:04895E000000000015 +:04895F000000000014 +:048960000000000013 +:048961000000000012 +:048962000000000011 +:048963000000000010 +:04896400000000000F +:04896500000000000E +:04896600000000000D +:04896700000000000C +:04896800000000000B +:04896900000000000A +:04896A000000000009 +:04896B000000000008 +:04896C000000000007 +:04896D000000000006 +:04896E000000000005 +:04896F000000000004 +:048970000000000003 +:048971000000000002 +:048972000000000001 +:048973000000000000 +:0489740000000000FF +:0489750000000000FE +:0489760000000000FD +:0489770000000000FC +:0489780000000000FB +:0489790000000000FA +:04897A0000000000F9 +:04897B0000000000F8 +:04897C0000000000F7 +:04897D0000000000F6 +:04897E0000000000F5 +:04897F0000000000F4 +:0489800000000000F3 +:0489810000000000F2 +:0489820000000000F1 +:0489830000000000F0 +:0489840000000000EF +:0489850000000000EE +:0489860000000000ED +:0489870000000000EC +:0489880000000000EB +:0489890000000000EA +:04898A0000000000E9 +:04898B0000000000E8 +:04898C0000000000E7 +:04898D0000000000E6 +:04898E0000000000E5 +:04898F0000000000E4 +:0489900000000000E3 +:0489910000000000E2 +:0489920000000000E1 +:0489930000000000E0 +:0489940000000000DF +:0489950000000000DE +:0489960000000000DD +:0489970000000000DC +:0489980000000000DB +:0489990000000000DA +:04899A0000000000D9 +:04899B0000000000D8 +:04899C0000000000D7 +:04899D0000000000D6 +:04899E0000000000D5 +:04899F0000000000D4 +:0489A00000000000D3 +:0489A10000000000D2 +:0489A20000000000D1 +:0489A30000000000D0 +:0489A40000000000CF +:0489A50000000000CE +:0489A60000000000CD +:0489A70000000000CC +:0489A80000000000CB +:0489A90000000000CA +:0489AA0000000000C9 +:0489AB0000000000C8 +:0489AC0000000000C7 +:0489AD0000000000C6 +:0489AE0000000000C5 +:0489AF0000000000C4 +:0489B00000000000C3 +:0489B10000000000C2 +:0489B20000000000C1 +:0489B30000000000C0 +:0489B40000000000BF +:0489B50000000000BE +:0489B60000000000BD +:0489B70000000000BC +:0489B80000000000BB +:0489B90000000000BA +:0489BA0000000000B9 +:0489BB0000000000B8 +:0489BC0000000000B7 +:0489BD0000000000B6 +:0489BE0000000000B5 +:0489BF0000000000B4 +:0489C00000000000B3 +:0489C10000000000B2 +:0489C20000000000B1 +:0489C30000000000B0 +:0489C40000000000AF +:0489C50000000000AE +:0489C60000000000AD +:0489C70000000000AC +:0489C80000000000AB +:0489C90000000000AA +:0489CA0000000000A9 +:0489CB0000000000A8 +:0489CC0000000000A7 +:0489CD0000000000A6 +:0489CE0000000000A5 +:0489CF0000000000A4 +:0489D00000000000A3 +:0489D10000000000A2 +:0489D20000000000A1 +:0489D30000000000A0 +:0489D400000000009F +:0489D500000000009E +:0489D600000000009D +:0489D700000000009C +:0489D800000000009B +:0489D900000000009A +:0489DA000000000099 +:0489DB000000000098 +:0489DC000000000097 +:0489DD000000000096 +:0489DE000000000095 +:0489DF000000000094 +:0489E0000000000093 +:0489E1000000000092 +:0489E2000000000091 +:0489E3000000000090 +:0489E400000000008F +:0489E500000000008E +:0489E600000000008D +:0489E700000000008C +:0489E800000000008B +:0489E900000000008A +:0489EA000000000089 +:0489EB000000000088 +:0489EC000000000087 +:0489ED000000000086 +:0489EE000000000085 +:0489EF000000000084 +:0489F0000000000083 +:0489F1000000000082 +:0489F2000000000081 +:0489F3000000000080 +:0489F400000000007F +:0489F500000000007E +:0489F600000000007D +:0489F700000000007C +:0489F800000000007B +:0489F900000000007A +:0489FA000000000079 +:0489FB000000000078 +:0489FC000000000077 +:0489FD000000000076 +:0489FE000000000075 +:0489FF000000000074 +:048A00000000000072 +:048A01000000000071 +:048A02000000000070 +:048A0300000000006F +:048A0400000000006E +:048A0500000000006D +:048A0600000000006C +:048A0700000000006B +:048A0800000000006A +:048A09000000000069 +:048A0A000000000068 +:048A0B000000000067 +:048A0C000000000066 +:048A0D000000000065 +:048A0E000000000064 +:048A0F000000000063 +:048A10000000000062 +:048A11000000000061 +:048A12000000000060 +:048A1300000000005F +:048A1400000000005E +:048A1500000000005D +:048A1600000000005C +:048A1700000000005B +:048A1800000000005A +:048A19000000000059 +:048A1A000000000058 +:048A1B000000000057 +:048A1C000000000056 +:048A1D000000000055 +:048A1E000000000054 +:048A1F000000000053 +:048A20000000000052 +:048A21000000000051 +:048A22000000000050 +:048A2300000000004F +:048A2400000000004E +:048A2500000000004D +:048A2600000000004C +:048A2700000000004B +:048A2800000000004A +:048A29000000000049 +:048A2A000000000048 +:048A2B000000000047 +:048A2C000000000046 +:048A2D000000000045 +:048A2E000000000044 +:048A2F000000000043 +:048A30000000000042 +:048A31000000000041 +:048A32000000000040 +:048A3300000000003F +:048A3400000000003E +:048A3500000000003D +:048A3600000000003C +:048A3700000000003B +:048A3800000000003A +:048A39000000000039 +:048A3A000000000038 +:048A3B000000000037 +:048A3C000000000036 +:048A3D000000000035 +:048A3E000000000034 +:048A3F000000000033 +:048A40000000000032 +:048A41000000000031 +:048A42000000000030 +:048A4300000000002F +:048A4400000000002E +:048A4500000000002D +:048A4600000000002C +:048A4700000000002B +:048A4800000000002A +:048A49000000000029 +:048A4A000000000028 +:048A4B000000000027 +:048A4C000000000026 +:048A4D000000000025 +:048A4E000000000024 +:048A4F000000000023 +:048A50000000000022 +:048A51000000000021 +:048A52000000000020 +:048A5300000000001F +:048A5400000000001E +:048A5500000000001D +:048A5600000000001C +:048A5700000000001B +:048A5800000000001A +:048A59000000000019 +:048A5A000000000018 +:048A5B000000000017 +:048A5C000000000016 +:048A5D000000000015 +:048A5E000000000014 +:048A5F000000000013 +:048A60000000000012 +:048A61000000000011 +:048A62000000000010 +:048A6300000000000F +:048A6400000000000E +:048A6500000000000D +:048A6600000000000C +:048A6700000000000B +:048A6800000000000A +:048A69000000000009 +:048A6A000000000008 +:048A6B000000000007 +:048A6C000000000006 +:048A6D000000000005 +:048A6E000000000004 +:048A6F000000000003 +:048A70000000000002 +:048A71000000000001 +:048A72000000000000 +:048A730000000000FF +:048A740000000000FE +:048A750000000000FD +:048A760000000000FC +:048A770000000000FB +:048A780000000000FA +:048A790000000000F9 +:048A7A0000000000F8 +:048A7B0000000000F7 +:048A7C0000000000F6 +:048A7D0000000000F5 +:048A7E0000000000F4 +:048A7F0000000000F3 +:048A800000000000F2 +:048A810000000000F1 +:048A820000000000F0 +:048A830000000000EF +:048A840000000000EE +:048A850000000000ED +:048A860000000000EC +:048A870000000000EB +:048A880000000000EA +:048A890000000000E9 +:048A8A0000000000E8 +:048A8B0000000000E7 +:048A8C0000000000E6 +:048A8D0000000000E5 +:048A8E0000000000E4 +:048A8F0000000000E3 +:048A900000000000E2 +:048A910000000000E1 +:048A920000000000E0 +:048A930000000000DF +:048A940000000000DE +:048A950000000000DD +:048A960000000000DC +:048A970000000000DB +:048A980000000000DA +:048A990000000000D9 +:048A9A0000000000D8 +:048A9B0000000000D7 +:048A9C0000000000D6 +:048A9D0000000000D5 +:048A9E0000000000D4 +:048A9F0000000000D3 +:048AA00000000000D2 +:048AA10000000000D1 +:048AA20000000000D0 +:048AA30000000000CF +:048AA40000000000CE +:048AA50000000000CD +:048AA60000000000CC +:048AA70000000000CB +:048AA80000000000CA +:048AA90000000000C9 +:048AAA0000000000C8 +:048AAB0000000000C7 +:048AAC0000000000C6 +:048AAD0000000000C5 +:048AAE0000000000C4 +:048AAF0000000000C3 +:048AB00000000000C2 +:048AB10000000000C1 +:048AB20000000000C0 +:048AB30000000000BF +:048AB40000000000BE +:048AB50000000000BD +:048AB60000000000BC +:048AB70000000000BB +:048AB80000000000BA +:048AB90000000000B9 +:048ABA0000000000B8 +:048ABB0000000000B7 +:048ABC0000000000B6 +:048ABD0000000000B5 +:048ABE0000000000B4 +:048ABF0000000000B3 +:048AC00000000000B2 +:048AC10000000000B1 +:048AC20000000000B0 +:048AC30000000000AF +:048AC40000000000AE +:048AC50000000000AD +:048AC60000000000AC +:048AC70000000000AB +:048AC80000000000AA +:048AC90000000000A9 +:048ACA0000000000A8 +:048ACB0000000000A7 +:048ACC0000000000A6 +:048ACD0000000000A5 +:048ACE0000000000A4 +:048ACF0000000000A3 +:048AD00000000000A2 +:048AD10000000000A1 +:048AD20000000000A0 +:048AD300000000009F +:048AD400000000009E +:048AD500000000009D +:048AD600000000009C +:048AD700000000009B +:048AD800000000009A +:048AD9000000000099 +:048ADA000000000098 +:048ADB000000000097 +:048ADC000000000096 +:048ADD000000000095 +:048ADE000000000094 +:048ADF000000000093 +:048AE0000000000092 +:048AE1000000000091 +:048AE2000000000090 +:048AE300000000008F +:048AE400000000008E +:048AE500000000008D +:048AE600000000008C +:048AE700000000008B +:048AE800000000008A +:048AE9000000000089 +:048AEA000000000088 +:048AEB000000000087 +:048AEC000000000086 +:048AED000000000085 +:048AEE000000000084 +:048AEF000000000083 +:048AF0000000000082 +:048AF1000000000081 +:048AF2000000000080 +:048AF300000000007F +:048AF400000000007E +:048AF500000000007D +:048AF600000000007C +:048AF700000000007B +:048AF800000000007A +:048AF9000000000079 +:048AFA000000000078 +:048AFB000000000077 +:048AFC000000000076 +:048AFD000000000075 +:048AFE000000000074 +:048AFF000000000073 +:048B00000000000071 +:048B01000000000070 +:048B0200000000006F +:048B0300000000006E +:048B0400000000006D +:048B0500000000006C +:048B0600000000006B +:048B0700000000006A +:048B08000000000069 +:048B09000000000068 +:048B0A000000000067 +:048B0B000000000066 +:048B0C000000000065 +:048B0D000000000064 +:048B0E000000000063 +:048B0F000000000062 +:048B10000000000061 +:048B11000000000060 +:048B1200000000005F +:048B1300000000005E +:048B1400000000005D +:048B1500000000005C +:048B1600000000005B +:048B1700000000005A +:048B18000000000059 +:048B19000000000058 +:048B1A000000000057 +:048B1B000000000056 +:048B1C000000000055 +:048B1D000000000054 +:048B1E000000000053 +:048B1F000000000052 +:048B20000000000051 +:048B21000000000050 +:048B2200000000004F +:048B2300000000004E +:048B2400000000004D +:048B2500000000004C +:048B2600000000004B +:048B2700000000004A +:048B28000000000049 +:048B29000000000048 +:048B2A000000000047 +:048B2B000000000046 +:048B2C000000000045 +:048B2D000000000044 +:048B2E000000000043 +:048B2F000000000042 +:048B30000000000041 +:048B31000000000040 +:048B3200000000003F +:048B3300000000003E +:048B3400000000003D +:048B3500000000003C +:048B3600000000003B +:048B3700000000003A +:048B38000000000039 +:048B39000000000038 +:048B3A000000000037 +:048B3B000000000036 +:048B3C000000000035 +:048B3D000000000034 +:048B3E000000000033 +:048B3F000000000032 +:048B40000000000031 +:048B41000000000030 +:048B4200000000002F +:048B4300000000002E +:048B4400000000002D +:048B4500000000002C +:048B4600000000002B +:048B4700000000002A +:048B48000000000029 +:048B49000000000028 +:048B4A000000000027 +:048B4B000000000026 +:048B4C000000000025 +:048B4D000000000024 +:048B4E000000000023 +:048B4F000000000022 +:048B50000000000021 +:048B51000000000020 +:048B5200000000001F +:048B5300000000001E +:048B5400000000001D +:048B5500000000001C +:048B5600000000001B +:048B5700000000001A +:048B58000000000019 +:048B59000000000018 +:048B5A000000000017 +:048B5B000000000016 +:048B5C000000000015 +:048B5D000000000014 +:048B5E000000000013 +:048B5F000000000012 +:048B60000000000011 +:048B61000000000010 +:048B6200000000000F +:048B6300000000000E +:048B6400000000000D +:048B6500000000000C +:048B6600000000000B +:048B6700000000000A +:048B68000000000009 +:048B69000000000008 +:048B6A000000000007 +:048B6B000000000006 +:048B6C000000000005 +:048B6D000000000004 +:048B6E000000000003 +:048B6F000000000002 +:048B70000000000001 +:048B71000000000000 +:048B720000000000FF +:048B730000000000FE +:048B740000000000FD +:048B750000000000FC +:048B760000000000FB +:048B770000000000FA +:048B780000000000F9 +:048B790000000000F8 +:048B7A0000000000F7 +:048B7B0000000000F6 +:048B7C0000000000F5 +:048B7D0000000000F4 +:048B7E0000000000F3 +:048B7F0000000000F2 +:048B800000000000F1 +:048B810000000000F0 +:048B820000000000EF +:048B830000000000EE +:048B840000000000ED +:048B850000000000EC +:048B860000000000EB +:048B870000000000EA +:048B880000000000E9 +:048B890000000000E8 +:048B8A0000000000E7 +:048B8B0000000000E6 +:048B8C0000000000E5 +:048B8D0000000000E4 +:048B8E0000000000E3 +:048B8F0000000000E2 +:048B900000000000E1 +:048B910000000000E0 +:048B920000000000DF +:048B930000000000DE +:048B940000000000DD +:048B950000000000DC +:048B960000000000DB +:048B970000000000DA +:048B980000000000D9 +:048B990000000000D8 +:048B9A0000000000D7 +:048B9B0000000000D6 +:048B9C0000000000D5 +:048B9D0000000000D4 +:048B9E0000000000D3 +:048B9F0000000000D2 +:048BA00000000000D1 +:048BA10000000000D0 +:048BA20000000000CF +:048BA30000000000CE +:048BA40000000000CD +:048BA50000000000CC +:048BA60000000000CB +:048BA70000000000CA +:048BA80000000000C9 +:048BA90000000000C8 +:048BAA0000000000C7 +:048BAB0000000000C6 +:048BAC0000000000C5 +:048BAD0000000000C4 +:048BAE0000000000C3 +:048BAF0000000000C2 +:048BB00000000000C1 +:048BB10000000000C0 +:048BB20000000000BF +:048BB30000000000BE +:048BB40000000000BD +:048BB50000000000BC +:048BB60000000000BB +:048BB70000000000BA +:048BB80000000000B9 +:048BB90000000000B8 +:048BBA0000000000B7 +:048BBB0000000000B6 +:048BBC0000000000B5 +:048BBD0000000000B4 +:048BBE0000000000B3 +:048BBF0000000000B2 +:048BC00000000000B1 +:048BC10000000000B0 +:048BC20000000000AF +:048BC30000000000AE +:048BC40000000000AD +:048BC50000000000AC +:048BC60000000000AB +:048BC70000000000AA +:048BC80000000000A9 +:048BC90000000000A8 +:048BCA0000000000A7 +:048BCB0000000000A6 +:048BCC0000000000A5 +:048BCD0000000000A4 +:048BCE0000000000A3 +:048BCF0000000000A2 +:048BD00000000000A1 +:048BD10000000000A0 +:048BD200000000009F +:048BD300000000009E +:048BD400000000009D +:048BD500000000009C +:048BD600000000009B +:048BD700000000009A +:048BD8000000000099 +:048BD9000000000098 +:048BDA000000000097 +:048BDB000000000096 +:048BDC000000000095 +:048BDD000000000094 +:048BDE000000000093 +:048BDF000000000092 +:048BE0000000000091 +:048BE1000000000090 +:048BE200000000008F +:048BE300000000008E +:048BE400000000008D +:048BE500000000008C +:048BE600000000008B +:048BE700000000008A +:048BE8000000000089 +:048BE9000000000088 +:048BEA000000000087 +:048BEB000000000086 +:048BEC000000000085 +:048BED000000000084 +:048BEE000000000083 +:048BEF000000000082 +:048BF0000000000081 +:048BF1000000000080 +:048BF200000000007F +:048BF300000000007E +:048BF400000000007D +:048BF500000000007C +:048BF600000000007B +:048BF700000000007A +:048BF8000000000079 +:048BF9000000000078 +:048BFA000000000077 +:048BFB000000000076 +:048BFC000000000075 +:048BFD000000000074 +:048BFE000000000073 +:048BFF000000000072 +:048C00000000000070 +:048C0100000000006F +:048C0200000000006E +:048C0300000000006D +:048C0400000000006C +:048C0500000000006B +:048C0600000000006A +:048C07000000000069 +:048C08000000000068 +:048C09000000000067 +:048C0A000000000066 +:048C0B000000000065 +:048C0C000000000064 +:048C0D000000000063 +:048C0E000000000062 +:048C0F000000000061 +:048C10000000000060 +:048C1100000000005F +:048C1200000000005E +:048C1300000000005D +:048C1400000000005C +:048C1500000000005B +:048C1600000000005A +:048C17000000000059 +:048C18000000000058 +:048C19000000000057 +:048C1A000000000056 +:048C1B000000000055 +:048C1C000000000054 +:048C1D000000000053 +:048C1E000000000052 +:048C1F000000000051 +:048C20000000000050 +:048C2100000000004F +:048C2200000000004E +:048C2300000000004D +:048C2400000000004C +:048C2500000000004B +:048C2600000000004A +:048C27000000000049 +:048C28000000000048 +:048C29000000000047 +:048C2A000000000046 +:048C2B000000000045 +:048C2C000000000044 +:048C2D000000000043 +:048C2E000000000042 +:048C2F000000000041 +:048C30000000000040 +:048C3100000000003F +:048C3200000000003E +:048C3300000000003D +:048C3400000000003C +:048C3500000000003B +:048C3600000000003A +:048C37000000000039 +:048C38000000000038 +:048C39000000000037 +:048C3A000000000036 +:048C3B000000000035 +:048C3C000000000034 +:048C3D000000000033 +:048C3E000000000032 +:048C3F000000000031 +:048C40000000000030 +:048C4100000000002F +:048C4200000000002E +:048C4300000000002D +:048C4400000000002C +:048C4500000000002B +:048C4600000000002A +:048C47000000000029 +:048C48000000000028 +:048C49000000000027 +:048C4A000000000026 +:048C4B000000000025 +:048C4C000000000024 +:048C4D000000000023 +:048C4E000000000022 +:048C4F000000000021 +:048C50000000000020 +:048C5100000000001F +:048C5200000000001E +:048C5300000000001D +:048C5400000000001C +:048C5500000000001B +:048C5600000000001A +:048C57000000000019 +:048C58000000000018 +:048C59000000000017 +:048C5A000000000016 +:048C5B000000000015 +:048C5C000000000014 +:048C5D000000000013 +:048C5E000000000012 +:048C5F000000000011 +:048C60000000000010 +:048C6100000000000F +:048C6200000000000E +:048C6300000000000D +:048C6400000000000C +:048C6500000000000B +:048C6600000000000A +:048C67000000000009 +:048C68000000000008 +:048C69000000000007 +:048C6A000000000006 +:048C6B000000000005 +:048C6C000000000004 +:048C6D000000000003 +:048C6E000000000002 +:048C6F000000000001 +:048C70000000000000 +:048C710000000000FF +:048C720000000000FE +:048C730000000000FD +:048C740000000000FC +:048C750000000000FB +:048C760000000000FA +:048C770000000000F9 +:048C780000000000F8 +:048C790000000000F7 +:048C7A0000000000F6 +:048C7B0000000000F5 +:048C7C0000000000F4 +:048C7D0000000000F3 +:048C7E0000000000F2 +:048C7F0000000000F1 +:048C800000000000F0 +:048C810000000000EF +:048C820000000000EE +:048C830000000000ED +:048C840000000000EC +:048C850000000000EB +:048C860000000000EA +:048C870000000000E9 +:048C880000000000E8 +:048C890000000000E7 +:048C8A0000000000E6 +:048C8B0000000000E5 +:048C8C0000000000E4 +:048C8D0000000000E3 +:048C8E0000000000E2 +:048C8F0000000000E1 +:048C900000000000E0 +:048C910000000000DF +:048C920000000000DE +:048C930000000000DD +:048C940000000000DC +:048C950000000000DB +:048C960000000000DA +:048C970000000000D9 +:048C980000000000D8 +:048C990000000000D7 +:048C9A0000000000D6 +:048C9B0000000000D5 +:048C9C0000000000D4 +:048C9D0000000000D3 +:048C9E0000000000D2 +:048C9F0000000000D1 +:048CA00000000000D0 +:048CA10000000000CF +:048CA20000000000CE +:048CA30000000000CD +:048CA40000000000CC +:048CA50000000000CB +:048CA60000000000CA +:048CA70000000000C9 +:048CA80000000000C8 +:048CA90000000000C7 +:048CAA0000000000C6 +:048CAB0000000000C5 +:048CAC0000000000C4 +:048CAD0000000000C3 +:048CAE0000000000C2 +:048CAF0000000000C1 +:048CB00000000000C0 +:048CB10000000000BF +:048CB20000000000BE +:048CB30000000000BD +:048CB40000000000BC +:048CB50000000000BB +:048CB60000000000BA +:048CB70000000000B9 +:048CB80000000000B8 +:048CB90000000000B7 +:048CBA0000000000B6 +:048CBB0000000000B5 +:048CBC0000000000B4 +:048CBD0000000000B3 +:048CBE0000000000B2 +:048CBF0000000000B1 +:048CC00000000000B0 +:048CC10000000000AF +:048CC20000000000AE +:048CC30000000000AD +:048CC40000000000AC +:048CC50000000000AB +:048CC60000000000AA +:048CC70000000000A9 +:048CC80000000000A8 +:048CC90000000000A7 +:048CCA0000000000A6 +:048CCB0000000000A5 +:048CCC0000000000A4 +:048CCD0000000000A3 +:048CCE0000000000A2 +:048CCF0000000000A1 +:048CD00000000000A0 +:048CD100000000009F +:048CD200000000009E +:048CD300000000009D +:048CD400000000009C +:048CD500000000009B +:048CD600000000009A +:048CD7000000000099 +:048CD8000000000098 +:048CD9000000000097 +:048CDA000000000096 +:048CDB000000000095 +:048CDC000000000094 +:048CDD000000000093 +:048CDE000000000092 +:048CDF000000000091 +:048CE0000000000090 +:048CE100000000008F +:048CE200000000008E +:048CE300000000008D +:048CE400000000008C +:048CE500000000008B +:048CE600000000008A +:048CE7000000000089 +:048CE8000000000088 +:048CE9000000000087 +:048CEA000000000086 +:048CEB000000000085 +:048CEC000000000084 +:048CED000000000083 +:048CEE000000000082 +:048CEF000000000081 +:048CF0000000000080 +:048CF100000000007F +:048CF200000000007E +:048CF300000000007D +:048CF400000000007C +:048CF500000000007B +:048CF600000000007A +:048CF7000000000079 +:048CF8000000000078 +:048CF9000000000077 +:048CFA000000000076 +:048CFB000000000075 +:048CFC000000000074 +:048CFD000000000073 +:048CFE000000000072 +:048CFF000000000071 +:048D0000000000006F +:048D0100000000006E +:048D0200000000006D +:048D0300000000006C +:048D0400000000006B +:048D0500000000006A +:048D06000000000069 +:048D07000000000068 +:048D08000000000067 +:048D09000000000066 +:048D0A000000000065 +:048D0B000000000064 +:048D0C000000000063 +:048D0D000000000062 +:048D0E000000000061 +:048D0F000000000060 +:048D1000000000005F +:048D1100000000005E +:048D1200000000005D +:048D1300000000005C +:048D1400000000005B +:048D1500000000005A +:048D16000000000059 +:048D17000000000058 +:048D18000000000057 +:048D19000000000056 +:048D1A000000000055 +:048D1B000000000054 +:048D1C000000000053 +:048D1D000000000052 +:048D1E000000000051 +:048D1F000000000050 +:048D2000000000004F +:048D2100000000004E +:048D2200000000004D +:048D2300000000004C +:048D2400000000004B +:048D2500000000004A +:048D26000000000049 +:048D27000000000048 +:048D28000000000047 +:048D29000000000046 +:048D2A000000000045 +:048D2B000000000044 +:048D2C000000000043 +:048D2D000000000042 +:048D2E000000000041 +:048D2F000000000040 +:048D3000000000003F +:048D3100000000003E +:048D3200000000003D +:048D3300000000003C +:048D3400000000003B +:048D3500000000003A +:048D36000000000039 +:048D37000000000038 +:048D38000000000037 +:048D39000000000036 +:048D3A000000000035 +:048D3B000000000034 +:048D3C000000000033 +:048D3D000000000032 +:048D3E000000000031 +:048D3F000000000030 +:048D4000000000002F +:048D4100000000002E +:048D4200000000002D +:048D4300000000002C +:048D4400000000002B +:048D4500000000002A +:048D46000000000029 +:048D47000000000028 +:048D48000000000027 +:048D49000000000026 +:048D4A000000000025 +:048D4B000000000024 +:048D4C000000000023 +:048D4D000000000022 +:048D4E000000000021 +:048D4F000000000020 +:048D5000000000001F +:048D5100000000001E +:048D5200000000001D +:048D5300000000001C +:048D5400000000001B +:048D5500000000001A +:048D56000000000019 +:048D57000000000018 +:048D58000000000017 +:048D59000000000016 +:048D5A000000000015 +:048D5B000000000014 +:048D5C000000000013 +:048D5D000000000012 +:048D5E000000000011 +:048D5F000000000010 +:048D6000000000000F +:048D6100000000000E +:048D6200000000000D +:048D6300000000000C +:048D6400000000000B +:048D6500000000000A +:048D66000000000009 +:048D67000000000008 +:048D68000000000007 +:048D69000000000006 +:048D6A000000000005 +:048D6B000000000004 +:048D6C000000000003 +:048D6D000000000002 +:048D6E000000000001 +:048D6F000000000000 +:048D700000000000FF +:048D710000000000FE +:048D720000000000FD +:048D730000000000FC +:048D740000000000FB +:048D750000000000FA +:048D760000000000F9 +:048D770000000000F8 +:048D780000000000F7 +:048D790000000000F6 +:048D7A0000000000F5 +:048D7B0000000000F4 +:048D7C0000000000F3 +:048D7D0000000000F2 +:048D7E0000000000F1 +:048D7F0000000000F0 +:048D800000000000EF +:048D810000000000EE +:048D820000000000ED +:048D830000000000EC +:048D840000000000EB +:048D850000000000EA +:048D860000000000E9 +:048D870000000000E8 +:048D880000000000E7 +:048D890000000000E6 +:048D8A0000000000E5 +:048D8B0000000000E4 +:048D8C0000000000E3 +:048D8D0000000000E2 +:048D8E0000000000E1 +:048D8F0000000000E0 +:048D900000000000DF +:048D910000000000DE +:048D920000000000DD +:048D930000000000DC +:048D940000000000DB +:048D950000000000DA +:048D960000000000D9 +:048D970000000000D8 +:048D980000000000D7 +:048D990000000000D6 +:048D9A0000000000D5 +:048D9B0000000000D4 +:048D9C0000000000D3 +:048D9D0000000000D2 +:048D9E0000000000D1 +:048D9F0000000000D0 +:048DA00000000000CF +:048DA10000000000CE +:048DA20000000000CD +:048DA30000000000CC +:048DA40000000000CB +:048DA50000000000CA +:048DA60000000000C9 +:048DA70000000000C8 +:048DA80000000000C7 +:048DA90000000000C6 +:048DAA0000000000C5 +:048DAB0000000000C4 +:048DAC0000000000C3 +:048DAD0000000000C2 +:048DAE0000000000C1 +:048DAF0000000000C0 +:048DB00000000000BF +:048DB10000000000BE +:048DB20000000000BD +:048DB30000000000BC +:048DB40000000000BB +:048DB50000000000BA +:048DB60000000000B9 +:048DB70000000000B8 +:048DB80000000000B7 +:048DB90000000000B6 +:048DBA0000000000B5 +:048DBB0000000000B4 +:048DBC0000000000B3 +:048DBD0000000000B2 +:048DBE0000000000B1 +:048DBF0000000000B0 +:048DC00000000000AF +:048DC10000000000AE +:048DC20000000000AD +:048DC30000000000AC +:048DC40000000000AB +:048DC50000000000AA +:048DC60000000000A9 +:048DC70000000000A8 +:048DC80000000000A7 +:048DC90000000000A6 +:048DCA0000000000A5 +:048DCB0000000000A4 +:048DCC0000000000A3 +:048DCD0000000000A2 +:048DCE0000000000A1 +:048DCF0000000000A0 +:048DD000000000009F +:048DD100000000009E +:048DD200000000009D +:048DD300000000009C +:048DD400000000009B +:048DD500000000009A +:048DD6000000000099 +:048DD7000000000098 +:048DD8000000000097 +:048DD9000000000096 +:048DDA000000000095 +:048DDB000000000094 +:048DDC000000000093 +:048DDD000000000092 +:048DDE000000000091 +:048DDF000000000090 +:048DE000000000008F +:048DE100000000008E +:048DE200000000008D +:048DE300000000008C +:048DE400000000008B +:048DE500000000008A +:048DE6000000000089 +:048DE7000000000088 +:048DE8000000000087 +:048DE9000000000086 +:048DEA000000000085 +:048DEB000000000084 +:048DEC000000000083 +:048DED000000000082 +:048DEE000000000081 +:048DEF000000000080 +:048DF000000000007F +:048DF100000000007E +:048DF200000000007D +:048DF300000000007C +:048DF400000000007B +:048DF500000000007A +:048DF6000000000079 +:048DF7000000000078 +:048DF8000000000077 +:048DF9000000000076 +:048DFA000000000075 +:048DFB000000000074 +:048DFC000000000073 +:048DFD000000000072 +:048DFE000000000071 +:048DFF000000000070 +:048E0000000000006E +:048E0100000000006D +:048E0200000000006C +:048E0300000000006B +:048E0400000000006A +:048E05000000000069 +:048E06000000000068 +:048E07000000000067 +:048E08000000000066 +:048E09000000000065 +:048E0A000000000064 +:048E0B000000000063 +:048E0C000000000062 +:048E0D000000000061 +:048E0E000000000060 +:048E0F00000000005F +:048E1000000000005E +:048E1100000000005D +:048E1200000000005C +:048E1300000000005B +:048E1400000000005A +:048E15000000000059 +:048E16000000000058 +:048E17000000000057 +:048E18000000000056 +:048E19000000000055 +:048E1A000000000054 +:048E1B000000000053 +:048E1C000000000052 +:048E1D000000000051 +:048E1E000000000050 +:048E1F00000000004F +:048E2000000000004E +:048E2100000000004D +:048E2200000000004C +:048E2300000000004B +:048E2400000000004A +:048E25000000000049 +:048E26000000000048 +:048E27000000000047 +:048E28000000000046 +:048E29000000000045 +:048E2A000000000044 +:048E2B000000000043 +:048E2C000000000042 +:048E2D000000000041 +:048E2E000000000040 +:048E2F00000000003F +:048E3000000000003E +:048E3100000000003D +:048E3200000000003C +:048E3300000000003B +:048E3400000000003A +:048E35000000000039 +:048E36000000000038 +:048E37000000000037 +:048E38000000000036 +:048E39000000000035 +:048E3A000000000034 +:048E3B000000000033 +:048E3C000000000032 +:048E3D000000000031 +:048E3E000000000030 +:048E3F00000000002F +:048E4000000000002E +:048E4100000000002D +:048E4200000000002C +:048E4300000000002B +:048E4400000000002A +:048E45000000000029 +:048E46000000000028 +:048E47000000000027 +:048E48000000000026 +:048E49000000000025 +:048E4A000000000024 +:048E4B000000000023 +:048E4C000000000022 +:048E4D000000000021 +:048E4E000000000020 +:048E4F00000000001F +:048E5000000000001E +:048E5100000000001D +:048E5200000000001C +:048E5300000000001B +:048E5400000000001A +:048E55000000000019 +:048E56000000000018 +:048E57000000000017 +:048E58000000000016 +:048E59000000000015 +:048E5A000000000014 +:048E5B000000000013 +:048E5C000000000012 +:048E5D000000000011 +:048E5E000000000010 +:048E5F00000000000F +:048E6000000000000E +:048E6100000000000D +:048E6200000000000C +:048E6300000000000B +:048E6400000000000A +:048E65000000000009 +:048E66000000000008 +:048E67000000000007 +:048E68000000000006 +:048E69000000000005 +:048E6A000000000004 +:048E6B000000000003 +:048E6C000000000002 +:048E6D000000000001 +:048E6E000000000000 +:048E6F0000000000FF +:048E700000000000FE +:048E710000000000FD +:048E720000000000FC +:048E730000000000FB +:048E740000000000FA +:048E750000000000F9 +:048E760000000000F8 +:048E770000000000F7 +:048E780000000000F6 +:048E790000000000F5 +:048E7A0000000000F4 +:048E7B0000000000F3 +:048E7C0000000000F2 +:048E7D0000000000F1 +:048E7E0000000000F0 +:048E7F0000000000EF +:048E800000000000EE +:048E810000000000ED +:048E820000000000EC +:048E830000000000EB +:048E840000000000EA +:048E850000000000E9 +:048E860000000000E8 +:048E870000000000E7 +:048E880000000000E6 +:048E890000000000E5 +:048E8A0000000000E4 +:048E8B0000000000E3 +:048E8C0000000000E2 +:048E8D0000000000E1 +:048E8E0000000000E0 +:048E8F0000000000DF +:048E900000000000DE +:048E910000000000DD +:048E920000000000DC +:048E930000000000DB +:048E940000000000DA +:048E950000000000D9 +:048E960000000000D8 +:048E970000000000D7 +:048E980000000000D6 +:048E990000000000D5 +:048E9A0000000000D4 +:048E9B0000000000D3 +:048E9C0000000000D2 +:048E9D0000000000D1 +:048E9E0000000000D0 +:048E9F0000000000CF +:048EA00000000000CE +:048EA10000000000CD +:048EA20000000000CC +:048EA30000000000CB +:048EA40000000000CA +:048EA50000000000C9 +:048EA60000000000C8 +:048EA70000000000C7 +:048EA80000000000C6 +:048EA90000000000C5 +:048EAA0000000000C4 +:048EAB0000000000C3 +:048EAC0000000000C2 +:048EAD0000000000C1 +:048EAE0000000000C0 +:048EAF0000000000BF +:048EB00000000000BE +:048EB10000000000BD +:048EB20000000000BC +:048EB30000000000BB +:048EB40000000000BA +:048EB50000000000B9 +:048EB60000000000B8 +:048EB70000000000B7 +:048EB80000000000B6 +:048EB90000000000B5 +:048EBA0000000000B4 +:048EBB0000000000B3 +:048EBC0000000000B2 +:048EBD0000000000B1 +:048EBE0000000000B0 +:048EBF0000000000AF +:048EC00000000000AE +:048EC10000000000AD +:048EC20000000000AC +:048EC30000000000AB +:048EC40000000000AA +:048EC50000000000A9 +:048EC60000000000A8 +:048EC70000000000A7 +:048EC80000000000A6 +:048EC90000000000A5 +:048ECA0000000000A4 +:048ECB0000000000A3 +:048ECC0000000000A2 +:048ECD0000000000A1 +:048ECE0000000000A0 +:048ECF00000000009F +:048ED000000000009E +:048ED100000000009D +:048ED200000000009C +:048ED300000000009B +:048ED400000000009A +:048ED5000000000099 +:048ED6000000000098 +:048ED7000000000097 +:048ED8000000000096 +:048ED9000000000095 +:048EDA000000000094 +:048EDB000000000093 +:048EDC000000000092 +:048EDD000000000091 +:048EDE000000000090 +:048EDF00000000008F +:048EE000000000008E +:048EE100000000008D +:048EE200000000008C +:048EE300000000008B +:048EE400000000008A +:048EE5000000000089 +:048EE6000000000088 +:048EE7000000000087 +:048EE8000000000086 +:048EE9000000000085 +:048EEA000000000084 +:048EEB000000000083 +:048EEC000000000082 +:048EED000000000081 +:048EEE000000000080 +:048EEF00000000007F +:048EF000000000007E +:048EF100000000007D +:048EF200000000007C +:048EF300000000007B +:048EF400000000007A +:048EF5000000000079 +:048EF6000000000078 +:048EF7000000000077 +:048EF8000000000076 +:048EF9000000000075 +:048EFA000000000074 +:048EFB000000000073 +:048EFC000000000072 +:048EFD000000000071 +:048EFE000000000070 +:048EFF00000000006F +:048F0000000000006D +:048F0100000000006C +:048F0200000000006B +:048F0300000000006A +:048F04000000000069 +:048F05000000000068 +:048F06000000000067 +:048F07000000000066 +:048F08000000000065 +:048F09000000000064 +:048F0A000000000063 +:048F0B000000000062 +:048F0C000000000061 +:048F0D000000000060 +:048F0E00000000005F +:048F0F00000000005E +:048F1000000000005D +:048F1100000000005C +:048F1200000000005B +:048F1300000000005A +:048F14000000000059 +:048F15000000000058 +:048F16000000000057 +:048F17000000000056 +:048F18000000000055 +:048F19000000000054 +:048F1A000000000053 +:048F1B000000000052 +:048F1C000000000051 +:048F1D000000000050 +:048F1E00000000004F +:048F1F00000000004E +:048F2000000000004D +:048F2100000000004C +:048F2200000000004B +:048F2300000000004A +:048F24000000000049 +:048F25000000000048 +:048F26000000000047 +:048F27000000000046 +:048F28000000000045 +:048F29000000000044 +:048F2A000000000043 +:048F2B000000000042 +:048F2C000000000041 +:048F2D000000000040 +:048F2E00000000003F +:048F2F00000000003E +:048F3000000000003D +:048F3100000000003C +:048F3200000000003B +:048F3300000000003A +:048F34000000000039 +:048F35000000000038 +:048F36000000000037 +:048F37000000000036 +:048F38000000000035 +:048F39000000000034 +:048F3A000000000033 +:048F3B000000000032 +:048F3C000000000031 +:048F3D000000000030 +:048F3E00000000002F +:048F3F00000000002E +:048F4000000000002D +:048F4100000000002C +:048F4200000000002B +:048F4300000000002A +:048F44000000000029 +:048F45000000000028 +:048F46000000000027 +:048F47000000000026 +:048F48000000000025 +:048F49000000000024 +:048F4A000000000023 +:048F4B000000000022 +:048F4C000000000021 +:048F4D000000000020 +:048F4E00000000001F +:048F4F00000000001E +:048F5000000000001D +:048F5100000000001C +:048F5200000000001B +:048F5300000000001A +:048F54000000000019 +:048F55000000000018 +:048F56000000000017 +:048F57000000000016 +:048F58000000000015 +:048F59000000000014 +:048F5A000000000013 +:048F5B000000000012 +:048F5C000000000011 +:048F5D000000000010 +:048F5E00000000000F +:048F5F00000000000E +:048F6000000000000D +:048F6100000000000C +:048F6200000000000B +:048F6300000000000A +:048F64000000000009 +:048F65000000000008 +:048F66000000000007 +:048F67000000000006 +:048F68000000000005 +:048F69000000000004 +:048F6A000000000003 +:048F6B000000000002 +:048F6C000000000001 +:048F6D000000000000 +:048F6E0000000000FF +:048F6F0000000000FE +:048F700000000000FD +:048F710000000000FC +:048F720000000000FB +:048F730000000000FA +:048F740000000000F9 +:048F750000000000F8 +:048F760000000000F7 +:048F770000000000F6 +:048F780000000000F5 +:048F790000000000F4 +:048F7A0000000000F3 +:048F7B0000000000F2 +:048F7C0000000000F1 +:048F7D0000000000F0 +:048F7E0000000000EF +:048F7F0000000000EE +:048F800000000000ED +:048F810000000000EC +:048F820000000000EB +:048F830000000000EA +:048F840000000000E9 +:048F850000000000E8 +:048F860000000000E7 +:048F870000000000E6 +:048F880000000000E5 +:048F890000000000E4 +:048F8A0000000000E3 +:048F8B0000000000E2 +:048F8C0000000000E1 +:048F8D0000000000E0 +:048F8E0000000000DF +:048F8F0000000000DE +:048F900000000000DD +:048F910000000000DC +:048F920000000000DB +:048F930000000000DA +:048F940000000000D9 +:048F950000000000D8 +:048F960000000000D7 +:048F970000000000D6 +:048F980000000000D5 +:048F990000000000D4 +:048F9A0000000000D3 +:048F9B0000000000D2 +:048F9C0000000000D1 +:048F9D0000000000D0 +:048F9E0000000000CF +:048F9F0000000000CE +:048FA00000000000CD +:048FA10000000000CC +:048FA20000000000CB +:048FA30000000000CA +:048FA40000000000C9 +:048FA50000000000C8 +:048FA60000000000C7 +:048FA70000000000C6 +:048FA80000000000C5 +:048FA90000000000C4 +:048FAA0000000000C3 +:048FAB0000000000C2 +:048FAC0000000000C1 +:048FAD0000000000C0 +:048FAE0000000000BF +:048FAF0000000000BE +:048FB00000000000BD +:048FB10000000000BC +:048FB20000000000BB +:048FB30000000000BA +:048FB40000000000B9 +:048FB50000000000B8 +:048FB60000000000B7 +:048FB70000000000B6 +:048FB80000000000B5 +:048FB90000000000B4 +:048FBA0000000000B3 +:048FBB0000000000B2 +:048FBC0000000000B1 +:048FBD0000000000B0 +:048FBE0000000000AF +:048FBF0000000000AE +:048FC00000000000AD +:048FC10000000000AC +:048FC20000000000AB +:048FC30000000000AA +:048FC40000000000A9 +:048FC50000000000A8 +:048FC60000000000A7 +:048FC70000000000A6 +:048FC80000000000A5 +:048FC90000000000A4 +:048FCA0000000000A3 +:048FCB0000000000A2 +:048FCC0000000000A1 +:048FCD0000000000A0 +:048FCE00000000009F +:048FCF00000000009E +:048FD000000000009D +:048FD100000000009C +:048FD200000000009B +:048FD300000000009A +:048FD4000000000099 +:048FD5000000000098 +:048FD6000000000097 +:048FD7000000000096 +:048FD8000000000095 +:048FD9000000000094 +:048FDA000000000093 +:048FDB000000000092 +:048FDC000000000091 +:048FDD000000000090 +:048FDE00000000008F +:048FDF00000000008E +:048FE000000000008D +:048FE100000000008C +:048FE200000000008B +:048FE300000000008A +:048FE4000000000089 +:048FE5000000000088 +:048FE6000000000087 +:048FE7000000000086 +:048FE8000000000085 +:048FE9000000000084 +:048FEA000000000083 +:048FEB000000000082 +:048FEC000000000081 +:048FED000000000080 +:048FEE00000000007F +:048FEF00000000007E +:048FF000000000007D +:048FF100000000007C +:048FF200000000007B +:048FF300000000007A +:048FF4000000000079 +:048FF5000000000078 +:048FF6000000000077 +:048FF7000000000076 +:048FF8000000000075 +:048FF9000000000074 +:048FFA000000000073 +:048FFB000000000072 +:048FFC000000000071 +:048FFD000000000070 +:048FFE00000000006F +:048FFF00000000006E +:04900000000000006C +:04900100000000006B +:04900200000000006A +:049003000000000069 +:049004000000000068 +:049005000000000067 +:049006000000000066 +:049007000000000065 +:049008000000000064 +:049009000000000063 +:04900A000000000062 +:04900B000000000061 +:04900C000000000060 +:04900D00000000005F +:04900E00000000005E +:04900F00000000005D +:04901000000000005C +:04901100000000005B +:04901200000000005A +:049013000000000059 +:049014000000000058 +:049015000000000057 +:049016000000000056 +:049017000000000055 +:049018000000000054 +:049019000000000053 +:04901A000000000052 +:04901B000000000051 +:04901C000000000050 +:04901D00000000004F +:04901E00000000004E +:04901F00000000004D +:04902000000000004C +:04902100000000004B +:04902200000000004A +:049023000000000049 +:049024000000000048 +:049025000000000047 +:049026000000000046 +:049027000000000045 +:049028000000000044 +:049029000000000043 +:04902A000000000042 +:04902B000000000041 +:04902C000000000040 +:04902D00000000003F +:04902E00000000003E +:04902F00000000003D +:04903000000000003C +:04903100000000003B +:04903200000000003A +:049033000000000039 +:049034000000000038 +:049035000000000037 +:049036000000000036 +:049037000000000035 +:049038000000000034 +:049039000000000033 +:04903A000000000032 +:04903B000000000031 +:04903C000000000030 +:04903D00000000002F +:04903E00000000002E +:04903F00000000002D +:04904000000000002C +:04904100000000002B +:04904200000000002A +:049043000000000029 +:049044000000000028 +:049045000000000027 +:049046000000000026 +:049047000000000025 +:049048000000000024 +:049049000000000023 +:04904A000000000022 +:04904B000000000021 +:04904C000000000020 +:04904D00000000001F +:04904E00000000001E +:04904F00000000001D +:04905000000000001C +:04905100000000001B +:04905200000000001A +:049053000000000019 +:049054000000000018 +:049055000000000017 +:049056000000000016 +:049057000000000015 +:049058000000000014 +:049059000000000013 +:04905A000000000012 +:04905B000000000011 +:04905C000000000010 +:04905D00000000000F +:04905E00000000000E +:04905F00000000000D +:04906000000000000C +:04906100000000000B +:04906200000000000A +:049063000000000009 +:049064000000000008 +:049065000000000007 +:049066000000000006 +:049067000000000005 +:049068000000000004 +:049069000000000003 +:04906A000000000002 +:04906B000000000001 +:04906C000000000000 +:04906D0000000000FF +:04906E0000000000FE +:04906F0000000000FD +:0490700000000000FC +:0490710000000000FB +:0490720000000000FA +:0490730000000000F9 +:0490740000000000F8 +:0490750000000000F7 +:0490760000000000F6 +:0490770000000000F5 +:0490780000000000F4 +:0490790000000000F3 +:04907A0000000000F2 +:04907B0000000000F1 +:04907C0000000000F0 +:04907D0000000000EF +:04907E0000000000EE +:04907F0000000000ED +:0490800000000000EC +:0490810000000000EB +:0490820000000000EA +:0490830000000000E9 +:0490840000000000E8 +:0490850000000000E7 +:0490860000000000E6 +:0490870000000000E5 +:0490880000000000E4 +:0490890000000000E3 +:04908A0000000000E2 +:04908B0000000000E1 +:04908C0000000000E0 +:04908D0000000000DF +:04908E0000000000DE +:04908F0000000000DD +:0490900000000000DC +:0490910000000000DB +:0490920000000000DA +:0490930000000000D9 +:0490940000000000D8 +:0490950000000000D7 +:0490960000000000D6 +:0490970000000000D5 +:0490980000000000D4 +:0490990000000000D3 +:04909A0000000000D2 +:04909B0000000000D1 +:04909C0000000000D0 +:04909D0000000000CF +:04909E0000000000CE +:04909F0000000000CD +:0490A00000000000CC +:0490A10000000000CB +:0490A20000000000CA +:0490A30000000000C9 +:0490A40000000000C8 +:0490A50000000000C7 +:0490A60000000000C6 +:0490A70000000000C5 +:0490A80000000000C4 +:0490A90000000000C3 +:0490AA0000000000C2 +:0490AB0000000000C1 +:0490AC0000000000C0 +:0490AD0000000000BF +:0490AE0000000000BE +:0490AF0000000000BD +:0490B00000000000BC +:0490B10000000000BB +:0490B20000000000BA +:0490B30000000000B9 +:0490B40000000000B8 +:0490B50000000000B7 +:0490B60000000000B6 +:0490B70000000000B5 +:0490B80000000000B4 +:0490B90000000000B3 +:0490BA0000000000B2 +:0490BB0000000000B1 +:0490BC0000000000B0 +:0490BD0000000000AF +:0490BE0000000000AE +:0490BF0000000000AD +:0490C00000000000AC +:0490C10000000000AB +:0490C20000000000AA +:0490C30000000000A9 +:0490C40000000000A8 +:0490C50000000000A7 +:0490C60000000000A6 +:0490C70000000000A5 +:0490C80000000000A4 +:0490C90000000000A3 +:0490CA0000000000A2 +:0490CB0000000000A1 +:0490CC0000000000A0 +:0490CD00000000009F +:0490CE00000000009E +:0490CF00000000009D +:0490D000000000009C +:0490D100000000009B +:0490D200000000009A +:0490D3000000000099 +:0490D4000000000098 +:0490D5000000000097 +:0490D6000000000096 +:0490D7000000000095 +:0490D8000000000094 +:0490D9000000000093 +:0490DA000000000092 +:0490DB000000000091 +:0490DC000000000090 +:0490DD00000000008F +:0490DE00000000008E +:0490DF00000000008D +:0490E000000000008C +:0490E100000000008B +:0490E200000000008A +:0490E3000000000089 +:0490E4000000000088 +:0490E5000000000087 +:0490E6000000000086 +:0490E7000000000085 +:0490E8000000000084 +:0490E9000000000083 +:0490EA000000000082 +:0490EB000000000081 +:0490EC000000000080 +:0490ED00000000007F +:0490EE00000000007E +:0490EF00000000007D +:0490F000000000007C +:0490F100000000007B +:0490F200000000007A +:0490F3000000000079 +:0490F4000000000078 +:0490F5000000000077 +:0490F6000000000076 +:0490F7000000000075 +:0490F8000000000074 +:0490F9000000000073 +:0490FA000000000072 +:0490FB000000000071 +:0490FC000000000070 +:0490FD00000000006F +:0490FE00000000006E +:0490FF00000000006D +:04910000000000006B +:04910100000000006A +:049102000000000069 +:049103000000000068 +:049104000000000067 +:049105000000000066 +:049106000000000065 +:049107000000000064 +:049108000000000063 +:049109000000000062 +:04910A000000000061 +:04910B000000000060 +:04910C00000000005F +:04910D00000000005E +:04910E00000000005D +:04910F00000000005C +:04911000000000005B +:04911100000000005A +:049112000000000059 +:049113000000000058 +:049114000000000057 +:049115000000000056 +:049116000000000055 +:049117000000000054 +:049118000000000053 +:049119000000000052 +:04911A000000000051 +:04911B000000000050 +:04911C00000000004F +:04911D00000000004E +:04911E00000000004D +:04911F00000000004C +:04912000000000004B +:04912100000000004A +:049122000000000049 +:049123000000000048 +:049124000000000047 +:049125000000000046 +:049126000000000045 +:049127000000000044 +:049128000000000043 +:049129000000000042 +:04912A000000000041 +:04912B000000000040 +:04912C00000000003F +:04912D00000000003E +:04912E00000000003D +:04912F00000000003C +:04913000000000003B +:04913100000000003A +:049132000000000039 +:049133000000000038 +:049134000000000037 +:049135000000000036 +:049136000000000035 +:049137000000000034 +:049138000000000033 +:049139000000000032 +:04913A000000000031 +:04913B000000000030 +:04913C00000000002F +:04913D00000000002E +:04913E00000000002D +:04913F00000000002C +:04914000000000002B +:04914100000000002A +:049142000000000029 +:049143000000000028 +:049144000000000027 +:049145000000000026 +:049146000000000025 +:049147000000000024 +:049148000000000023 +:049149000000000022 +:04914A000000000021 +:04914B000000000020 +:04914C00000000001F +:04914D00000000001E +:04914E00000000001D +:04914F00000000001C +:04915000000000001B +:04915100000000001A +:049152000000000019 +:049153000000000018 +:049154000000000017 +:049155000000000016 +:049156000000000015 +:049157000000000014 +:049158000000000013 +:049159000000000012 +:04915A000000000011 +:04915B000000000010 +:04915C00000000000F +:04915D00000000000E +:04915E00000000000D +:04915F00000000000C +:04916000000000000B +:04916100000000000A +:049162000000000009 +:049163000000000008 +:049164000000000007 +:049165000000000006 +:049166000000000005 +:049167000000000004 +:049168000000000003 +:049169000000000002 +:04916A000000000001 +:04916B000000000000 +:04916C0000000000FF +:04916D0000000000FE +:04916E0000000000FD +:04916F0000000000FC +:0491700000000000FB +:0491710000000000FA +:0491720000000000F9 +:0491730000000000F8 +:0491740000000000F7 +:0491750000000000F6 +:0491760000000000F5 +:0491770000000000F4 +:0491780000000000F3 +:0491790000000000F2 +:04917A0000000000F1 +:04917B0000000000F0 +:04917C0000000000EF +:04917D0000000000EE +:04917E0000000000ED +:04917F0000000000EC +:0491800000000000EB +:0491810000000000EA +:0491820000000000E9 +:0491830000000000E8 +:0491840000000000E7 +:0491850000000000E6 +:0491860000000000E5 +:0491870000000000E4 +:0491880000000000E3 +:0491890000000000E2 +:04918A0000000000E1 +:04918B0000000000E0 +:04918C0000000000DF +:04918D0000000000DE +:04918E0000000000DD +:04918F0000000000DC +:0491900000000000DB +:0491910000000000DA +:0491920000000000D9 +:0491930000000000D8 +:0491940000000000D7 +:0491950000000000D6 +:0491960000000000D5 +:0491970000000000D4 +:0491980000000000D3 +:0491990000000000D2 +:04919A0000000000D1 +:04919B0000000000D0 +:04919C0000000000CF +:04919D0000000000CE +:04919E0000000000CD +:04919F0000000000CC +:0491A00000000000CB +:0491A10000000000CA +:0491A20000000000C9 +:0491A30000000000C8 +:0491A40000000000C7 +:0491A50000000000C6 +:0491A60000000000C5 +:0491A70000000000C4 +:0491A80000000000C3 +:0491A90000000000C2 +:0491AA0000000000C1 +:0491AB0000000000C0 +:0491AC0000000000BF +:0491AD0000000000BE +:0491AE0000000000BD +:0491AF0000000000BC +:0491B00000000000BB +:0491B10000000000BA +:0491B20000000000B9 +:0491B30000000000B8 +:0491B40000000000B7 +:0491B50000000000B6 +:0491B60000000000B5 +:0491B70000000000B4 +:0491B80000000000B3 +:0491B90000000000B2 +:0491BA0000000000B1 +:0491BB0000000000B0 +:0491BC0000000000AF +:0491BD0000000000AE +:0491BE0000000000AD +:0491BF0000000000AC +:0491C00000000000AB +:0491C10000000000AA +:0491C20000000000A9 +:0491C30000000000A8 +:0491C40000000000A7 +:0491C50000000000A6 +:0491C60000000000A5 +:0491C70000000000A4 +:0491C80000000000A3 +:0491C90000000000A2 +:0491CA0000000000A1 +:0491CB0000000000A0 +:0491CC00000000009F +:0491CD00000000009E +:0491CE00000000009D +:0491CF00000000009C +:0491D000000000009B +:0491D100000000009A +:0491D2000000000099 +:0491D3000000000098 +:0491D4000000000097 +:0491D5000000000096 +:0491D6000000000095 +:0491D7000000000094 +:0491D8000000000093 +:0491D9000000000092 +:0491DA000000000091 +:0491DB000000000090 +:0491DC00000000008F +:0491DD00000000008E +:0491DE00000000008D +:0491DF00000000008C +:0491E000000000008B +:0491E100000000008A +:0491E2000000000089 +:0491E3000000000088 +:0491E4000000000087 +:0491E5000000000086 +:0491E6000000000085 +:0491E7000000000084 +:0491E8000000000083 +:0491E9000000000082 +:0491EA000000000081 +:0491EB000000000080 +:0491EC00000000007F +:0491ED00000000007E +:0491EE00000000007D +:0491EF00000000007C +:0491F000000000007B +:0491F100000000007A +:0491F2000000000079 +:0491F3000000000078 +:0491F4000000000077 +:0491F5000000000076 +:0491F6000000000075 +:0491F7000000000074 +:0491F8000000000073 +:0491F9000000000072 +:0491FA000000000071 +:0491FB000000000070 +:0491FC00000000006F +:0491FD00000000006E +:0491FE00000000006D +:0491FF00000000006C +:04920000000000006A +:049201000000000069 +:049202000000000068 +:049203000000000067 +:049204000000000066 +:049205000000000065 +:049206000000000064 +:049207000000000063 +:049208000000000062 +:049209000000000061 +:04920A000000000060 +:04920B00000000005F +:04920C00000000005E +:04920D00000000005D +:04920E00000000005C +:04920F00000000005B +:04921000000000005A +:049211000000000059 +:049212000000000058 +:049213000000000057 +:049214000000000056 +:049215000000000055 +:049216000000000054 +:049217000000000053 +:049218000000000052 +:049219000000000051 +:04921A000000000050 +:04921B00000000004F +:04921C00000000004E +:04921D00000000004D +:04921E00000000004C +:04921F00000000004B +:04922000000000004A +:049221000000000049 +:049222000000000048 +:049223000000000047 +:049224000000000046 +:049225000000000045 +:049226000000000044 +:049227000000000043 +:049228000000000042 +:049229000000000041 +:04922A000000000040 +:04922B00000000003F +:04922C00000000003E +:04922D00000000003D +:04922E00000000003C +:04922F00000000003B +:04923000000000003A +:049231000000000039 +:049232000000000038 +:049233000000000037 +:049234000000000036 +:049235000000000035 +:049236000000000034 +:049237000000000033 +:049238000000000032 +:049239000000000031 +:04923A000000000030 +:04923B00000000002F +:04923C00000000002E +:04923D00000000002D +:04923E00000000002C +:04923F00000000002B +:04924000000000002A +:049241000000000029 +:049242000000000028 +:049243000000000027 +:049244000000000026 +:049245000000000025 +:049246000000000024 +:049247000000000023 +:049248000000000022 +:049249000000000021 +:04924A000000000020 +:04924B00000000001F +:04924C00000000001E +:04924D00000000001D +:04924E00000000001C +:04924F00000000001B +:04925000000000001A +:049251000000000019 +:049252000000000018 +:049253000000000017 +:049254000000000016 +:049255000000000015 +:049256000000000014 +:049257000000000013 +:049258000000000012 +:049259000000000011 +:04925A000000000010 +:04925B00000000000F +:04925C00000000000E +:04925D00000000000D +:04925E00000000000C +:04925F00000000000B +:04926000000000000A +:049261000000000009 +:049262000000000008 +:049263000000000007 +:049264000000000006 +:049265000000000005 +:049266000000000004 +:049267000000000003 +:049268000000000002 +:049269000000000001 +:04926A000000000000 +:04926B0000000000FF +:04926C0000000000FE +:04926D0000000000FD +:04926E0000000000FC +:04926F0000000000FB +:0492700000000000FA +:0492710000000000F9 +:0492720000000000F8 +:0492730000000000F7 +:0492740000000000F6 +:0492750000000000F5 +:0492760000000000F4 +:0492770000000000F3 +:0492780000000000F2 +:0492790000000000F1 +:04927A0000000000F0 +:04927B0000000000EF +:04927C0000000000EE +:04927D0000000000ED +:04927E0000000000EC +:04927F0000000000EB +:0492800000000000EA +:0492810000000000E9 +:0492820000000000E8 +:0492830000000000E7 +:0492840000000000E6 +:0492850000000000E5 +:0492860000000000E4 +:0492870000000000E3 +:0492880000000000E2 +:0492890000000000E1 +:04928A0000000000E0 +:04928B0000000000DF +:04928C0000000000DE +:04928D0000000000DD +:04928E0000000000DC +:04928F0000000000DB +:0492900000000000DA +:0492910000000000D9 +:0492920000000000D8 +:0492930000000000D7 +:0492940000000000D6 +:0492950000000000D5 +:0492960000000000D4 +:0492970000000000D3 +:0492980000000000D2 +:0492990000000000D1 +:04929A0000000000D0 +:04929B0000000000CF +:04929C0000000000CE +:04929D0000000000CD +:04929E0000000000CC +:04929F0000000000CB +:0492A00000000000CA +:0492A10000000000C9 +:0492A20000000000C8 +:0492A30000000000C7 +:0492A40000000000C6 +:0492A50000000000C5 +:0492A60000000000C4 +:0492A70000000000C3 +:0492A80000000000C2 +:0492A90000000000C1 +:0492AA0000000000C0 +:0492AB0000000000BF +:0492AC0000000000BE +:0492AD0000000000BD +:0492AE0000000000BC +:0492AF0000000000BB +:0492B00000000000BA +:0492B10000000000B9 +:0492B20000000000B8 +:0492B30000000000B7 +:0492B40000000000B6 +:0492B50000000000B5 +:0492B60000000000B4 +:0492B70000000000B3 +:0492B80000000000B2 +:0492B90000000000B1 +:0492BA0000000000B0 +:0492BB0000000000AF +:0492BC0000000000AE +:0492BD0000000000AD +:0492BE0000000000AC +:0492BF0000000000AB +:0492C00000000000AA +:0492C10000000000A9 +:0492C20000000000A8 +:0492C30000000000A7 +:0492C40000000000A6 +:0492C50000000000A5 +:0492C60000000000A4 +:0492C70000000000A3 +:0492C80000000000A2 +:0492C90000000000A1 +:0492CA0000000000A0 +:0492CB00000000009F +:0492CC00000000009E +:0492CD00000000009D +:0492CE00000000009C +:0492CF00000000009B +:0492D000000000009A +:0492D1000000000099 +:0492D2000000000098 +:0492D3000000000097 +:0492D4000000000096 +:0492D5000000000095 +:0492D6000000000094 +:0492D7000000000093 +:0492D8000000000092 +:0492D9000000000091 +:0492DA000000000090 +:0492DB00000000008F +:0492DC00000000008E +:0492DD00000000008D +:0492DE00000000008C +:0492DF00000000008B +:0492E000000000008A +:0492E1000000000089 +:0492E2000000000088 +:0492E3000000000087 +:0492E4000000000086 +:0492E5000000000085 +:0492E6000000000084 +:0492E7000000000083 +:0492E8000000000082 +:0492E9000000000081 +:0492EA000000000080 +:0492EB00000000007F +:0492EC00000000007E +:0492ED00000000007D +:0492EE00000000007C +:0492EF00000000007B +:0492F000000000007A +:0492F1000000000079 +:0492F2000000000078 +:0492F3000000000077 +:0492F4000000000076 +:0492F5000000000075 +:0492F6000000000074 +:0492F7000000000073 +:0492F8000000000072 +:0492F9000000000071 +:0492FA000000000070 +:0492FB00000000006F +:0492FC00000000006E +:0492FD00000000006D +:0492FE00000000006C +:0492FF00000000006B +:049300000000000069 +:049301000000000068 +:049302000000000067 +:049303000000000066 +:049304000000000065 +:049305000000000064 +:049306000000000063 +:049307000000000062 +:049308000000000061 +:049309000000000060 +:04930A00000000005F +:04930B00000000005E +:04930C00000000005D +:04930D00000000005C +:04930E00000000005B +:04930F00000000005A +:049310000000000059 +:049311000000000058 +:049312000000000057 +:049313000000000056 +:049314000000000055 +:049315000000000054 +:049316000000000053 +:049317000000000052 +:049318000000000051 +:049319000000000050 +:04931A00000000004F +:04931B00000000004E +:04931C00000000004D +:04931D00000000004C +:04931E00000000004B +:04931F00000000004A +:049320000000000049 +:049321000000000048 +:049322000000000047 +:049323000000000046 +:049324000000000045 +:049325000000000044 +:049326000000000043 +:049327000000000042 +:049328000000000041 +:049329000000000040 +:04932A00000000003F +:04932B00000000003E +:04932C00000000003D +:04932D00000000003C +:04932E00000000003B +:04932F00000000003A +:049330000000000039 +:049331000000000038 +:049332000000000037 +:049333000000000036 +:049334000000000035 +:049335000000000034 +:049336000000000033 +:049337000000000032 +:049338000000000031 +:049339000000000030 +:04933A00000000002F +:04933B00000000002E +:04933C00000000002D +:04933D00000000002C +:04933E00000000002B +:04933F00000000002A +:049340000000000029 +:049341000000000028 +:049342000000000027 +:049343000000000026 +:049344000000000025 +:049345000000000024 +:049346000000000023 +:049347000000000022 +:049348000000000021 +:049349000000000020 +:04934A00000000001F +:04934B00000000001E +:04934C00000000001D +:04934D00000000001C +:04934E00000000001B +:04934F00000000001A +:049350000000000019 +:049351000000000018 +:049352000000000017 +:049353000000000016 +:049354000000000015 +:049355000000000014 +:049356000000000013 +:049357000000000012 +:049358000000000011 +:049359000000000010 +:04935A00000000000F +:04935B00000000000E +:04935C00000000000D +:04935D00000000000C +:04935E00000000000B +:04935F00000000000A +:049360000000000009 +:049361000000000008 +:049362000000000007 +:049363000000000006 +:049364000000000005 +:049365000000000004 +:049366000000000003 +:049367000000000002 +:049368000000000001 +:049369000000000000 +:04936A0000000000FF +:04936B0000000000FE +:04936C0000000000FD +:04936D0000000000FC +:04936E0000000000FB +:04936F0000000000FA +:0493700000000000F9 +:0493710000000000F8 +:0493720000000000F7 +:0493730000000000F6 +:0493740000000000F5 +:0493750000000000F4 +:0493760000000000F3 +:0493770000000000F2 +:0493780000000000F1 +:0493790000000000F0 +:04937A0000000000EF +:04937B0000000000EE +:04937C0000000000ED +:04937D0000000000EC +:04937E0000000000EB +:04937F0000000000EA +:0493800000000000E9 +:0493810000000000E8 +:0493820000000000E7 +:0493830000000000E6 +:0493840000000000E5 +:0493850000000000E4 +:0493860000000000E3 +:0493870000000000E2 +:0493880000000000E1 +:0493890000000000E0 +:04938A0000000000DF +:04938B0000000000DE +:04938C0000000000DD +:04938D0000000000DC +:04938E0000000000DB +:04938F0000000000DA +:0493900000000000D9 +:0493910000000000D8 +:0493920000000000D7 +:0493930000000000D6 +:0493940000000000D5 +:0493950000000000D4 +:0493960000000000D3 +:0493970000000000D2 +:0493980000000000D1 +:0493990000000000D0 +:04939A0000000000CF +:04939B0000000000CE +:04939C0000000000CD +:04939D0000000000CC +:04939E0000000000CB +:04939F0000000000CA +:0493A00000000000C9 +:0493A10000000000C8 +:0493A20000000000C7 +:0493A30000000000C6 +:0493A40000000000C5 +:0493A50000000000C4 +:0493A60000000000C3 +:0493A70000000000C2 +:0493A80000000000C1 +:0493A90000000000C0 +:0493AA0000000000BF +:0493AB0000000000BE +:0493AC0000000000BD +:0493AD0000000000BC +:0493AE0000000000BB +:0493AF0000000000BA +:0493B00000000000B9 +:0493B10000000000B8 +:0493B20000000000B7 +:0493B30000000000B6 +:0493B40000000000B5 +:0493B50000000000B4 +:0493B60000000000B3 +:0493B70000000000B2 +:0493B80000000000B1 +:0493B90000000000B0 +:0493BA0000000000AF +:0493BB0000000000AE +:0493BC0000000000AD +:0493BD0000000000AC +:0493BE0000000000AB +:0493BF0000000000AA +:0493C00000000000A9 +:0493C10000000000A8 +:0493C20000000000A7 +:0493C30000000000A6 +:0493C40000000000A5 +:0493C50000000000A4 +:0493C60000000000A3 +:0493C70000000000A2 +:0493C80000000000A1 +:0493C90000000000A0 +:0493CA00000000009F +:0493CB00000000009E +:0493CC00000000009D +:0493CD00000000009C +:0493CE00000000009B +:0493CF00000000009A +:0493D0000000000099 +:0493D1000000000098 +:0493D2000000000097 +:0493D3000000000096 +:0493D4000000000095 +:0493D5000000000094 +:0493D6000000000093 +:0493D7000000000092 +:0493D8000000000091 +:0493D9000000000090 +:0493DA00000000008F +:0493DB00000000008E +:0493DC00000000008D +:0493DD00000000008C +:0493DE00000000008B +:0493DF00000000008A +:0493E0000000000089 +:0493E1000000000088 +:0493E2000000000087 +:0493E3000000000086 +:0493E4000000000085 +:0493E5000000000084 +:0493E6000000000083 +:0493E7000000000082 +:0493E8000000000081 +:0493E9000000000080 +:0493EA00000000007F +:0493EB00000000007E +:0493EC00000000007D +:0493ED00000000007C +:0493EE00000000007B +:0493EF00000000007A +:0493F0000000000079 +:0493F1000000000078 +:0493F2000000000077 +:0493F3000000000076 +:0493F4000000000075 +:0493F5000000000074 +:0493F6000000000073 +:0493F7000000000072 +:0493F8000000000071 +:0493F9000000000070 +:0493FA00000000006F +:0493FB00000000006E +:0493FC00000000006D +:0493FD00000000006C +:0493FE00000000006B +:0493FF00000000006A +:049400000000000068 +:049401000000000067 +:049402000000000066 +:049403000000000065 +:049404000000000064 +:049405000000000063 +:049406000000000062 +:049407000000000061 +:049408000000000060 +:04940900000000005F +:04940A00000000005E +:04940B00000000005D +:04940C00000000005C +:04940D00000000005B +:04940E00000000005A +:04940F000000000059 +:049410000000000058 +:049411000000000057 +:049412000000000056 +:049413000000000055 +:049414000000000054 +:049415000000000053 +:049416000000000052 +:049417000000000051 +:049418000000000050 +:04941900000000004F +:04941A00000000004E +:04941B00000000004D +:04941C00000000004C +:04941D00000000004B +:04941E00000000004A +:04941F000000000049 +:049420000000000048 +:049421000000000047 +:049422000000000046 +:049423000000000045 +:049424000000000044 +:049425000000000043 +:049426000000000042 +:049427000000000041 +:049428000000000040 +:04942900000000003F +:04942A00000000003E +:04942B00000000003D +:04942C00000000003C +:04942D00000000003B +:04942E00000000003A +:04942F000000000039 +:049430000000000038 +:049431000000000037 +:049432000000000036 +:049433000000000035 +:049434000000000034 +:049435000000000033 +:049436000000000032 +:049437000000000031 +:049438000000000030 +:04943900000000002F +:04943A00000000002E +:04943B00000000002D +:04943C00000000002C +:04943D00000000002B +:04943E00000000002A +:04943F000000000029 +:049440000000000028 +:049441000000000027 +:049442000000000026 +:049443000000000025 +:049444000000000024 +:049445000000000023 +:049446000000000022 +:049447000000000021 +:049448000000000020 +:04944900000000001F +:04944A00000000001E +:04944B00000000001D +:04944C00000000001C +:04944D00000000001B +:04944E00000000001A +:04944F000000000019 +:049450000000000018 +:049451000000000017 +:049452000000000016 +:049453000000000015 +:049454000000000014 +:049455000000000013 +:049456000000000012 +:049457000000000011 +:049458000000000010 +:04945900000000000F +:04945A00000000000E +:04945B00000000000D +:04945C00000000000C +:04945D00000000000B +:04945E00000000000A +:04945F000000000009 +:049460000000000008 +:049461000000000007 +:049462000000000006 +:049463000000000005 +:049464000000000004 +:049465000000000003 +:049466000000000002 +:049467000000000001 +:049468000000000000 +:0494690000000000FF +:04946A0000000000FE +:04946B0000000000FD +:04946C0000000000FC +:04946D0000000000FB +:04946E0000000000FA +:04946F0000000000F9 +:0494700000000000F8 +:0494710000000000F7 +:0494720000000000F6 +:0494730000000000F5 +:0494740000000000F4 +:0494750000000000F3 +:0494760000000000F2 +:0494770000000000F1 +:0494780000000000F0 +:0494790000000000EF +:04947A0000000000EE +:04947B0000000000ED +:04947C0000000000EC +:04947D0000000000EB +:04947E0000000000EA +:04947F0000000000E9 +:0494800000000000E8 +:0494810000000000E7 +:0494820000000000E6 +:0494830000000000E5 +:0494840000000000E4 +:0494850000000000E3 +:0494860000000000E2 +:0494870000000000E1 +:0494880000000000E0 +:0494890000000000DF +:04948A0000000000DE +:04948B0000000000DD +:04948C0000000000DC +:04948D0000000000DB +:04948E0000000000DA +:04948F0000000000D9 +:0494900000000000D8 +:0494910000000000D7 +:0494920000000000D6 +:0494930000000000D5 +:0494940000000000D4 +:0494950000000000D3 +:0494960000000000D2 +:0494970000000000D1 +:0494980000000000D0 +:0494990000000000CF +:04949A0000000000CE +:04949B0000000000CD +:04949C0000000000CC +:04949D0000000000CB +:04949E0000000000CA +:04949F0000000000C9 +:0494A00000000000C8 +:0494A10000000000C7 +:0494A20000000000C6 +:0494A30000000000C5 +:0494A40000000000C4 +:0494A50000000000C3 +:0494A60000000000C2 +:0494A70000000000C1 +:0494A80000000000C0 +:0494A90000000000BF +:0494AA0000000000BE +:0494AB0000000000BD +:0494AC0000000000BC +:0494AD0000000000BB +:0494AE0000000000BA +:0494AF0000000000B9 +:0494B00000000000B8 +:0494B10000000000B7 +:0494B20000000000B6 +:0494B30000000000B5 +:0494B40000000000B4 +:0494B50000000000B3 +:0494B60000000000B2 +:0494B70000000000B1 +:0494B80000000000B0 +:0494B90000000000AF +:0494BA0000000000AE +:0494BB0000000000AD +:0494BC0000000000AC +:0494BD0000000000AB +:0494BE0000000000AA +:0494BF0000000000A9 +:0494C00000000000A8 +:0494C10000000000A7 +:0494C20000000000A6 +:0494C30000000000A5 +:0494C40000000000A4 +:0494C50000000000A3 +:0494C60000000000A2 +:0494C70000000000A1 +:0494C80000000000A0 +:0494C900000000009F +:0494CA00000000009E +:0494CB00000000009D +:0494CC00000000009C +:0494CD00000000009B +:0494CE00000000009A +:0494CF000000000099 +:0494D0000000000098 +:0494D1000000000097 +:0494D2000000000096 +:0494D3000000000095 +:0494D4000000000094 +:0494D5000000000093 +:0494D6000000000092 +:0494D7000000000091 +:0494D8000000000090 +:0494D900000000008F +:0494DA00000000008E +:0494DB00000000008D +:0494DC00000000008C +:0494DD00000000008B +:0494DE00000000008A +:0494DF000000000089 +:0494E0000000000088 +:0494E1000000000087 +:0494E2000000000086 +:0494E3000000000085 +:0494E4000000000084 +:0494E5000000000083 +:0494E6000000000082 +:0494E7000000000081 +:0494E8000000000080 +:0494E900000000007F +:0494EA00000000007E +:0494EB00000000007D +:0494EC00000000007C +:0494ED00000000007B +:0494EE00000000007A +:0494EF000000000079 +:0494F0000000000078 +:0494F1000000000077 +:0494F2000000000076 +:0494F3000000000075 +:0494F4000000000074 +:0494F5000000000073 +:0494F6000000000072 +:0494F7000000000071 +:0494F8000000000070 +:0494F900000000006F +:0494FA00000000006E +:0494FB00000000006D +:0494FC00000000006C +:0494FD00000000006B +:0494FE00000000006A +:0494FF000000000069 +:049500000000000067 +:049501000000000066 +:049502000000000065 +:049503000000000064 +:049504000000000063 +:049505000000000062 +:049506000000000061 +:049507000000000060 +:04950800000000005F +:04950900000000005E +:04950A00000000005D +:04950B00000000005C +:04950C00000000005B +:04950D00000000005A +:04950E000000000059 +:04950F000000000058 +:049510000000000057 +:049511000000000056 +:049512000000000055 +:049513000000000054 +:049514000000000053 +:049515000000000052 +:049516000000000051 +:049517000000000050 +:04951800000000004F +:04951900000000004E +:04951A00000000004D +:04951B00000000004C +:04951C00000000004B +:04951D00000000004A +:04951E000000000049 +:04951F000000000048 +:049520000000000047 +:049521000000000046 +:049522000000000045 +:049523000000000044 +:049524000000000043 +:049525000000000042 +:049526000000000041 +:049527000000000040 +:04952800000000003F +:04952900000000003E +:04952A00000000003D +:04952B00000000003C +:04952C00000000003B +:04952D00000000003A +:04952E000000000039 +:04952F000000000038 +:049530000000000037 +:049531000000000036 +:049532000000000035 +:049533000000000034 +:049534000000000033 +:049535000000000032 +:049536000000000031 +:049537000000000030 +:04953800000000002F +:04953900000000002E +:04953A00000000002D +:04953B00000000002C +:04953C00000000002B +:04953D00000000002A +:04953E000000000029 +:04953F000000000028 +:049540000000000027 +:049541000000000026 +:049542000000000025 +:049543000000000024 +:049544000000000023 +:049545000000000022 +:049546000000000021 +:049547000000000020 +:04954800000000001F +:04954900000000001E +:04954A00000000001D +:04954B00000000001C +:04954C00000000001B +:04954D00000000001A +:04954E000000000019 +:04954F000000000018 +:049550000000000017 +:049551000000000016 +:049552000000000015 +:049553000000000014 +:049554000000000013 +:049555000000000012 +:049556000000000011 +:049557000000000010 +:04955800000000000F +:04955900000000000E +:04955A00000000000D +:04955B00000000000C +:04955C00000000000B +:04955D00000000000A +:04955E000000000009 +:04955F000000000008 +:049560000000000007 +:049561000000000006 +:049562000000000005 +:049563000000000004 +:049564000000000003 +:049565000000000002 +:049566000000000001 +:049567000000000000 +:0495680000000000FF +:0495690000000000FE +:04956A0000000000FD +:04956B0000000000FC +:04956C0000000000FB +:04956D0000000000FA +:04956E0000000000F9 +:04956F0000000000F8 +:0495700000000000F7 +:0495710000000000F6 +:0495720000000000F5 +:0495730000000000F4 +:0495740000000000F3 +:0495750000000000F2 +:0495760000000000F1 +:0495770000000000F0 +:0495780000000000EF +:0495790000000000EE +:04957A0000000000ED +:04957B0000000000EC +:04957C0000000000EB +:04957D0000000000EA +:04957E0000000000E9 +:04957F0000000000E8 +:0495800000000000E7 +:0495810000000000E6 +:0495820000000000E5 +:0495830000000000E4 +:0495840000000000E3 +:0495850000000000E2 +:0495860000000000E1 +:0495870000000000E0 +:0495880000000000DF +:0495890000000000DE +:04958A0000000000DD +:04958B0000000000DC +:04958C0000000000DB +:04958D0000000000DA +:04958E0000000000D9 +:04958F0000000000D8 +:0495900000000000D7 +:0495910000000000D6 +:0495920000000000D5 +:0495930000000000D4 +:0495940000000000D3 +:0495950000000000D2 +:0495960000000000D1 +:0495970000000000D0 +:0495980000000000CF +:0495990000000000CE +:04959A0000000000CD +:04959B0000000000CC +:04959C0000000000CB +:04959D0000000000CA +:04959E0000000000C9 +:04959F0000000000C8 +:0495A00000000000C7 +:0495A10000000000C6 +:0495A20000000000C5 +:0495A30000000000C4 +:0495A40000000000C3 +:0495A50000000000C2 +:0495A60000000000C1 +:0495A70000000000C0 +:0495A80000000000BF +:0495A90000000000BE +:0495AA0000000000BD +:0495AB0000000000BC +:0495AC0000000000BB +:0495AD0000000000BA +:0495AE0000000000B9 +:0495AF0000000000B8 +:0495B00000000000B7 +:0495B10000000000B6 +:0495B20000000000B5 +:0495B30000000000B4 +:0495B40000000000B3 +:0495B50000000000B2 +:0495B60000000000B1 +:0495B70000000000B0 +:0495B80000000000AF +:0495B90000000000AE +:0495BA0000000000AD +:0495BB0000000000AC +:0495BC0000000000AB +:0495BD0000000000AA +:0495BE0000000000A9 +:0495BF0000000000A8 +:0495C00000000000A7 +:0495C10000000000A6 +:0495C20000000000A5 +:0495C30000000000A4 +:0495C40000000000A3 +:0495C50000000000A2 +:0495C60000000000A1 +:0495C70000000000A0 +:0495C800000000009F +:0495C900000000009E +:0495CA00000000009D +:0495CB00000000009C +:0495CC00000000009B +:0495CD00000000009A +:0495CE000000000099 +:0495CF000000000098 +:0495D0000000000097 +:0495D1000000000096 +:0495D2000000000095 +:0495D3000000000094 +:0495D4000000000093 +:0495D5000000000092 +:0495D6000000000091 +:0495D7000000000090 +:0495D800000000008F +:0495D900000000008E +:0495DA00000000008D +:0495DB00000000008C +:0495DC00000000008B +:0495DD00000000008A +:0495DE000000000089 +:0495DF000000000088 +:0495E0000000000087 +:0495E1000000000086 +:0495E2000000000085 +:0495E3000000000084 +:0495E4000000000083 +:0495E5000000000082 +:0495E6000000000081 +:0495E7000000000080 +:0495E800000000007F +:0495E900000000007E +:0495EA00000000007D +:0495EB00000000007C +:0495EC00000000007B +:0495ED00000000007A +:0495EE000000000079 +:0495EF000000000078 +:0495F0000000000077 +:0495F1000000000076 +:0495F2000000000075 +:0495F3000000000074 +:0495F4000000000073 +:0495F5000000000072 +:0495F6000000000071 +:0495F7000000000070 +:0495F800000000006F +:0495F900000000006E +:0495FA00000000006D +:0495FB00000000006C +:0495FC00000000006B +:0495FD00000000006A +:0495FE000000000069 +:0495FF000000000068 +:049600000000000066 +:049601000000000065 +:049602000000000064 +:049603000000000063 +:049604000000000062 +:049605000000000061 +:049606000000000060 +:04960700000000005F +:04960800000000005E +:04960900000000005D +:04960A00000000005C +:04960B00000000005B +:04960C00000000005A +:04960D000000000059 +:04960E000000000058 +:04960F000000000057 +:049610000000000056 +:049611000000000055 +:049612000000000054 +:049613000000000053 +:049614000000000052 +:049615000000000051 +:049616000000000050 +:04961700000000004F +:04961800000000004E +:04961900000000004D +:04961A00000000004C +:04961B00000000004B +:04961C00000000004A +:04961D000000000049 +:04961E000000000048 +:04961F000000000047 +:049620000000000046 +:049621000000000045 +:049622000000000044 +:049623000000000043 +:049624000000000042 +:049625000000000041 +:049626000000000040 +:04962700000000003F +:04962800000000003E +:04962900000000003D +:04962A00000000003C +:04962B00000000003B +:04962C00000000003A +:04962D000000000039 +:04962E000000000038 +:04962F000000000037 +:049630000000000036 +:049631000000000035 +:049632000000000034 +:049633000000000033 +:049634000000000032 +:049635000000000031 +:049636000000000030 +:04963700000000002F +:04963800000000002E +:04963900000000002D +:04963A00000000002C +:04963B00000000002B +:04963C00000000002A +:04963D000000000029 +:04963E000000000028 +:04963F000000000027 +:049640000000000026 +:049641000000000025 +:049642000000000024 +:049643000000000023 +:049644000000000022 +:049645000000000021 +:049646000000000020 +:04964700000000001F +:04964800000000001E +:04964900000000001D +:04964A00000000001C +:04964B00000000001B +:04964C00000000001A +:04964D000000000019 +:04964E000000000018 +:04964F000000000017 +:049650000000000016 +:049651000000000015 +:049652000000000014 +:049653000000000013 +:049654000000000012 +:049655000000000011 +:049656000000000010 +:04965700000000000F +:04965800000000000E +:04965900000000000D +:04965A00000000000C +:04965B00000000000B +:04965C00000000000A +:04965D000000000009 +:04965E000000000008 +:04965F000000000007 +:049660000000000006 +:049661000000000005 +:049662000000000004 +:049663000000000003 +:049664000000000002 +:049665000000000001 +:049666000000000000 +:0496670000000000FF +:0496680000000000FE +:0496690000000000FD +:04966A0000000000FC +:04966B0000000000FB +:04966C0000000000FA +:04966D0000000000F9 +:04966E0000000000F8 +:04966F0000000000F7 +:0496700000000000F6 +:0496710000000000F5 +:0496720000000000F4 +:0496730000000000F3 +:0496740000000000F2 +:0496750000000000F1 +:0496760000000000F0 +:0496770000000000EF +:0496780000000000EE +:0496790000000000ED +:04967A0000000000EC +:04967B0000000000EB +:04967C0000000000EA +:04967D0000000000E9 +:04967E0000000000E8 +:04967F0000000000E7 +:0496800000000000E6 +:0496810000000000E5 +:0496820000000000E4 +:0496830000000000E3 +:0496840000000000E2 +:0496850000000000E1 +:0496860000000000E0 +:0496870000000000DF +:0496880000000000DE +:0496890000000000DD +:04968A0000000000DC +:04968B0000000000DB +:04968C0000000000DA +:04968D0000000000D9 +:04968E0000000000D8 +:04968F0000000000D7 +:0496900000000000D6 +:0496910000000000D5 +:0496920000000000D4 +:0496930000000000D3 +:0496940000000000D2 +:0496950000000000D1 +:0496960000000000D0 +:0496970000000000CF +:0496980000000000CE +:0496990000000000CD +:04969A0000000000CC +:04969B0000000000CB +:04969C0000000000CA +:04969D0000000000C9 +:04969E0000000000C8 +:04969F0000000000C7 +:0496A00000000000C6 +:0496A10000000000C5 +:0496A20000000000C4 +:0496A30000000000C3 +:0496A40000000000C2 +:0496A50000000000C1 +:0496A60000000000C0 +:0496A70000000000BF +:0496A80000000000BE +:0496A90000000000BD +:0496AA0000000000BC +:0496AB0000000000BB +:0496AC0000000000BA +:0496AD0000000000B9 +:0496AE0000000000B8 +:0496AF0000000000B7 +:0496B00000000000B6 +:0496B10000000000B5 +:0496B20000000000B4 +:0496B30000000000B3 +:0496B40000000000B2 +:0496B50000000000B1 +:0496B60000000000B0 +:0496B70000000000AF +:0496B80000000000AE +:0496B90000000000AD +:0496BA0000000000AC +:0496BB0000000000AB +:0496BC0000000000AA +:0496BD0000000000A9 +:0496BE0000000000A8 +:0496BF0000000000A7 +:0496C00000000000A6 +:0496C10000000000A5 +:0496C20000000000A4 +:0496C30000000000A3 +:0496C40000000000A2 +:0496C50000000000A1 +:0496C60000000000A0 +:0496C700000000009F +:0496C800000000009E +:0496C900000000009D +:0496CA00000000009C +:0496CB00000000009B +:0496CC00000000009A +:0496CD000000000099 +:0496CE000000000098 +:0496CF000000000097 +:0496D0000000000096 +:0496D1000000000095 +:0496D2000000000094 +:0496D3000000000093 +:0496D4000000000092 +:0496D5000000000091 +:0496D6000000000090 +:0496D700000000008F +:0496D800000000008E +:0496D900000000008D +:0496DA00000000008C +:0496DB00000000008B +:0496DC00000000008A +:0496DD000000000089 +:0496DE000000000088 +:0496DF000000000087 +:0496E0000000000086 +:0496E1000000000085 +:0496E2000000000084 +:0496E3000000000083 +:0496E4000000000082 +:0496E5000000000081 +:0496E6000000000080 +:0496E700000000007F +:0496E800000000007E +:0496E900000000007D +:0496EA00000000007C +:0496EB00000000007B +:0496EC00000000007A +:0496ED000000000079 +:0496EE000000000078 +:0496EF000000000077 +:0496F0000000000076 +:0496F1000000000075 +:0496F2000000000074 +:0496F3000000000073 +:0496F4000000000072 +:0496F5000000000071 +:0496F6000000000070 +:0496F700000000006F +:0496F800000000006E +:0496F900000000006D +:0496FA00000000006C +:0496FB00000000006B +:0496FC00000000006A +:0496FD000000000069 +:0496FE000000000068 +:0496FF000000000067 +:049700000000000065 +:049701000000000064 +:049702000000000063 +:049703000000000062 +:049704000000000061 +:049705000000000060 +:04970600000000005F +:04970700000000005E +:04970800000000005D +:04970900000000005C +:04970A00000000005B +:04970B00000000005A +:04970C000000000059 +:04970D000000000058 +:04970E000000000057 +:04970F000000000056 +:049710000000000055 +:049711000000000054 +:049712000000000053 +:049713000000000052 +:049714000000000051 +:049715000000000050 +:04971600000000004F +:04971700000000004E +:04971800000000004D +:04971900000000004C +:04971A00000000004B +:04971B00000000004A +:04971C000000000049 +:04971D000000000048 +:04971E000000000047 +:04971F000000000046 +:049720000000000045 +:049721000000000044 +:049722000000000043 +:049723000000000042 +:049724000000000041 +:049725000000000040 +:04972600000000003F +:04972700000000003E +:04972800000000003D +:04972900000000003C +:04972A00000000003B +:04972B00000000003A +:04972C000000000039 +:04972D000000000038 +:04972E000000000037 +:04972F000000000036 +:049730000000000035 +:049731000000000034 +:049732000000000033 +:049733000000000032 +:049734000000000031 +:049735000000000030 +:04973600000000002F +:04973700000000002E +:04973800000000002D +:04973900000000002C +:04973A00000000002B +:04973B00000000002A +:04973C000000000029 +:04973D000000000028 +:04973E000000000027 +:04973F000000000026 +:049740000000000025 +:049741000000000024 +:049742000000000023 +:049743000000000022 +:049744000000000021 +:049745000000000020 +:04974600000000001F +:04974700000000001E +:04974800000000001D +:04974900000000001C +:04974A00000000001B +:04974B00000000001A +:04974C000000000019 +:04974D000000000018 +:04974E000000000017 +:04974F000000000016 +:049750000000000015 +:049751000000000014 +:049752000000000013 +:049753000000000012 +:049754000000000011 +:049755000000000010 +:04975600000000000F +:04975700000000000E +:04975800000000000D +:04975900000000000C +:04975A00000000000B +:04975B00000000000A +:04975C000000000009 +:04975D000000000008 +:04975E000000000007 +:04975F000000000006 +:049760000000000005 +:049761000000000004 +:049762000000000003 +:049763000000000002 +:049764000000000001 +:049765000000000000 +:0497660000000000FF +:0497670000000000FE +:0497680000000000FD +:0497690000000000FC +:04976A0000000000FB +:04976B0000000000FA +:04976C0000000000F9 +:04976D0000000000F8 +:04976E0000000000F7 +:04976F0000000000F6 +:0497700000000000F5 +:0497710000000000F4 +:0497720000000000F3 +:0497730000000000F2 +:0497740000000000F1 +:0497750000000000F0 +:0497760000000000EF +:0497770000000000EE +:0497780000000000ED +:0497790000000000EC +:04977A0000000000EB +:04977B0000000000EA +:04977C0000000000E9 +:04977D0000000000E8 +:04977E0000000000E7 +:04977F0000000000E6 +:0497800000000000E5 +:0497810000000000E4 +:0497820000000000E3 +:0497830000000000E2 +:0497840000000000E1 +:0497850000000000E0 +:0497860000000000DF +:0497870000000000DE +:0497880000000000DD +:0497890000000000DC +:04978A0000000000DB +:04978B0000000000DA +:04978C0000000000D9 +:04978D0000000000D8 +:04978E0000000000D7 +:04978F0000000000D6 +:0497900000000000D5 +:0497910000000000D4 +:0497920000000000D3 +:0497930000000000D2 +:0497940000000000D1 +:0497950000000000D0 +:0497960000000000CF +:0497970000000000CE +:0497980000000000CD +:0497990000000000CC +:04979A0000000000CB +:04979B0000000000CA +:04979C0000000000C9 +:04979D0000000000C8 +:04979E0000000000C7 +:04979F0000000000C6 +:0497A00000000000C5 +:0497A10000000000C4 +:0497A20000000000C3 +:0497A30000000000C2 +:0497A40000000000C1 +:0497A50000000000C0 +:0497A60000000000BF +:0497A70000000000BE +:0497A80000000000BD +:0497A90000000000BC +:0497AA0000000000BB +:0497AB0000000000BA +:0497AC0000000000B9 +:0497AD0000000000B8 +:0497AE0000000000B7 +:0497AF0000000000B6 +:0497B00000000000B5 +:0497B10000000000B4 +:0497B20000000000B3 +:0497B30000000000B2 +:0497B40000000000B1 +:0497B50000000000B0 +:0497B60000000000AF +:0497B70000000000AE +:0497B80000000000AD +:0497B90000000000AC +:0497BA0000000000AB +:0497BB0000000000AA +:0497BC0000000000A9 +:0497BD0000000000A8 +:0497BE0000000000A7 +:0497BF0000000000A6 +:0497C00000000000A5 +:0497C10000000000A4 +:0497C20000000000A3 +:0497C30000000000A2 +:0497C40000000000A1 +:0497C50000000000A0 +:0497C600000000009F +:0497C700000000009E +:0497C800000000009D +:0497C900000000009C +:0497CA00000000009B +:0497CB00000000009A +:0497CC000000000099 +:0497CD000000000098 +:0497CE000000000097 +:0497CF000000000096 +:0497D0000000000095 +:0497D1000000000094 +:0497D2000000000093 +:0497D3000000000092 +:0497D4000000000091 +:0497D5000000000090 +:0497D600000000008F +:0497D700000000008E +:0497D800000000008D +:0497D900000000008C +:0497DA00000000008B +:0497DB00000000008A +:0497DC000000000089 +:0497DD000000000088 +:0497DE000000000087 +:0497DF000000000086 +:0497E0000000000085 +:0497E1000000000084 +:0497E2000000000083 +:0497E3000000000082 +:0497E4000000000081 +:0497E5000000000080 +:0497E600000000007F +:0497E700000000007E +:0497E800000000007D +:0497E900000000007C +:0497EA00000000007B +:0497EB00000000007A +:0497EC000000000079 +:0497ED000000000078 +:0497EE000000000077 +:0497EF000000000076 +:0497F0000000000075 +:0497F1000000000074 +:0497F2000000000073 +:0497F3000000000072 +:0497F4000000000071 +:0497F5000000000070 +:0497F600000000006F +:0497F700000000006E +:0497F800000000006D +:0497F900000000006C +:0497FA00000000006B +:0497FB00000000006A +:0497FC000000000069 +:0497FD000000000068 +:0497FE000000000067 +:0497FF000000000066 +:049800000000000064 +:049801000000000063 +:049802000000000062 +:049803000000000061 +:049804000000000060 +:04980500000000005F +:04980600000000005E +:04980700000000005D +:04980800000000005C +:04980900000000005B +:04980A00000000005A +:04980B000000000059 +:04980C000000000058 +:04980D000000000057 +:04980E000000000056 +:04980F000000000055 +:049810000000000054 +:049811000000000053 +:049812000000000052 +:049813000000000051 +:049814000000000050 +:04981500000000004F +:04981600000000004E +:04981700000000004D +:04981800000000004C +:04981900000000004B +:04981A00000000004A +:04981B000000000049 +:04981C000000000048 +:04981D000000000047 +:04981E000000000046 +:04981F000000000045 +:049820000000000044 +:049821000000000043 +:049822000000000042 +:049823000000000041 +:049824000000000040 +:04982500000000003F +:04982600000000003E +:04982700000000003D +:04982800000000003C +:04982900000000003B +:04982A00000000003A +:04982B000000000039 +:04982C000000000038 +:04982D000000000037 +:04982E000000000036 +:04982F000000000035 +:049830000000000034 +:049831000000000033 +:049832000000000032 +:049833000000000031 +:049834000000000030 +:04983500000000002F +:04983600000000002E +:04983700000000002D +:04983800000000002C +:04983900000000002B +:04983A00000000002A +:04983B000000000029 +:04983C000000000028 +:04983D000000000027 +:04983E000000000026 +:04983F000000000025 +:049840000000000024 +:049841000000000023 +:049842000000000022 +:049843000000000021 +:049844000000000020 +:04984500000000001F +:04984600000000001E +:04984700000000001D +:04984800000000001C +:04984900000000001B +:04984A00000000001A +:04984B000000000019 +:04984C000000000018 +:04984D000000000017 +:04984E000000000016 +:04984F000000000015 +:049850000000000014 +:049851000000000013 +:049852000000000012 +:049853000000000011 +:049854000000000010 +:04985500000000000F +:04985600000000000E +:04985700000000000D +:04985800000000000C +:04985900000000000B +:04985A00000000000A +:04985B000000000009 +:04985C000000000008 +:04985D000000000007 +:04985E000000000006 +:04985F000000000005 +:049860000000000004 +:049861000000000003 +:049862000000000002 +:049863000000000001 +:049864000000000000 +:0498650000000000FF +:0498660000000000FE +:0498670000000000FD +:0498680000000000FC +:0498690000000000FB +:04986A0000000000FA +:04986B0000000000F9 +:04986C0000000000F8 +:04986D0000000000F7 +:04986E0000000000F6 +:04986F0000000000F5 +:0498700000000000F4 +:0498710000000000F3 +:0498720000000000F2 +:0498730000000000F1 +:0498740000000000F0 +:0498750000000000EF +:0498760000000000EE +:0498770000000000ED +:0498780000000000EC +:0498790000000000EB +:04987A0000000000EA +:04987B0000000000E9 +:04987C0000000000E8 +:04987D0000000000E7 +:04987E0000000000E6 +:04987F0000000000E5 +:0498800000000000E4 +:0498810000000000E3 +:0498820000000000E2 +:0498830000000000E1 +:0498840000000000E0 +:0498850000000000DF +:0498860000000000DE +:0498870000000000DD +:0498880000000000DC +:0498890000000000DB +:04988A0000000000DA +:04988B0000000000D9 +:04988C0000000000D8 +:04988D0000000000D7 +:04988E0000000000D6 +:04988F0000000000D5 +:0498900000000000D4 +:0498910000000000D3 +:0498920000000000D2 +:0498930000000000D1 +:0498940000000000D0 +:0498950000000000CF +:0498960000000000CE +:0498970000000000CD +:0498980000000000CC +:0498990000000000CB +:04989A0000000000CA +:04989B0000000000C9 +:04989C0000000000C8 +:04989D0000000000C7 +:04989E0000000000C6 +:04989F0000000000C5 +:0498A00000000000C4 +:0498A10000000000C3 +:0498A20000000000C2 +:0498A30000000000C1 +:0498A40000000000C0 +:0498A50000000000BF +:0498A60000000000BE +:0498A70000000000BD +:0498A80000000000BC +:0498A90000000000BB +:0498AA0000000000BA +:0498AB0000000000B9 +:0498AC0000000000B8 +:0498AD0000000000B7 +:0498AE0000000000B6 +:0498AF0000000000B5 +:0498B00000000000B4 +:0498B10000000000B3 +:0498B20000000000B2 +:0498B30000000000B1 +:0498B40000000000B0 +:0498B50000000000AF +:0498B60000000000AE +:0498B70000000000AD +:0498B80000000000AC +:0498B90000000000AB +:0498BA0000000000AA +:0498BB0000000000A9 +:0498BC0000000000A8 +:0498BD0000000000A7 +:0498BE0000000000A6 +:0498BF0000000000A5 +:0498C00000000000A4 +:0498C10000000000A3 +:0498C20000000000A2 +:0498C30000000000A1 +:0498C40000000000A0 +:0498C500000000009F +:0498C600000000009E +:0498C700000000009D +:0498C800000000009C +:0498C900000000009B +:0498CA00000000009A +:0498CB000000000099 +:0498CC000000000098 +:0498CD000000000097 +:0498CE000000000096 +:0498CF000000000095 +:0498D0000000000094 +:0498D1000000000093 +:0498D2000000000092 +:0498D3000000000091 +:0498D4000000000090 +:0498D500000000008F +:0498D600000000008E +:0498D700000000008D +:0498D800000000008C +:0498D900000000008B +:0498DA00000000008A +:0498DB000000000089 +:0498DC000000000088 +:0498DD000000000087 +:0498DE000000000086 +:0498DF000000000085 +:0498E0000000000084 +:0498E1000000000083 +:0498E2000000000082 +:0498E3000000000081 +:0498E4000000000080 +:0498E500000000007F +:0498E600000000007E +:0498E700000000007D +:0498E800000000007C +:0498E900000000007B +:0498EA00000000007A +:0498EB000000000079 +:0498EC000000000078 +:0498ED000000000077 +:0498EE000000000076 +:0498EF000000000075 +:0498F0000000000074 +:0498F1000000000073 +:0498F2000000000072 +:0498F3000000000071 +:0498F4000000000070 +:0498F500000000006F +:0498F600000000006E +:0498F700000000006D +:0498F800000000006C +:0498F900000000006B +:0498FA00000000006A +:0498FB000000000069 +:0498FC000000000068 +:0498FD000000000067 +:0498FE000000000066 +:0498FF000000000065 +:049900000000000063 +:049901000000000062 +:049902000000000061 +:049903000000000060 +:04990400000000005F +:04990500000000005E +:04990600000000005D +:04990700000000005C +:04990800000000005B +:04990900000000005A +:04990A000000000059 +:04990B000000000058 +:04990C000000000057 +:04990D000000000056 +:04990E000000000055 +:04990F000000000054 +:049910000000000053 +:049911000000000052 +:049912000000000051 +:049913000000000050 +:04991400000000004F +:04991500000000004E +:04991600000000004D +:04991700000000004C +:04991800000000004B +:04991900000000004A +:04991A000000000049 +:04991B000000000048 +:04991C000000000047 +:04991D000000000046 +:04991E000000000045 +:04991F000000000044 +:049920000000000043 +:049921000000000042 +:049922000000000041 +:049923000000000040 +:04992400000000003F +:04992500000000003E +:04992600000000003D +:04992700000000003C +:04992800000000003B +:04992900000000003A +:04992A000000000039 +:04992B000000000038 +:04992C000000000037 +:04992D000000000036 +:04992E000000000035 +:04992F000000000034 +:049930000000000033 +:049931000000000032 +:049932000000000031 +:049933000000000030 +:04993400000000002F +:04993500000000002E +:04993600000000002D +:04993700000000002C +:04993800000000002B +:04993900000000002A +:04993A000000000029 +:04993B000000000028 +:04993C000000000027 +:04993D000000000026 +:04993E000000000025 +:04993F000000000024 +:049940000000000023 +:049941000000000022 +:049942000000000021 +:049943000000000020 +:04994400000000001F +:04994500000000001E +:04994600000000001D +:04994700000000001C +:04994800000000001B +:04994900000000001A +:04994A000000000019 +:04994B000000000018 +:04994C000000000017 +:04994D000000000016 +:04994E000000000015 +:04994F000000000014 +:049950000000000013 +:049951000000000012 +:049952000000000011 +:049953000000000010 +:04995400000000000F +:04995500000000000E +:04995600000000000D +:04995700000000000C +:04995800000000000B +:04995900000000000A +:04995A000000000009 +:04995B000000000008 +:04995C000000000007 +:04995D000000000006 +:04995E000000000005 +:04995F000000000004 +:049960000000000003 +:049961000000000002 +:049962000000000001 +:049963000000000000 +:0499640000000000FF +:0499650000000000FE +:0499660000000000FD +:0499670000000000FC +:0499680000000000FB +:0499690000000000FA +:04996A0000000000F9 +:04996B0000000000F8 +:04996C0000000000F7 +:04996D0000000000F6 +:04996E0000000000F5 +:04996F0000000000F4 +:0499700000000000F3 +:0499710000000000F2 +:0499720000000000F1 +:0499730000000000F0 +:0499740000000000EF +:0499750000000000EE +:0499760000000000ED +:0499770000000000EC +:0499780000000000EB +:0499790000000000EA +:04997A0000000000E9 +:04997B0000000000E8 +:04997C0000000000E7 +:04997D0000000000E6 +:04997E0000000000E5 +:04997F0000000000E4 +:0499800000000000E3 +:0499810000000000E2 +:0499820000000000E1 +:0499830000000000E0 +:0499840000000000DF +:0499850000000000DE +:0499860000000000DD +:0499870000000000DC +:0499880000000000DB +:0499890000000000DA +:04998A0000000000D9 +:04998B0000000000D8 +:04998C0000000000D7 +:04998D0000000000D6 +:04998E0000000000D5 +:04998F0000000000D4 +:0499900000000000D3 +:0499910000000000D2 +:0499920000000000D1 +:0499930000000000D0 +:0499940000000000CF +:0499950000000000CE +:0499960000000000CD +:0499970000000000CC +:0499980000000000CB +:0499990000000000CA +:04999A0000000000C9 +:04999B0000000000C8 +:04999C0000000000C7 +:04999D0000000000C6 +:04999E0000000000C5 +:04999F0000000000C4 +:0499A00000000000C3 +:0499A10000000000C2 +:0499A20000000000C1 +:0499A30000000000C0 +:0499A40000000000BF +:0499A50000000000BE +:0499A60000000000BD +:0499A70000000000BC +:0499A80000000000BB +:0499A90000000000BA +:0499AA0000000000B9 +:0499AB0000000000B8 +:0499AC0000000000B7 +:0499AD0000000000B6 +:0499AE0000000000B5 +:0499AF0000000000B4 +:0499B00000000000B3 +:0499B10000000000B2 +:0499B20000000000B1 +:0499B30000000000B0 +:0499B40000000000AF +:0499B50000000000AE +:0499B60000000000AD +:0499B70000000000AC +:0499B80000000000AB +:0499B90000000000AA +:0499BA0000000000A9 +:0499BB0000000000A8 +:0499BC0000000000A7 +:0499BD0000000000A6 +:0499BE0000000000A5 +:0499BF0000000000A4 +:0499C00000000000A3 +:0499C10000000000A2 +:0499C20000000000A1 +:0499C30000000000A0 +:0499C400000000009F +:0499C500000000009E +:0499C600000000009D +:0499C700000000009C +:0499C800000000009B +:0499C900000000009A +:0499CA000000000099 +:0499CB000000000098 +:0499CC000000000097 +:0499CD000000000096 +:0499CE000000000095 +:0499CF000000000094 +:0499D0000000000093 +:0499D1000000000092 +:0499D2000000000091 +:0499D3000000000090 +:0499D400000000008F +:0499D500000000008E +:0499D600000000008D +:0499D700000000008C +:0499D800000000008B +:0499D900000000008A +:0499DA000000000089 +:0499DB000000000088 +:0499DC000000000087 +:0499DD000000000086 +:0499DE000000000085 +:0499DF000000000084 +:0499E0000000000083 +:0499E1000000000082 +:0499E2000000000081 +:0499E3000000000080 +:0499E400000000007F +:0499E500000000007E +:0499E600000000007D +:0499E700000000007C +:0499E800000000007B +:0499E900000000007A +:0499EA000000000079 +:0499EB000000000078 +:0499EC000000000077 +:0499ED000000000076 +:0499EE000000000075 +:0499EF000000000074 +:0499F0000000000073 +:0499F1000000000072 +:0499F2000000000071 +:0499F3000000000070 +:0499F400000000006F +:0499F500000000006E +:0499F600000000006D +:0499F700000000006C +:0499F800000000006B +:0499F900000000006A +:0499FA000000000069 +:0499FB000000000068 +:0499FC000000000067 +:0499FD000000000066 +:0499FE000000000065 +:0499FF000000000064 +:049A00000000000062 +:049A01000000000061 +:049A02000000000060 +:049A0300000000005F +:049A0400000000005E +:049A0500000000005D +:049A0600000000005C +:049A0700000000005B +:049A0800000000005A +:049A09000000000059 +:049A0A000000000058 +:049A0B000000000057 +:049A0C000000000056 +:049A0D000000000055 +:049A0E000000000054 +:049A0F000000000053 +:049A10000000000052 +:049A11000000000051 +:049A12000000000050 +:049A1300000000004F +:049A1400000000004E +:049A1500000000004D +:049A1600000000004C +:049A1700000000004B +:049A1800000000004A +:049A19000000000049 +:049A1A000000000048 +:049A1B000000000047 +:049A1C000000000046 +:049A1D000000000045 +:049A1E000000000044 +:049A1F000000000043 +:049A20000000000042 +:049A21000000000041 +:049A22000000000040 +:049A2300000000003F +:049A2400000000003E +:049A2500000000003D +:049A2600000000003C +:049A2700000000003B +:049A2800000000003A +:049A29000000000039 +:049A2A000000000038 +:049A2B000000000037 +:049A2C000000000036 +:049A2D000000000035 +:049A2E000000000034 +:049A2F000000000033 +:049A30000000000032 +:049A31000000000031 +:049A32000000000030 +:049A3300000000002F +:049A3400000000002E +:049A3500000000002D +:049A3600000000002C +:049A3700000000002B +:049A3800000000002A +:049A39000000000029 +:049A3A000000000028 +:049A3B000000000027 +:049A3C000000000026 +:049A3D000000000025 +:049A3E000000000024 +:049A3F000000000023 +:049A40000000000022 +:049A41000000000021 +:049A42000000000020 +:049A4300000000001F +:049A4400000000001E +:049A4500000000001D +:049A4600000000001C +:049A4700000000001B +:049A4800000000001A +:049A49000000000019 +:049A4A000000000018 +:049A4B000000000017 +:049A4C000000000016 +:049A4D000000000015 +:049A4E000000000014 +:049A4F000000000013 +:049A50000000000012 +:049A51000000000011 +:049A52000000000010 +:049A5300000000000F +:049A5400000000000E +:049A5500000000000D +:049A5600000000000C +:049A5700000000000B +:049A5800000000000A +:049A59000000000009 +:049A5A000000000008 +:049A5B000000000007 +:049A5C000000000006 +:049A5D000000000005 +:049A5E000000000004 +:049A5F000000000003 +:049A60000000000002 +:049A61000000000001 +:049A62000000000000 +:049A630000000000FF +:049A640000000000FE +:049A650000000000FD +:049A660000000000FC +:049A670000000000FB +:049A680000000000FA +:049A690000000000F9 +:049A6A0000000000F8 +:049A6B0000000000F7 +:049A6C0000000000F6 +:049A6D0000000000F5 +:049A6E0000000000F4 +:049A6F0000000000F3 +:049A700000000000F2 +:049A710000000000F1 +:049A720000000000F0 +:049A730000000000EF +:049A740000000000EE +:049A750000000000ED +:049A760000000000EC +:049A770000000000EB +:049A780000000000EA +:049A790000000000E9 +:049A7A0000000000E8 +:049A7B0000000000E7 +:049A7C0000000000E6 +:049A7D0000000000E5 +:049A7E0000000000E4 +:049A7F0000000000E3 +:049A800000000000E2 +:049A810000000000E1 +:049A820000000000E0 +:049A830000000000DF +:049A840000000000DE +:049A850000000000DD +:049A860000000000DC +:049A870000000000DB +:049A880000000000DA +:049A890000000000D9 +:049A8A0000000000D8 +:049A8B0000000000D7 +:049A8C0000000000D6 +:049A8D0000000000D5 +:049A8E0000000000D4 +:049A8F0000000000D3 +:049A900000000000D2 +:049A910000000000D1 +:049A920000000000D0 +:049A930000000000CF +:049A940000000000CE +:049A950000000000CD +:049A960000000000CC +:049A970000000000CB +:049A980000000000CA +:049A990000000000C9 +:049A9A0000000000C8 +:049A9B0000000000C7 +:049A9C0000000000C6 +:049A9D0000000000C5 +:049A9E0000000000C4 +:049A9F0000000000C3 +:049AA00000000000C2 +:049AA10000000000C1 +:049AA20000000000C0 +:049AA30000000000BF +:049AA40000000000BE +:049AA50000000000BD +:049AA60000000000BC +:049AA70000000000BB +:049AA80000000000BA +:049AA90000000000B9 +:049AAA0000000000B8 +:049AAB0000000000B7 +:049AAC0000000000B6 +:049AAD0000000000B5 +:049AAE0000000000B4 +:049AAF0000000000B3 +:049AB00000000000B2 +:049AB10000000000B1 +:049AB20000000000B0 +:049AB30000000000AF +:049AB40000000000AE +:049AB50000000000AD +:049AB60000000000AC +:049AB70000000000AB +:049AB80000000000AA +:049AB90000000000A9 +:049ABA0000000000A8 +:049ABB0000000000A7 +:049ABC0000000000A6 +:049ABD0000000000A5 +:049ABE0000000000A4 +:049ABF0000000000A3 +:049AC00000000000A2 +:049AC10000000000A1 +:049AC20000000000A0 +:049AC300000000009F +:049AC400000000009E +:049AC500000000009D +:049AC600000000009C +:049AC700000000009B +:049AC800000000009A +:049AC9000000000099 +:049ACA000000000098 +:049ACB000000000097 +:049ACC000000000096 +:049ACD000000000095 +:049ACE000000000094 +:049ACF000000000093 +:049AD0000000000092 +:049AD1000000000091 +:049AD2000000000090 +:049AD300000000008F +:049AD400000000008E +:049AD500000000008D +:049AD600000000008C +:049AD700000000008B +:049AD800000000008A +:049AD9000000000089 +:049ADA000000000088 +:049ADB000000000087 +:049ADC000000000086 +:049ADD000000000085 +:049ADE000000000084 +:049ADF000000000083 +:049AE0000000000082 +:049AE1000000000081 +:049AE2000000000080 +:049AE300000000007F +:049AE400000000007E +:049AE500000000007D +:049AE600000000007C +:049AE700000000007B +:049AE800000000007A +:049AE9000000000079 +:049AEA000000000078 +:049AEB000000000077 +:049AEC000000000076 +:049AED000000000075 +:049AEE000000000074 +:049AEF000000000073 +:049AF0000000000072 +:049AF1000000000071 +:049AF2000000000070 +:049AF300000000006F +:049AF400000000006E +:049AF500000000006D +:049AF600000000006C +:049AF700000000006B +:049AF800000000006A +:049AF9000000000069 +:049AFA000000000068 +:049AFB000000000067 +:049AFC000000000066 +:049AFD000000000065 +:049AFE000000000064 +:049AFF000000000063 +:049B00000000000061 +:049B01000000000060 +:049B0200000000005F +:049B0300000000005E +:049B0400000000005D +:049B0500000000005C +:049B0600000000005B +:049B0700000000005A +:049B08000000000059 +:049B09000000000058 +:049B0A000000000057 +:049B0B000000000056 +:049B0C000000000055 +:049B0D000000000054 +:049B0E000000000053 +:049B0F000000000052 +:049B10000000000051 +:049B11000000000050 +:049B1200000000004F +:049B1300000000004E +:049B1400000000004D +:049B1500000000004C +:049B1600000000004B +:049B1700000000004A +:049B18000000000049 +:049B19000000000048 +:049B1A000000000047 +:049B1B000000000046 +:049B1C000000000045 +:049B1D000000000044 +:049B1E000000000043 +:049B1F000000000042 +:049B20000000000041 +:049B21000000000040 +:049B2200000000003F +:049B2300000000003E +:049B2400000000003D +:049B2500000000003C +:049B2600000000003B +:049B2700000000003A +:049B28000000000039 +:049B29000000000038 +:049B2A000000000037 +:049B2B000000000036 +:049B2C000000000035 +:049B2D000000000034 +:049B2E000000000033 +:049B2F000000000032 +:049B30000000000031 +:049B31000000000030 +:049B3200000000002F +:049B3300000000002E +:049B3400000000002D +:049B3500000000002C +:049B3600000000002B +:049B3700000000002A +:049B38000000000029 +:049B39000000000028 +:049B3A000000000027 +:049B3B000000000026 +:049B3C000000000025 +:049B3D000000000024 +:049B3E000000000023 +:049B3F000000000022 +:049B40000000000021 +:049B41000000000020 +:049B4200000000001F +:049B4300000000001E +:049B4400000000001D +:049B4500000000001C +:049B4600000000001B +:049B4700000000001A +:049B48000000000019 +:049B49000000000018 +:049B4A000000000017 +:049B4B000000000016 +:049B4C000000000015 +:049B4D000000000014 +:049B4E000000000013 +:049B4F000000000012 +:049B50000000000011 +:049B51000000000010 +:049B5200000000000F +:049B5300000000000E +:049B5400000000000D +:049B5500000000000C +:049B5600000000000B +:049B5700000000000A +:049B58000000000009 +:049B59000000000008 +:049B5A000000000007 +:049B5B000000000006 +:049B5C000000000005 +:049B5D000000000004 +:049B5E000000000003 +:049B5F000000000002 +:049B60000000000001 +:049B61000000000000 +:049B620000000000FF +:049B630000000000FE +:049B640000000000FD +:049B650000000000FC +:049B660000000000FB +:049B670000000000FA +:049B680000000000F9 +:049B690000000000F8 +:049B6A0000000000F7 +:049B6B0000000000F6 +:049B6C0000000000F5 +:049B6D0000000000F4 +:049B6E0000000000F3 +:049B6F0000000000F2 +:049B700000000000F1 +:049B710000000000F0 +:049B720000000000EF +:049B730000000000EE +:049B740000000000ED +:049B750000000000EC +:049B760000000000EB +:049B770000000000EA +:049B780000000000E9 +:049B790000000000E8 +:049B7A0000000000E7 +:049B7B0000000000E6 +:049B7C0000000000E5 +:049B7D0000000000E4 +:049B7E0000000000E3 +:049B7F0000000000E2 +:049B800000000000E1 +:049B810000000000E0 +:049B820000000000DF +:049B830000000000DE +:049B840000000000DD +:049B850000000000DC +:049B860000000000DB +:049B870000000000DA +:049B880000000000D9 +:049B890000000000D8 +:049B8A0000000000D7 +:049B8B0000000000D6 +:049B8C0000000000D5 +:049B8D0000000000D4 +:049B8E0000000000D3 +:049B8F0000000000D2 +:049B900000000000D1 +:049B910000000000D0 +:049B920000000000CF +:049B930000000000CE +:049B940000000000CD +:049B950000000000CC +:049B960000000000CB +:049B970000000000CA +:049B980000000000C9 +:049B990000000000C8 +:049B9A0000000000C7 +:049B9B0000000000C6 +:049B9C0000000000C5 +:049B9D0000000000C4 +:049B9E0000000000C3 +:049B9F0000000000C2 +:049BA00000000000C1 +:049BA10000000000C0 +:049BA20000000000BF +:049BA30000000000BE +:049BA40000000000BD +:049BA50000000000BC +:049BA60000000000BB +:049BA70000000000BA +:049BA80000000000B9 +:049BA90000000000B8 +:049BAA0000000000B7 +:049BAB0000000000B6 +:049BAC0000000000B5 +:049BAD0000000000B4 +:049BAE0000000000B3 +:049BAF0000000000B2 +:049BB00000000000B1 +:049BB10000000000B0 +:049BB20000000000AF +:049BB30000000000AE +:049BB40000000000AD +:049BB50000000000AC +:049BB60000000000AB +:049BB70000000000AA +:049BB80000000000A9 +:049BB90000000000A8 +:049BBA0000000000A7 +:049BBB0000000000A6 +:049BBC0000000000A5 +:049BBD0000000000A4 +:049BBE0000000000A3 +:049BBF0000000000A2 +:049BC00000000000A1 +:049BC10000000000A0 +:049BC200000000009F +:049BC300000000009E +:049BC400000000009D +:049BC500000000009C +:049BC600000000009B +:049BC700000000009A +:049BC8000000000099 +:049BC9000000000098 +:049BCA000000000097 +:049BCB000000000096 +:049BCC000000000095 +:049BCD000000000094 +:049BCE000000000093 +:049BCF000000000092 +:049BD0000000000091 +:049BD1000000000090 +:049BD200000000008F +:049BD300000000008E +:049BD400000000008D +:049BD500000000008C +:049BD600000000008B +:049BD700000000008A +:049BD8000000000089 +:049BD9000000000088 +:049BDA000000000087 +:049BDB000000000086 +:049BDC000000000085 +:049BDD000000000084 +:049BDE000000000083 +:049BDF000000000082 +:049BE0000000000081 +:049BE1000000000080 +:049BE200000000007F +:049BE300000000007E +:049BE400000000007D +:049BE500000000007C +:049BE600000000007B +:049BE700000000007A +:049BE8000000000079 +:049BE9000000000078 +:049BEA000000000077 +:049BEB000000000076 +:049BEC000000000075 +:049BED000000000074 +:049BEE000000000073 +:049BEF000000000072 +:049BF0000000000071 +:049BF1000000000070 +:049BF200000000006F +:049BF300000000006E +:049BF400000000006D +:049BF500000000006C +:049BF600000000006B +:049BF700000000006A +:049BF8000000000069 +:049BF9000000000068 +:049BFA000000000067 +:049BFB000000000066 +:049BFC000000000065 +:049BFD000000000064 +:049BFE000000000063 +:049BFF000000000062 +:049C00000000000060 +:049C0100000000005F +:049C0200000000005E +:049C0300000000005D +:049C0400000000005C +:049C0500000000005B +:049C0600000000005A +:049C07000000000059 +:049C08000000000058 +:049C09000000000057 +:049C0A000000000056 +:049C0B000000000055 +:049C0C000000000054 +:049C0D000000000053 +:049C0E000000000052 +:049C0F000000000051 +:049C10000000000050 +:049C1100000000004F +:049C1200000000004E +:049C1300000000004D +:049C1400000000004C +:049C1500000000004B +:049C1600000000004A +:049C17000000000049 +:049C18000000000048 +:049C19000000000047 +:049C1A000000000046 +:049C1B000000000045 +:049C1C000000000044 +:049C1D000000000043 +:049C1E000000000042 +:049C1F000000000041 +:049C20000000000040 +:049C2100000000003F +:049C2200000000003E +:049C2300000000003D +:049C2400000000003C +:049C2500000000003B +:049C2600000000003A +:049C27000000000039 +:049C28000000000038 +:049C29000000000037 +:049C2A000000000036 +:049C2B000000000035 +:049C2C000000000034 +:049C2D000000000033 +:049C2E000000000032 +:049C2F000000000031 +:049C30000000000030 +:049C3100000000002F +:049C3200000000002E +:049C3300000000002D +:049C3400000000002C +:049C3500000000002B +:049C3600000000002A +:049C37000000000029 +:049C38000000000028 +:049C39000000000027 +:049C3A000000000026 +:049C3B000000000025 +:049C3C000000000024 +:049C3D000000000023 +:049C3E000000000022 +:049C3F000000000021 +:049C40000000000020 +:049C4100000000001F +:049C4200000000001E +:049C4300000000001D +:049C4400000000001C +:049C4500000000001B +:049C4600000000001A +:049C47000000000019 +:049C48000000000018 +:049C49000000000017 +:049C4A000000000016 +:049C4B000000000015 +:049C4C000000000014 +:049C4D000000000013 +:049C4E000000000012 +:049C4F000000000011 +:049C50000000000010 +:049C5100000000000F +:049C5200000000000E +:049C5300000000000D +:049C5400000000000C +:049C5500000000000B +:049C5600000000000A +:049C57000000000009 +:049C58000000000008 +:049C59000000000007 +:049C5A000000000006 +:049C5B000000000005 +:049C5C000000000004 +:049C5D000000000003 +:049C5E000000000002 +:049C5F000000000001 +:049C60000000000000 +:049C610000000000FF +:049C620000000000FE +:049C630000000000FD +:049C640000000000FC +:049C650000000000FB +:049C660000000000FA +:049C670000000000F9 +:049C680000000000F8 +:049C690000000000F7 +:049C6A0000000000F6 +:049C6B0000000000F5 +:049C6C0000000000F4 +:049C6D0000000000F3 +:049C6E0000000000F2 +:049C6F0000000000F1 +:049C700000000000F0 +:049C710000000000EF +:049C720000000000EE +:049C730000000000ED +:049C740000000000EC +:049C750000000000EB +:049C760000000000EA +:049C770000000000E9 +:049C780000000000E8 +:049C790000000000E7 +:049C7A0000000000E6 +:049C7B0000000000E5 +:049C7C0000000000E4 +:049C7D0000000000E3 +:049C7E0000000000E2 +:049C7F0000000000E1 +:049C800000000000E0 +:049C810000000000DF +:049C820000000000DE +:049C830000000000DD +:049C840000000000DC +:049C850000000000DB +:049C860000000000DA +:049C870000000000D9 +:049C880000000000D8 +:049C890000000000D7 +:049C8A0000000000D6 +:049C8B0000000000D5 +:049C8C0000000000D4 +:049C8D0000000000D3 +:049C8E0000000000D2 +:049C8F0000000000D1 +:049C900000000000D0 +:049C910000000000CF +:049C920000000000CE +:049C930000000000CD +:049C940000000000CC +:049C950000000000CB +:049C960000000000CA +:049C970000000000C9 +:049C980000000000C8 +:049C990000000000C7 +:049C9A0000000000C6 +:049C9B0000000000C5 +:049C9C0000000000C4 +:049C9D0000000000C3 +:049C9E0000000000C2 +:049C9F0000000000C1 +:049CA00000000000C0 +:049CA10000000000BF +:049CA20000000000BE +:049CA30000000000BD +:049CA40000000000BC +:049CA50000000000BB +:049CA60000000000BA +:049CA70000000000B9 +:049CA80000000000B8 +:049CA90000000000B7 +:049CAA0000000000B6 +:049CAB0000000000B5 +:049CAC0000000000B4 +:049CAD0000000000B3 +:049CAE0000000000B2 +:049CAF0000000000B1 +:049CB00000000000B0 +:049CB10000000000AF +:049CB20000000000AE +:049CB30000000000AD +:049CB40000000000AC +:049CB50000000000AB +:049CB60000000000AA +:049CB70000000000A9 +:049CB80000000000A8 +:049CB90000000000A7 +:049CBA0000000000A6 +:049CBB0000000000A5 +:049CBC0000000000A4 +:049CBD0000000000A3 +:049CBE0000000000A2 +:049CBF0000000000A1 +:049CC00000000000A0 +:049CC100000000009F +:049CC200000000009E +:049CC300000000009D +:049CC400000000009C +:049CC500000000009B +:049CC600000000009A +:049CC7000000000099 +:049CC8000000000098 +:049CC9000000000097 +:049CCA000000000096 +:049CCB000000000095 +:049CCC000000000094 +:049CCD000000000093 +:049CCE000000000092 +:049CCF000000000091 +:049CD0000000000090 +:049CD100000000008F +:049CD200000000008E +:049CD300000000008D +:049CD400000000008C +:049CD500000000008B +:049CD600000000008A +:049CD7000000000089 +:049CD8000000000088 +:049CD9000000000087 +:049CDA000000000086 +:049CDB000000000085 +:049CDC000000000084 +:049CDD000000000083 +:049CDE000000000082 +:049CDF000000000081 +:049CE0000000000080 +:049CE100000000007F +:049CE200000000007E +:049CE300000000007D +:049CE400000000007C +:049CE500000000007B +:049CE600000000007A +:049CE7000000000079 +:049CE8000000000078 +:049CE9000000000077 +:049CEA000000000076 +:049CEB000000000075 +:049CEC000000000074 +:049CED000000000073 +:049CEE000000000072 +:049CEF000000000071 +:049CF0000000000070 +:049CF100000000006F +:049CF200000000006E +:049CF300000000006D +:049CF400000000006C +:049CF500000000006B +:049CF600000000006A +:049CF7000000000069 +:049CF8000000000068 +:049CF9000000000067 +:049CFA000000000066 +:049CFB000000000065 +:049CFC000000000064 +:049CFD000000000063 +:049CFE000000000062 +:049CFF000000000061 +:049D0000000000005F +:049D0100000000005E +:049D0200000000005D +:049D0300000000005C +:049D0400000000005B +:049D0500000000005A +:049D06000000000059 +:049D07000000000058 +:049D08000000000057 +:049D09000000000056 +:049D0A000000000055 +:049D0B000000000054 +:049D0C000000000053 +:049D0D000000000052 +:049D0E000000000051 +:049D0F000000000050 +:049D1000000000004F +:049D1100000000004E +:049D1200000000004D +:049D1300000000004C +:049D1400000000004B +:049D1500000000004A +:049D16000000000049 +:049D17000000000048 +:049D18000000000047 +:049D19000000000046 +:049D1A000000000045 +:049D1B000000000044 +:049D1C000000000043 +:049D1D000000000042 +:049D1E000000000041 +:049D1F000000000040 +:049D2000000000003F +:049D2100000000003E +:049D2200000000003D +:049D2300000000003C +:049D2400000000003B +:049D2500000000003A +:049D26000000000039 +:049D27000000000038 +:049D28000000000037 +:049D29000000000036 +:049D2A000000000035 +:049D2B000000000034 +:049D2C000000000033 +:049D2D000000000032 +:049D2E000000000031 +:049D2F000000000030 +:049D3000000000002F +:049D3100000000002E +:049D3200000000002D +:049D3300000000002C +:049D3400000000002B +:049D3500000000002A +:049D36000000000029 +:049D37000000000028 +:049D38000000000027 +:049D39000000000026 +:049D3A000000000025 +:049D3B000000000024 +:049D3C000000000023 +:049D3D000000000022 +:049D3E000000000021 +:049D3F000000000020 +:049D4000000000001F +:049D4100000000001E +:049D4200000000001D +:049D4300000000001C +:049D4400000000001B +:049D4500000000001A +:049D46000000000019 +:049D47000000000018 +:049D48000000000017 +:049D49000000000016 +:049D4A000000000015 +:049D4B000000000014 +:049D4C000000000013 +:049D4D000000000012 +:049D4E000000000011 +:049D4F000000000010 +:049D5000000000000F +:049D5100000000000E +:049D5200000000000D +:049D5300000000000C +:049D5400000000000B +:049D5500000000000A +:049D56000000000009 +:049D57000000000008 +:049D58000000000007 +:049D59000000000006 +:049D5A000000000005 +:049D5B000000000004 +:049D5C000000000003 +:049D5D000000000002 +:049D5E000000000001 +:049D5F000000000000 +:049D600000000000FF +:049D610000000000FE +:049D620000000000FD +:049D630000000000FC +:049D640000000000FB +:049D650000000000FA +:049D660000000000F9 +:049D670000000000F8 +:049D680000000000F7 +:049D690000000000F6 +:049D6A0000000000F5 +:049D6B0000000000F4 +:049D6C0000000000F3 +:049D6D0000000000F2 +:049D6E0000000000F1 +:049D6F0000000000F0 +:049D700000000000EF +:049D710000000000EE +:049D720000000000ED +:049D730000000000EC +:049D740000000000EB +:049D750000000000EA +:049D760000000000E9 +:049D770000000000E8 +:049D780000000000E7 +:049D790000000000E6 +:049D7A0000000000E5 +:049D7B0000000000E4 +:049D7C0000000000E3 +:049D7D0000000000E2 +:049D7E0000000000E1 +:049D7F0000000000E0 +:049D800000000000DF +:049D810000000000DE +:049D820000000000DD +:049D830000000000DC +:049D840000000000DB +:049D850000000000DA +:049D860000000000D9 +:049D870000000000D8 +:049D880000000000D7 +:049D890000000000D6 +:049D8A0000000000D5 +:049D8B0000000000D4 +:049D8C0000000000D3 +:049D8D0000000000D2 +:049D8E0000000000D1 +:049D8F0000000000D0 +:049D900000000000CF +:049D910000000000CE +:049D920000000000CD +:049D930000000000CC +:049D940000000000CB +:049D950000000000CA +:049D960000000000C9 +:049D970000000000C8 +:049D980000000000C7 +:049D990000000000C6 +:049D9A0000000000C5 +:049D9B0000000000C4 +:049D9C0000000000C3 +:049D9D0000000000C2 +:049D9E0000000000C1 +:049D9F0000000000C0 +:049DA00000000000BF +:049DA10000000000BE +:049DA20000000000BD +:049DA30000000000BC +:049DA40000000000BB +:049DA50000000000BA +:049DA60000000000B9 +:049DA70000000000B8 +:049DA80000000000B7 +:049DA90000000000B6 +:049DAA0000000000B5 +:049DAB0000000000B4 +:049DAC0000000000B3 +:049DAD0000000000B2 +:049DAE0000000000B1 +:049DAF0000000000B0 +:049DB00000000000AF +:049DB10000000000AE +:049DB20000000000AD +:049DB30000000000AC +:049DB40000000000AB +:049DB50000000000AA +:049DB60000000000A9 +:049DB70000000000A8 +:049DB80000000000A7 +:049DB90000000000A6 +:049DBA0000000000A5 +:049DBB0000000000A4 +:049DBC0000000000A3 +:049DBD0000000000A2 +:049DBE0000000000A1 +:049DBF0000000000A0 +:049DC000000000009F +:049DC100000000009E +:049DC200000000009D +:049DC300000000009C +:049DC400000000009B +:049DC500000000009A +:049DC6000000000099 +:049DC7000000000098 +:049DC8000000000097 +:049DC9000000000096 +:049DCA000000000095 +:049DCB000000000094 +:049DCC000000000093 +:049DCD000000000092 +:049DCE000000000091 +:049DCF000000000090 +:049DD000000000008F +:049DD100000000008E +:049DD200000000008D +:049DD300000000008C +:049DD400000000008B +:049DD500000000008A +:049DD6000000000089 +:049DD7000000000088 +:049DD8000000000087 +:049DD9000000000086 +:049DDA000000000085 +:049DDB000000000084 +:049DDC000000000083 +:049DDD000000000082 +:049DDE000000000081 +:049DDF000000000080 +:049DE000000000007F +:049DE100000000007E +:049DE200000000007D +:049DE300000000007C +:049DE400000000007B +:049DE500000000007A +:049DE6000000000079 +:049DE7000000000078 +:049DE8000000000077 +:049DE9000000000076 +:049DEA000000000075 +:049DEB000000000074 +:049DEC000000000073 +:049DED000000000072 +:049DEE000000000071 +:049DEF000000000070 +:049DF000000000006F +:049DF100000000006E +:049DF200000000006D +:049DF300000000006C +:049DF400000000006B +:049DF500000000006A +:049DF6000000000069 +:049DF7000000000068 +:049DF8000000000067 +:049DF9000000000066 +:049DFA000000000065 +:049DFB000000000064 +:049DFC000000000063 +:049DFD000000000062 +:049DFE000000000061 +:049DFF000000000060 +:049E0000000000005E +:049E0100000000005D +:049E0200000000005C +:049E0300000000005B +:049E0400000000005A +:049E05000000000059 +:049E06000000000058 +:049E07000000000057 +:049E08000000000056 +:049E09000000000055 +:049E0A000000000054 +:049E0B000000000053 +:049E0C000000000052 +:049E0D000000000051 +:049E0E000000000050 +:049E0F00000000004F +:049E1000000000004E +:049E1100000000004D +:049E1200000000004C +:049E1300000000004B +:049E1400000000004A +:049E15000000000049 +:049E16000000000048 +:049E17000000000047 +:049E18000000000046 +:049E19000000000045 +:049E1A000000000044 +:049E1B000000000043 +:049E1C000000000042 +:049E1D000000000041 +:049E1E000000000040 +:049E1F00000000003F +:049E2000000000003E +:049E2100000000003D +:049E2200000000003C +:049E2300000000003B +:049E2400000000003A +:049E25000000000039 +:049E26000000000038 +:049E27000000000037 +:049E28000000000036 +:049E29000000000035 +:049E2A000000000034 +:049E2B000000000033 +:049E2C000000000032 +:049E2D000000000031 +:049E2E000000000030 +:049E2F00000000002F +:049E3000000000002E +:049E3100000000002D +:049E3200000000002C +:049E3300000000002B +:049E3400000000002A +:049E35000000000029 +:049E36000000000028 +:049E37000000000027 +:049E38000000000026 +:049E39000000000025 +:049E3A000000000024 +:049E3B000000000023 +:049E3C000000000022 +:049E3D000000000021 +:049E3E000000000020 +:049E3F00000000001F +:049E4000000000001E +:049E4100000000001D +:049E4200000000001C +:049E4300000000001B +:049E4400000000001A +:049E45000000000019 +:049E46000000000018 +:049E47000000000017 +:049E48000000000016 +:049E49000000000015 +:049E4A000000000014 +:049E4B000000000013 +:049E4C000000000012 +:049E4D000000000011 +:049E4E000000000010 +:049E4F00000000000F +:049E5000000000000E +:049E5100000000000D +:049E5200000000000C +:049E5300000000000B +:049E5400000000000A +:049E55000000000009 +:049E56000000000008 +:049E57000000000007 +:049E58000000000006 +:049E59000000000005 +:049E5A000000000004 +:049E5B000000000003 +:049E5C000000000002 +:049E5D000000000001 +:049E5E000000000000 +:049E5F0000000000FF +:049E600000000000FE +:049E610000000000FD +:049E620000000000FC +:049E630000000000FB +:049E640000000000FA +:049E650000000000F9 +:049E660000000000F8 +:049E670000000000F7 +:049E680000000000F6 +:049E690000000000F5 +:049E6A0000000000F4 +:049E6B0000000000F3 +:049E6C0000000000F2 +:049E6D0000000000F1 +:049E6E0000000000F0 +:049E6F0000000000EF +:049E700000000000EE +:049E710000000000ED +:049E720000000000EC +:049E730000000000EB +:049E740000000000EA +:049E750000000000E9 +:049E760000000000E8 +:049E770000000000E7 +:049E780000000000E6 +:049E790000000000E5 +:049E7A0000000000E4 +:049E7B0000000000E3 +:049E7C0000000000E2 +:049E7D0000000000E1 +:049E7E0000000000E0 +:049E7F0000000000DF +:049E800000000000DE +:049E810000000000DD +:049E820000000000DC +:049E830000000000DB +:049E840000000000DA +:049E850000000000D9 +:049E860000000000D8 +:049E870000000000D7 +:049E880000000000D6 +:049E890000000000D5 +:049E8A0000000000D4 +:049E8B0000000000D3 +:049E8C0000000000D2 +:049E8D0000000000D1 +:049E8E0000000000D0 +:049E8F0000000000CF +:049E900000000000CE +:049E910000000000CD +:049E920000000000CC +:049E930000000000CB +:049E940000000000CA +:049E950000000000C9 +:049E960000000000C8 +:049E970000000000C7 +:049E980000000000C6 +:049E990000000000C5 +:049E9A0000000000C4 +:049E9B0000000000C3 +:049E9C0000000000C2 +:049E9D0000000000C1 +:049E9E0000000000C0 +:049E9F0000000000BF +:049EA00000000000BE +:049EA10000000000BD +:049EA20000000000BC +:049EA30000000000BB +:049EA40000000000BA +:049EA50000000000B9 +:049EA60000000000B8 +:049EA70000000000B7 +:049EA80000000000B6 +:049EA90000000000B5 +:049EAA0000000000B4 +:049EAB0000000000B3 +:049EAC0000000000B2 +:049EAD0000000000B1 +:049EAE0000000000B0 +:049EAF0000000000AF +:049EB00000000000AE +:049EB10000000000AD +:049EB20000000000AC +:049EB30000000000AB +:049EB40000000000AA +:049EB50000000000A9 +:049EB60000000000A8 +:049EB70000000000A7 +:049EB80000000000A6 +:049EB90000000000A5 +:049EBA0000000000A4 +:049EBB0000000000A3 +:049EBC0000000000A2 +:049EBD0000000000A1 +:049EBE0000000000A0 +:049EBF00000000009F +:049EC000000000009E +:049EC100000000009D +:049EC200000000009C +:049EC300000000009B +:049EC400000000009A +:049EC5000000000099 +:049EC6000000000098 +:049EC7000000000097 +:049EC8000000000096 +:049EC9000000000095 +:049ECA000000000094 +:049ECB000000000093 +:049ECC000000000092 +:049ECD000000000091 +:049ECE000000000090 +:049ECF00000000008F +:049ED000000000008E +:049ED100000000008D +:049ED200000000008C +:049ED300000000008B +:049ED400000000008A +:049ED5000000000089 +:049ED6000000000088 +:049ED7000000000087 +:049ED8000000000086 +:049ED9000000000085 +:049EDA000000000084 +:049EDB000000000083 +:049EDC000000000082 +:049EDD000000000081 +:049EDE000000000080 +:049EDF00000000007F +:049EE000000000007E +:049EE100000000007D +:049EE200000000007C +:049EE300000000007B +:049EE400000000007A +:049EE5000000000079 +:049EE6000000000078 +:049EE7000000000077 +:049EE8000000000076 +:049EE9000000000075 +:049EEA000000000074 +:049EEB000000000073 +:049EEC000000000072 +:049EED000000000071 +:049EEE000000000070 +:049EEF00000000006F +:049EF000000000006E +:049EF100000000006D +:049EF200000000006C +:049EF300000000006B +:049EF400000000006A +:049EF5000000000069 +:049EF6000000000068 +:049EF7000000000067 +:049EF8000000000066 +:049EF9000000000065 +:049EFA000000000064 +:049EFB000000000063 +:049EFC000000000062 +:049EFD000000000061 +:049EFE000000000060 +:049EFF00000000005F +:049F0000000000005D +:049F0100000000005C +:049F0200000000005B +:049F0300000000005A +:049F04000000000059 +:049F05000000000058 +:049F06000000000057 +:049F07000000000056 +:049F08000000000055 +:049F09000000000054 +:049F0A000000000053 +:049F0B000000000052 +:049F0C000000000051 +:049F0D000000000050 +:049F0E00000000004F +:049F0F00000000004E +:049F1000000000004D +:049F1100000000004C +:049F1200000000004B +:049F1300000000004A +:049F14000000000049 +:049F15000000000048 +:049F16000000000047 +:049F17000000000046 +:049F18000000000045 +:049F19000000000044 +:049F1A000000000043 +:049F1B000000000042 +:049F1C000000000041 +:049F1D000000000040 +:049F1E00000000003F +:049F1F00000000003E +:049F2000000000003D +:049F2100000000003C +:049F2200000000003B +:049F2300000000003A +:049F24000000000039 +:049F25000000000038 +:049F26000000000037 +:049F27000000000036 +:049F28000000000035 +:049F29000000000034 +:049F2A000000000033 +:049F2B000000000032 +:049F2C000000000031 +:049F2D000000000030 +:049F2E00000000002F +:049F2F00000000002E +:049F3000000000002D +:049F3100000000002C +:049F3200000000002B +:049F3300000000002A +:049F34000000000029 +:049F35000000000028 +:049F36000000000027 +:049F37000000000026 +:049F38000000000025 +:049F39000000000024 +:049F3A000000000023 +:049F3B000000000022 +:049F3C000000000021 +:049F3D000000000020 +:049F3E00000000001F +:049F3F00000000001E +:049F4000000000001D +:049F4100000000001C +:049F4200000000001B +:049F4300000000001A +:049F44000000000019 +:049F45000000000018 +:049F46000000000017 +:049F47000000000016 +:049F48000000000015 +:049F49000000000014 +:049F4A000000000013 +:049F4B000000000012 +:049F4C000000000011 +:049F4D000000000010 +:049F4E00000000000F +:049F4F00000000000E +:049F5000000000000D +:049F5100000000000C +:049F5200000000000B +:049F5300000000000A +:049F54000000000009 +:049F55000000000008 +:049F56000000000007 +:049F57000000000006 +:049F58000000000005 +:049F59000000000004 +:049F5A000000000003 +:049F5B000000000002 +:049F5C000000000001 +:049F5D000000000000 +:049F5E0000000000FF +:049F5F0000000000FE +:049F600000000000FD +:049F610000000000FC +:049F620000000000FB +:049F630000000000FA +:049F640000000000F9 +:049F650000000000F8 +:049F660000000000F7 +:049F670000000000F6 +:049F680000000000F5 +:049F690000000000F4 +:049F6A0000000000F3 +:049F6B0000000000F2 +:049F6C0000000000F1 +:049F6D0000000000F0 +:049F6E0000000000EF +:049F6F0000000000EE +:049F700000000000ED +:049F710000000000EC +:049F720000000000EB +:049F730000000000EA +:049F740000000000E9 +:049F750000000000E8 +:049F760000000000E7 +:049F770000000000E6 +:049F780000000000E5 +:049F790000000000E4 +:049F7A0000000000E3 +:049F7B0000000000E2 +:049F7C0000000000E1 +:049F7D0000000000E0 +:049F7E0000000000DF +:049F7F0000000000DE +:049F800000000000DD +:049F810000000000DC +:049F820000000000DB +:049F830000000000DA +:049F840000000000D9 +:049F850000000000D8 +:049F860000000000D7 +:049F870000000000D6 +:049F880000000000D5 +:049F890000000000D4 +:049F8A0000000000D3 +:049F8B0000000000D2 +:049F8C0000000000D1 +:049F8D0000000000D0 +:049F8E0000000000CF +:049F8F0000000000CE +:049F900000000000CD +:049F910000000000CC +:049F920000000000CB +:049F930000000000CA +:049F940000000000C9 +:049F950000000000C8 +:049F960000000000C7 +:049F970000000000C6 +:049F980000000000C5 +:049F990000000000C4 +:049F9A0000000000C3 +:049F9B0000000000C2 +:049F9C0000000000C1 +:049F9D0000000000C0 +:049F9E0000000000BF +:049F9F0000000000BE +:049FA00000000000BD +:049FA10000000000BC +:049FA20000000000BB +:049FA30000000000BA +:049FA40000000000B9 +:049FA50000000000B8 +:049FA60000000000B7 +:049FA70000000000B6 +:049FA80000000000B5 +:049FA90000000000B4 +:049FAA0000000000B3 +:049FAB0000000000B2 +:049FAC0000000000B1 +:049FAD0000000000B0 +:049FAE0000000000AF +:049FAF0000000000AE +:049FB00000000000AD +:049FB10000000000AC +:049FB20000000000AB +:049FB30000000000AA +:049FB40000000000A9 +:049FB50000000000A8 +:049FB60000000000A7 +:049FB70000000000A6 +:049FB80000000000A5 +:049FB90000000000A4 +:049FBA0000000000A3 +:049FBB0000000000A2 +:049FBC0000000000A1 +:049FBD0000000000A0 +:049FBE00000000009F +:049FBF00000000009E +:049FC000000000009D +:049FC100000000009C +:049FC200000000009B +:049FC300000000009A +:049FC4000000000099 +:049FC5000000000098 +:049FC6000000000097 +:049FC7000000000096 +:049FC8000000000095 +:049FC9000000000094 +:049FCA000000000093 +:049FCB000000000092 +:049FCC000000000091 +:049FCD000000000090 +:049FCE00000000008F +:049FCF00000000008E +:049FD000000000008D +:049FD100000000008C +:049FD200000000008B +:049FD300000000008A +:049FD4000000000089 +:049FD5000000000088 +:049FD6000000000087 +:049FD7000000000086 +:049FD8000000000085 +:049FD9000000000084 +:049FDA000000000083 +:049FDB000000000082 +:049FDC000000000081 +:049FDD000000000080 +:049FDE00000000007F +:049FDF00000000007E +:049FE000000000007D +:049FE100000000007C +:049FE200000000007B +:049FE300000000007A +:049FE4000000000079 +:049FE5000000000078 +:049FE6000000000077 +:049FE7000000000076 +:049FE8000000000075 +:049FE9000000000074 +:049FEA000000000073 +:049FEB000000000072 +:049FEC000000000071 +:049FED000000000070 +:049FEE00000000006F +:049FEF00000000006E +:049FF000000000006D +:049FF100000000006C +:049FF200000000006B +:049FF300000000006A +:049FF4000000000069 +:049FF5000000000068 +:049FF6000000000067 +:049FF7000000000066 +:049FF8000000000065 +:049FF9000000000064 +:049FFA000000000063 +:049FFB000000000062 +:049FFC000000000061 +:049FFD000000000060 +:049FFE00000000005F +:049FFF00000000005E +:04A00000000000005C +:04A00100000000005B +:04A00200000000005A +:04A003000000000059 +:04A004000000000058 +:04A005000000000057 +:04A006000000000056 +:04A007000000000055 +:04A008000000000054 +:04A009000000000053 +:04A00A000000000052 +:04A00B000000000051 +:04A00C000000000050 +:04A00D00000000004F +:04A00E00000000004E +:04A00F00000000004D +:04A01000000000004C +:04A01100000000004B +:04A01200000000004A +:04A013000000000049 +:04A014000000000048 +:04A015000000000047 +:04A016000000000046 +:04A017000000000045 +:04A018000000000044 +:04A019000000000043 +:04A01A000000000042 +:04A01B000000000041 +:04A01C000000000040 +:04A01D00000000003F +:04A01E00000000003E +:04A01F00000000003D +:04A02000000000003C +:04A02100000000003B +:04A02200000000003A +:04A023000000000039 +:04A024000000000038 +:04A025000000000037 +:04A026000000000036 +:04A027000000000035 +:04A028000000000034 +:04A029000000000033 +:04A02A000000000032 +:04A02B000000000031 +:04A02C000000000030 +:04A02D00000000002F +:04A02E00000000002E +:04A02F00000000002D +:04A03000000000002C +:04A03100000000002B +:04A03200000000002A +:04A033000000000029 +:04A034000000000028 +:04A035000000000027 +:04A036000000000026 +:04A037000000000025 +:04A038000000000024 +:04A039000000000023 +:04A03A000000000022 +:04A03B000000000021 +:04A03C000000000020 +:04A03D00000000001F +:04A03E00000000001E +:04A03F00000000001D +:04A04000000000001C +:04A04100000000001B +:04A04200000000001A +:04A043000000000019 +:04A044000000000018 +:04A045000000000017 +:04A046000000000016 +:04A047000000000015 +:04A048000000000014 +:04A049000000000013 +:04A04A000000000012 +:04A04B000000000011 +:04A04C000000000010 +:04A04D00000000000F +:04A04E00000000000E +:04A04F00000000000D +:04A05000000000000C +:04A05100000000000B +:04A05200000000000A +:04A053000000000009 +:04A054000000000008 +:04A055000000000007 +:04A056000000000006 +:04A057000000000005 +:04A058000000000004 +:04A059000000000003 +:04A05A000000000002 +:04A05B000000000001 +:04A05C000000000000 +:04A05D0000000000FF +:04A05E0000000000FE +:04A05F0000000000FD +:04A0600000000000FC +:04A0610000000000FB +:04A0620000000000FA +:04A0630000000000F9 +:04A0640000000000F8 +:04A0650000000000F7 +:04A0660000000000F6 +:04A0670000000000F5 +:04A0680000000000F4 +:04A0690000000000F3 +:04A06A0000000000F2 +:04A06B0000000000F1 +:04A06C0000000000F0 +:04A06D0000000000EF +:04A06E0000000000EE +:04A06F0000000000ED +:04A0700000000000EC +:04A0710000000000EB +:04A0720000000000EA +:04A0730000000000E9 +:04A0740000000000E8 +:04A0750000000000E7 +:04A0760000000000E6 +:04A0770000000000E5 +:04A0780000000000E4 +:04A0790000000000E3 +:04A07A0000000000E2 +:04A07B0000000000E1 +:04A07C0000000000E0 +:04A07D0000000000DF +:04A07E0000000000DE +:04A07F0000000000DD +:04A0800000000000DC +:04A0810000000000DB +:04A0820000000000DA +:04A0830000000000D9 +:04A0840000000000D8 +:04A0850000000000D7 +:04A0860000000000D6 +:04A0870000000000D5 +:04A0880000000000D4 +:04A0890000000000D3 +:04A08A0000000000D2 +:04A08B0000000000D1 +:04A08C0000000000D0 +:04A08D0000000000CF +:04A08E0000000000CE +:04A08F0000000000CD +:04A0900000000000CC +:04A0910000000000CB +:04A0920000000000CA +:04A0930000000000C9 +:04A0940000000000C8 +:04A0950000000000C7 +:04A0960000000000C6 +:04A0970000000000C5 +:04A0980000000000C4 +:04A0990000000000C3 +:04A09A0000000000C2 +:04A09B0000000000C1 +:04A09C0000000000C0 +:04A09D0000000000BF +:04A09E0000000000BE +:04A09F0000000000BD +:04A0A00000000000BC +:04A0A10000000000BB +:04A0A20000000000BA +:04A0A30000000000B9 +:04A0A40000000000B8 +:04A0A50000000000B7 +:04A0A60000000000B6 +:04A0A70000000000B5 +:04A0A80000000000B4 +:04A0A90000000000B3 +:04A0AA0000000000B2 +:04A0AB0000000000B1 +:04A0AC0000000000B0 +:04A0AD0000000000AF +:04A0AE0000000000AE +:04A0AF0000000000AD +:04A0B00000000000AC +:04A0B10000000000AB +:04A0B20000000000AA +:04A0B30000000000A9 +:04A0B40000000000A8 +:04A0B50000000000A7 +:04A0B60000000000A6 +:04A0B70000000000A5 +:04A0B80000000000A4 +:04A0B90000000000A3 +:04A0BA0000000000A2 +:04A0BB0000000000A1 +:04A0BC0000000000A0 +:04A0BD00000000009F +:04A0BE00000000009E +:04A0BF00000000009D +:04A0C000000000009C +:04A0C100000000009B +:04A0C200000000009A +:04A0C3000000000099 +:04A0C4000000000098 +:04A0C5000000000097 +:04A0C6000000000096 +:04A0C7000000000095 +:04A0C8000000000094 +:04A0C9000000000093 +:04A0CA000000000092 +:04A0CB000000000091 +:04A0CC000000000090 +:04A0CD00000000008F +:04A0CE00000000008E +:04A0CF00000000008D +:04A0D000000000008C +:04A0D100000000008B +:04A0D200000000008A +:04A0D3000000000089 +:04A0D4000000000088 +:04A0D5000000000087 +:04A0D6000000000086 +:04A0D7000000000085 +:04A0D8000000000084 +:04A0D9000000000083 +:04A0DA000000000082 +:04A0DB000000000081 +:04A0DC000000000080 +:04A0DD00000000007F +:04A0DE00000000007E +:04A0DF00000000007D +:04A0E000000000007C +:04A0E100000000007B +:04A0E200000000007A +:04A0E3000000000079 +:04A0E4000000000078 +:04A0E5000000000077 +:04A0E6000000000076 +:04A0E7000000000075 +:04A0E8000000000074 +:04A0E9000000000073 +:04A0EA000000000072 +:04A0EB000000000071 +:04A0EC000000000070 +:04A0ED00000000006F +:04A0EE00000000006E +:04A0EF00000000006D +:04A0F000000000006C +:04A0F100000000006B +:04A0F200000000006A +:04A0F3000000000069 +:04A0F4000000000068 +:04A0F5000000000067 +:04A0F6000000000066 +:04A0F7000000000065 +:04A0F8000000000064 +:04A0F9000000000063 +:04A0FA000000000062 +:04A0FB000000000061 +:04A0FC000000000060 +:04A0FD00000000005F +:04A0FE00000000005E +:04A0FF00000000005D +:04A10000000000005B +:04A10100000000005A +:04A102000000000059 +:04A103000000000058 +:04A104000000000057 +:04A105000000000056 +:04A106000000000055 +:04A107000000000054 +:04A108000000000053 +:04A109000000000052 +:04A10A000000000051 +:04A10B000000000050 +:04A10C00000000004F +:04A10D00000000004E +:04A10E00000000004D +:04A10F00000000004C +:04A11000000000004B +:04A11100000000004A +:04A112000000000049 +:04A113000000000048 +:04A114000000000047 +:04A115000000000046 +:04A116000000000045 +:04A117000000000044 +:04A118000000000043 +:04A119000000000042 +:04A11A000000000041 +:04A11B000000000040 +:04A11C00000000003F +:04A11D00000000003E +:04A11E00000000003D +:04A11F00000000003C +:04A12000000000003B +:04A12100000000003A +:04A122000000000039 +:04A123000000000038 +:04A124000000000037 +:04A125000000000036 +:04A126000000000035 +:04A127000000000034 +:04A128000000000033 +:04A129000000000032 +:04A12A000000000031 +:04A12B000000000030 +:04A12C00000000002F +:04A12D00000000002E +:04A12E00000000002D +:04A12F00000000002C +:04A13000000000002B +:04A13100000000002A +:04A132000000000029 +:04A133000000000028 +:04A134000000000027 +:04A135000000000026 +:04A136000000000025 +:04A137000000000024 +:04A138000000000023 +:04A139000000000022 +:04A13A000000000021 +:04A13B000000000020 +:04A13C00000000001F +:04A13D00000000001E +:04A13E00000000001D +:04A13F00000000001C +:04A14000000000001B +:04A14100000000001A +:04A142000000000019 +:04A143000000000018 +:04A144000000000017 +:04A145000000000016 +:04A146000000000015 +:04A147000000000014 +:04A148000000000013 +:04A149000000000012 +:04A14A000000000011 +:04A14B000000000010 +:04A14C00000000000F +:04A14D00000000000E +:04A14E00000000000D +:04A14F00000000000C +:04A15000000000000B +:04A15100000000000A +:04A152000000000009 +:04A153000000000008 +:04A154000000000007 +:04A155000000000006 +:04A156000000000005 +:04A157000000000004 +:04A158000000000003 +:04A159000000000002 +:04A15A000000000001 +:04A15B000000000000 +:04A15C0000000000FF +:04A15D0000000000FE +:04A15E0000000000FD +:04A15F0000000000FC +:04A1600000000000FB +:04A1610000000000FA +:04A1620000000000F9 +:04A1630000000000F8 +:04A1640000000000F7 +:04A1650000000000F6 +:04A1660000000000F5 +:04A1670000000000F4 +:04A1680000000000F3 +:04A1690000000000F2 +:04A16A0000000000F1 +:04A16B0000000000F0 +:04A16C0000000000EF +:04A16D0000000000EE +:04A16E0000000000ED +:04A16F0000000000EC +:04A1700000000000EB +:04A1710000000000EA +:04A1720000000000E9 +:04A1730000000000E8 +:04A1740000000000E7 +:04A1750000000000E6 +:04A1760000000000E5 +:04A1770000000000E4 +:04A1780000000000E3 +:04A1790000000000E2 +:04A17A0000000000E1 +:04A17B0000000000E0 +:04A17C0000000000DF +:04A17D0000000000DE +:04A17E0000000000DD +:04A17F0000000000DC +:04A1800000000000DB +:04A1810000000000DA +:04A1820000000000D9 +:04A1830000000000D8 +:04A1840000000000D7 +:04A1850000000000D6 +:04A1860000000000D5 +:04A1870000000000D4 +:04A1880000000000D3 +:04A1890000000000D2 +:04A18A0000000000D1 +:04A18B0000000000D0 +:04A18C0000000000CF +:04A18D0000000000CE +:04A18E0000000000CD +:04A18F0000000000CC +:04A1900000000000CB +:04A1910000000000CA +:04A1920000000000C9 +:04A1930000000000C8 +:04A1940000000000C7 +:04A1950000000000C6 +:04A1960000000000C5 +:04A1970000000000C4 +:04A1980000000000C3 +:04A1990000000000C2 +:04A19A0000000000C1 +:04A19B0000000000C0 +:04A19C0000000000BF +:04A19D0000000000BE +:04A19E0000000000BD +:04A19F0000000000BC +:04A1A00000000000BB +:04A1A10000000000BA +:04A1A20000000000B9 +:04A1A30000000000B8 +:04A1A40000000000B7 +:04A1A50000000000B6 +:04A1A60000000000B5 +:04A1A70000000000B4 +:04A1A80000000000B3 +:04A1A90000000000B2 +:04A1AA0000000000B1 +:04A1AB0000000000B0 +:04A1AC0000000000AF +:04A1AD0000000000AE +:04A1AE0000000000AD +:04A1AF0000000000AC +:04A1B00000000000AB +:04A1B10000000000AA +:04A1B20000000000A9 +:04A1B30000000000A8 +:04A1B40000000000A7 +:04A1B50000000000A6 +:04A1B60000000000A5 +:04A1B70000000000A4 +:04A1B80000000000A3 +:04A1B90000000000A2 +:04A1BA0000000000A1 +:04A1BB0000000000A0 +:04A1BC00000000009F +:04A1BD00000000009E +:04A1BE00000000009D +:04A1BF00000000009C +:04A1C000000000009B +:04A1C100000000009A +:04A1C2000000000099 +:04A1C3000000000098 +:04A1C4000000000097 +:04A1C5000000000096 +:04A1C6000000000095 +:04A1C7000000000094 +:04A1C8000000000093 +:04A1C9000000000092 +:04A1CA000000000091 +:04A1CB000000000090 +:04A1CC00000000008F +:04A1CD00000000008E +:04A1CE00000000008D +:04A1CF00000000008C +:04A1D000000000008B +:04A1D100000000008A +:04A1D2000000000089 +:04A1D3000000000088 +:04A1D4000000000087 +:04A1D5000000000086 +:04A1D6000000000085 +:04A1D7000000000084 +:04A1D8000000000083 +:04A1D9000000000082 +:04A1DA000000000081 +:04A1DB000000000080 +:04A1DC00000000007F +:04A1DD00000000007E +:04A1DE00000000007D +:04A1DF00000000007C +:04A1E000000000007B +:04A1E100000000007A +:04A1E2000000000079 +:04A1E3000000000078 +:04A1E4000000000077 +:04A1E5000000000076 +:04A1E6000000000075 +:04A1E7000000000074 +:04A1E8000000000073 +:04A1E9000000000072 +:04A1EA000000000071 +:04A1EB000000000070 +:04A1EC00000000006F +:04A1ED00000000006E +:04A1EE00000000006D +:04A1EF00000000006C +:04A1F000000000006B +:04A1F100000000006A +:04A1F2000000000069 +:04A1F3000000000068 +:04A1F4000000000067 +:04A1F5000000000066 +:04A1F6000000000065 +:04A1F7000000000064 +:04A1F8000000000063 +:04A1F9000000000062 +:04A1FA000000000061 +:04A1FB000000000060 +:04A1FC00000000005F +:04A1FD00000000005E +:04A1FE00000000005D +:04A1FF00000000005C +:04A20000000000005A +:04A201000000000059 +:04A202000000000058 +:04A203000000000057 +:04A204000000000056 +:04A205000000000055 +:04A206000000000054 +:04A207000000000053 +:04A208000000000052 +:04A209000000000051 +:04A20A000000000050 +:04A20B00000000004F +:04A20C00000000004E +:04A20D00000000004D +:04A20E00000000004C +:04A20F00000000004B +:04A21000000000004A +:04A211000000000049 +:04A212000000000048 +:04A213000000000047 +:04A214000000000046 +:04A215000000000045 +:04A216000000000044 +:04A217000000000043 +:04A218000000000042 +:04A219000000000041 +:04A21A000000000040 +:04A21B00000000003F +:04A21C00000000003E +:04A21D00000000003D +:04A21E00000000003C +:04A21F00000000003B +:04A22000000000003A +:04A221000000000039 +:04A222000000000038 +:04A223000000000037 +:04A224000000000036 +:04A225000000000035 +:04A226000000000034 +:04A227000000000033 +:04A228000000000032 +:04A229000000000031 +:04A22A000000000030 +:04A22B00000000002F +:04A22C00000000002E +:04A22D00000000002D +:04A22E00000000002C +:04A22F00000000002B +:04A23000000000002A +:04A231000000000029 +:04A232000000000028 +:04A233000000000027 +:04A234000000000026 +:04A235000000000025 +:04A236000000000024 +:04A237000000000023 +:04A238000000000022 +:04A239000000000021 +:04A23A000000000020 +:04A23B00000000001F +:04A23C00000000001E +:04A23D00000000001D +:04A23E00000000001C +:04A23F00000000001B +:04A24000000000001A +:04A241000000000019 +:04A242000000000018 +:04A243000000000017 +:04A244000000000016 +:04A245000000000015 +:04A246000000000014 +:04A247000000000013 +:04A248000000000012 +:04A249000000000011 +:04A24A000000000010 +:04A24B00000000000F +:04A24C00000000000E +:04A24D00000000000D +:04A24E00000000000C +:04A24F00000000000B +:04A25000000000000A +:04A251000000000009 +:04A252000000000008 +:04A253000000000007 +:04A254000000000006 +:04A255000000000005 +:04A256000000000004 +:04A257000000000003 +:04A258000000000002 +:04A259000000000001 +:04A25A000000000000 +:04A25B0000000000FF +:04A25C0000000000FE +:04A25D0000000000FD +:04A25E0000000000FC +:04A25F0000000000FB +:04A2600000000000FA +:04A2610000000000F9 +:04A2620000000000F8 +:04A2630000000000F7 +:04A2640000000000F6 +:04A2650000000000F5 +:04A2660000000000F4 +:04A2670000000000F3 +:04A2680000000000F2 +:04A2690000000000F1 +:04A26A0000000000F0 +:04A26B0000000000EF +:04A26C0000000000EE +:04A26D0000000000ED +:04A26E0000000000EC +:04A26F0000000000EB +:04A2700000000000EA +:04A2710000000000E9 +:04A2720000000000E8 +:04A2730000000000E7 +:04A2740000000000E6 +:04A2750000000000E5 +:04A2760000000000E4 +:04A2770000000000E3 +:04A2780000000000E2 +:04A2790000000000E1 +:04A27A0000000000E0 +:04A27B0000000000DF +:04A27C0000000000DE +:04A27D0000000000DD +:04A27E0000000000DC +:04A27F0000000000DB +:04A2800000000000DA +:04A2810000000000D9 +:04A2820000000000D8 +:04A2830000000000D7 +:04A2840000000000D6 +:04A2850000000000D5 +:04A2860000000000D4 +:04A2870000000000D3 +:04A2880000000000D2 +:04A2890000000000D1 +:04A28A0000000000D0 +:04A28B0000000000CF +:04A28C0000000000CE +:04A28D0000000000CD +:04A28E0000000000CC +:04A28F0000000000CB +:04A2900000000000CA +:04A2910000000000C9 +:04A2920000000000C8 +:04A2930000000000C7 +:04A2940000000000C6 +:04A2950000000000C5 +:04A2960000000000C4 +:04A2970000000000C3 +:04A2980000000000C2 +:04A2990000000000C1 +:04A29A0000000000C0 +:04A29B0000000000BF +:04A29C0000000000BE +:04A29D0000000000BD +:04A29E0000000000BC +:04A29F0000000000BB +:04A2A00000000000BA +:04A2A10000000000B9 +:04A2A20000000000B8 +:04A2A30000000000B7 +:04A2A40000000000B6 +:04A2A50000000000B5 +:04A2A60000000000B4 +:04A2A70000000000B3 +:04A2A80000000000B2 +:04A2A90000000000B1 +:04A2AA0000000000B0 +:04A2AB0000000000AF +:04A2AC0000000000AE +:04A2AD0000000000AD +:04A2AE0000000000AC +:04A2AF0000000000AB +:04A2B00000000000AA +:04A2B10000000000A9 +:04A2B20000000000A8 +:04A2B30000000000A7 +:04A2B40000000000A6 +:04A2B50000000000A5 +:04A2B60000000000A4 +:04A2B70000000000A3 +:04A2B80000000000A2 +:04A2B90000000000A1 +:04A2BA0000000000A0 +:04A2BB00000000009F +:04A2BC00000000009E +:04A2BD00000000009D +:04A2BE00000000009C +:04A2BF00000000009B +:04A2C000000000009A +:04A2C1000000000099 +:04A2C2000000000098 +:04A2C3000000000097 +:04A2C4000000000096 +:04A2C5000000000095 +:04A2C6000000000094 +:04A2C7000000000093 +:04A2C8000000000092 +:04A2C9000000000091 +:04A2CA000000000090 +:04A2CB00000000008F +:04A2CC00000000008E +:04A2CD00000000008D +:04A2CE00000000008C +:04A2CF00000000008B +:04A2D000000000008A +:04A2D1000000000089 +:04A2D2000000000088 +:04A2D3000000000087 +:04A2D4000000000086 +:04A2D5000000000085 +:04A2D6000000000084 +:04A2D7000000000083 +:04A2D8000000000082 +:04A2D9000000000081 +:04A2DA000000000080 +:04A2DB00000000007F +:04A2DC00000000007E +:04A2DD00000000007D +:04A2DE00000000007C +:04A2DF00000000007B +:04A2E000000000007A +:04A2E1000000000079 +:04A2E2000000000078 +:04A2E3000000000077 +:04A2E4000000000076 +:04A2E5000000000075 +:04A2E6000000000074 +:04A2E7000000000073 +:04A2E8000000000072 +:04A2E9000000000071 +:04A2EA000000000070 +:04A2EB00000000006F +:04A2EC00000000006E +:04A2ED00000000006D +:04A2EE00000000006C +:04A2EF00000000006B +:04A2F000000000006A +:04A2F1000000000069 +:04A2F2000000000068 +:04A2F3000000000067 +:04A2F4000000000066 +:04A2F5000000000065 +:04A2F6000000000064 +:04A2F7000000000063 +:04A2F8000000000062 +:04A2F9000000000061 +:04A2FA000000000060 +:04A2FB00000000005F +:04A2FC00000000005E +:04A2FD00000000005D +:04A2FE00000000005C +:04A2FF00000000005B +:04A300000000000059 +:04A301000000000058 +:04A302000000000057 +:04A303000000000056 +:04A304000000000055 +:04A305000000000054 +:04A306000000000053 +:04A307000000000052 +:04A308000000000051 +:04A309000000000050 +:04A30A00000000004F +:04A30B00000000004E +:04A30C00000000004D +:04A30D00000000004C +:04A30E00000000004B +:04A30F00000000004A +:04A310000000000049 +:04A311000000000048 +:04A312000000000047 +:04A313000000000046 +:04A314000000000045 +:04A315000000000044 +:04A316000000000043 +:04A317000000000042 +:04A318000000000041 +:04A319000000000040 +:04A31A00000000003F +:04A31B00000000003E +:04A31C00000000003D +:04A31D00000000003C +:04A31E00000000003B +:04A31F00000000003A +:04A320000000000039 +:04A321000000000038 +:04A322000000000037 +:04A323000000000036 +:04A324000000000035 +:04A325000000000034 +:04A326000000000033 +:04A327000000000032 +:04A328000000000031 +:04A329000000000030 +:04A32A00000000002F +:04A32B00000000002E +:04A32C00000000002D +:04A32D00000000002C +:04A32E00000000002B +:04A32F00000000002A +:04A330000000000029 +:04A331000000000028 +:04A332000000000027 +:04A333000000000026 +:04A334000000000025 +:04A335000000000024 +:04A336000000000023 +:04A337000000000022 +:04A338000000000021 +:04A339000000000020 +:04A33A00000000001F +:04A33B00000000001E +:04A33C00000000001D +:04A33D00000000001C +:04A33E00000000001B +:04A33F00000000001A +:04A340000000000019 +:04A341000000000018 +:04A342000000000017 +:04A343000000000016 +:04A344000000000015 +:04A345000000000014 +:04A346000000000013 +:04A347000000000012 +:04A348000000000011 +:04A349000000000010 +:04A34A00000000000F +:04A34B00000000000E +:04A34C00000000000D +:04A34D00000000000C +:04A34E00000000000B +:04A34F00000000000A +:04A350000000000009 +:04A351000000000008 +:04A352000000000007 +:04A353000000000006 +:04A354000000000005 +:04A355000000000004 +:04A356000000000003 +:04A357000000000002 +:04A358000000000001 +:04A359000000000000 +:04A35A0000000000FF +:04A35B0000000000FE +:04A35C0000000000FD +:04A35D0000000000FC +:04A35E0000000000FB +:04A35F0000000000FA +:04A3600000000000F9 +:04A3610000000000F8 +:04A3620000000000F7 +:04A3630000000000F6 +:04A3640000000000F5 +:04A3650000000000F4 +:04A3660000000000F3 +:04A3670000000000F2 +:04A3680000000000F1 +:04A3690000000000F0 +:04A36A0000000000EF +:04A36B0000000000EE +:04A36C0000000000ED +:04A36D0000000000EC +:04A36E0000000000EB +:04A36F0000000000EA +:04A3700000000000E9 +:04A3710000000000E8 +:04A3720000000000E7 +:04A3730000000000E6 +:04A3740000000000E5 +:04A3750000000000E4 +:04A3760000000000E3 +:04A3770000000000E2 +:04A3780000000000E1 +:04A3790000000000E0 +:04A37A0000000000DF +:04A37B0000000000DE +:04A37C0000000000DD +:04A37D0000000000DC +:04A37E0000000000DB +:04A37F0000000000DA +:04A3800000000000D9 +:04A3810000000000D8 +:04A3820000000000D7 +:04A3830000000000D6 +:04A3840000000000D5 +:04A3850000000000D4 +:04A3860000000000D3 +:04A3870000000000D2 +:04A3880000000000D1 +:04A3890000000000D0 +:04A38A0000000000CF +:04A38B0000000000CE +:04A38C0000000000CD +:04A38D0000000000CC +:04A38E0000000000CB +:04A38F0000000000CA +:04A3900000000000C9 +:04A3910000000000C8 +:04A3920000000000C7 +:04A3930000000000C6 +:04A3940000000000C5 +:04A3950000000000C4 +:04A3960000000000C3 +:04A3970000000000C2 +:04A3980000000000C1 +:04A3990000000000C0 +:04A39A0000000000BF +:04A39B0000000000BE +:04A39C0000000000BD +:04A39D0000000000BC +:04A39E0000000000BB +:04A39F0000000000BA +:04A3A00000000000B9 +:04A3A10000000000B8 +:04A3A20000000000B7 +:04A3A30000000000B6 +:04A3A40000000000B5 +:04A3A50000000000B4 +:04A3A60000000000B3 +:04A3A70000000000B2 +:04A3A80000000000B1 +:04A3A90000000000B0 +:04A3AA0000000000AF +:04A3AB0000000000AE +:04A3AC0000000000AD +:04A3AD0000000000AC +:04A3AE0000000000AB +:04A3AF0000000000AA +:04A3B00000000000A9 +:04A3B10000000000A8 +:04A3B20000000000A7 +:04A3B30000000000A6 +:04A3B40000000000A5 +:04A3B50000000000A4 +:04A3B60000000000A3 +:04A3B70000000000A2 +:04A3B80000000000A1 +:04A3B90000000000A0 +:04A3BA00000000009F +:04A3BB00000000009E +:04A3BC00000000009D +:04A3BD00000000009C +:04A3BE00000000009B +:04A3BF00000000009A +:04A3C0000000000099 +:04A3C1000000000098 +:04A3C2000000000097 +:04A3C3000000000096 +:04A3C4000000000095 +:04A3C5000000000094 +:04A3C6000000000093 +:04A3C7000000000092 +:04A3C8000000000091 +:04A3C9000000000090 +:04A3CA00000000008F +:04A3CB00000000008E +:04A3CC00000000008D +:04A3CD00000000008C +:04A3CE00000000008B +:04A3CF00000000008A +:04A3D0000000000089 +:04A3D1000000000088 +:04A3D2000000000087 +:04A3D3000000000086 +:04A3D4000000000085 +:04A3D5000000000084 +:04A3D6000000000083 +:04A3D7000000000082 +:04A3D8000000000081 +:04A3D9000000000080 +:04A3DA00000000007F +:04A3DB00000000007E +:04A3DC00000000007D +:04A3DD00000000007C +:04A3DE00000000007B +:04A3DF00000000007A +:04A3E0000000000079 +:04A3E1000000000078 +:04A3E2000000000077 +:04A3E3000000000076 +:04A3E4000000000075 +:04A3E5000000000074 +:04A3E6000000000073 +:04A3E7000000000072 +:04A3E8000000000071 +:04A3E9000000000070 +:04A3EA00000000006F +:04A3EB00000000006E +:04A3EC00000000006D +:04A3ED00000000006C +:04A3EE00000000006B +:04A3EF00000000006A +:04A3F0000000000069 +:04A3F1000000000068 +:04A3F2000000000067 +:04A3F3000000000066 +:04A3F4000000000065 +:04A3F5000000000064 +:04A3F6000000000063 +:04A3F7000000000062 +:04A3F8000000000061 +:04A3F9000000000060 +:04A3FA00000000005F +:04A3FB00000000005E +:04A3FC00000000005D +:04A3FD00000000005C +:04A3FE00000000005B +:04A3FF00000000005A +:04A400000000000058 +:04A401000000000057 +:04A402000000000056 +:04A403000000000055 +:04A404000000000054 +:04A405000000000053 +:04A406000000000052 +:04A407000000000051 +:04A408000000000050 +:04A40900000000004F +:04A40A00000000004E +:04A40B00000000004D +:04A40C00000000004C +:04A40D00000000004B +:04A40E00000000004A +:04A40F000000000049 +:04A410000000000048 +:04A411000000000047 +:04A412000000000046 +:04A413000000000045 +:04A414000000000044 +:04A415000000000043 +:04A416000000000042 +:04A417000000000041 +:04A418000000000040 +:04A41900000000003F +:04A41A00000000003E +:04A41B00000000003D +:04A41C00000000003C +:04A41D00000000003B +:04A41E00000000003A +:04A41F000000000039 +:04A420000000000038 +:04A421000000000037 +:04A422000000000036 +:04A423000000000035 +:04A424000000000034 +:04A425000000000033 +:04A426000000000032 +:04A427000000000031 +:04A428000000000030 +:04A42900000000002F +:04A42A00000000002E +:04A42B00000000002D +:04A42C00000000002C +:04A42D00000000002B +:04A42E00000000002A +:04A42F000000000029 +:04A430000000000028 +:04A431000000000027 +:04A432000000000026 +:04A433000000000025 +:04A434000000000024 +:04A435000000000023 +:04A436000000000022 +:04A437000000000021 +:04A438000000000020 +:04A43900000000001F +:04A43A00000000001E +:04A43B00000000001D +:04A43C00000000001C +:04A43D00000000001B +:04A43E00000000001A +:04A43F000000000019 +:04A440000000000018 +:04A441000000000017 +:04A442000000000016 +:04A443000000000015 +:04A444000000000014 +:04A445000000000013 +:04A446000000000012 +:04A447000000000011 +:04A448000000000010 +:04A44900000000000F +:04A44A00000000000E +:04A44B00000000000D +:04A44C00000000000C +:04A44D00000000000B +:04A44E00000000000A +:04A44F000000000009 +:04A450000000000008 +:04A451000000000007 +:04A452000000000006 +:04A453000000000005 +:04A454000000000004 +:04A455000000000003 +:04A456000000000002 +:04A457000000000001 +:04A458000000000000 +:04A4590000000000FF +:04A45A0000000000FE +:04A45B0000000000FD +:04A45C0000000000FC +:04A45D0000000000FB +:04A45E0000000000FA +:04A45F0000000000F9 +:04A4600000000000F8 +:04A4610000000000F7 +:04A4620000000000F6 +:04A4630000000000F5 +:04A4640000000000F4 +:04A4650000000000F3 +:04A4660000000000F2 +:04A4670000000000F1 +:04A4680000000000F0 +:04A4690000000000EF +:04A46A0000000000EE +:04A46B0000000000ED +:04A46C0000000000EC +:04A46D0000000000EB +:04A46E0000000000EA +:04A46F0000000000E9 +:04A4700000000000E8 +:04A4710000000000E7 +:04A4720000000000E6 +:04A4730000000000E5 +:04A4740000000000E4 +:04A4750000000000E3 +:04A4760000000000E2 +:04A4770000000000E1 +:04A4780000000000E0 +:04A4790000000000DF +:04A47A0000000000DE +:04A47B0000000000DD +:04A47C0000000000DC +:04A47D0000000000DB +:04A47E0000000000DA +:04A47F0000000000D9 +:04A4800000000000D8 +:04A4810000000000D7 +:04A4820000000000D6 +:04A4830000000000D5 +:04A4840000000000D4 +:04A4850000000000D3 +:04A4860000000000D2 +:04A4870000000000D1 +:04A4880000000000D0 +:04A4890000000000CF +:04A48A0000000000CE +:04A48B0000000000CD +:04A48C0000000000CC +:04A48D0000000000CB +:04A48E0000000000CA +:04A48F0000000000C9 +:04A4900000000000C8 +:04A4910000000000C7 +:04A4920000000000C6 +:04A4930000000000C5 +:04A4940000000000C4 +:04A4950000000000C3 +:04A4960000000000C2 +:04A4970000000000C1 +:04A4980000000000C0 +:04A4990000000000BF +:04A49A0000000000BE +:04A49B0000000000BD +:04A49C0000000000BC +:04A49D0000000000BB +:04A49E0000000000BA +:04A49F0000000000B9 +:04A4A00000000000B8 +:04A4A10000000000B7 +:04A4A20000000000B6 +:04A4A30000000000B5 +:04A4A40000000000B4 +:04A4A50000000000B3 +:04A4A60000000000B2 +:04A4A70000000000B1 +:04A4A80000000000B0 +:04A4A90000000000AF +:04A4AA0000000000AE +:04A4AB0000000000AD +:04A4AC0000000000AC +:04A4AD0000000000AB +:04A4AE0000000000AA +:04A4AF0000000000A9 +:04A4B00000000000A8 +:04A4B10000000000A7 +:04A4B20000000000A6 +:04A4B30000000000A5 +:04A4B40000000000A4 +:04A4B50000000000A3 +:04A4B60000000000A2 +:04A4B70000000000A1 +:04A4B80000000000A0 +:04A4B900000000009F +:04A4BA00000000009E +:04A4BB00000000009D +:04A4BC00000000009C +:04A4BD00000000009B +:04A4BE00000000009A +:04A4BF000000000099 +:04A4C0000000000098 +:04A4C1000000000097 +:04A4C2000000000096 +:04A4C3000000000095 +:04A4C4000000000094 +:04A4C5000000000093 +:04A4C6000000000092 +:04A4C7000000000091 +:04A4C8000000000090 +:04A4C900000000008F +:04A4CA00000000008E +:04A4CB00000000008D +:04A4CC00000000008C +:04A4CD00000000008B +:04A4CE00000000008A +:04A4CF000000000089 +:04A4D0000000000088 +:04A4D1000000000087 +:04A4D2000000000086 +:04A4D3000000000085 +:04A4D4000000000084 +:04A4D5000000000083 +:04A4D6000000000082 +:04A4D7000000000081 +:04A4D8000000000080 +:04A4D900000000007F +:04A4DA00000000007E +:04A4DB00000000007D +:04A4DC00000000007C +:04A4DD00000000007B +:04A4DE00000000007A +:04A4DF000000000079 +:04A4E0000000000078 +:04A4E1000000000077 +:04A4E2000000000076 +:04A4E3000000000075 +:04A4E4000000000074 +:04A4E5000000000073 +:04A4E6000000000072 +:04A4E7000000000071 +:04A4E8000000000070 +:04A4E900000000006F +:04A4EA00000000006E +:04A4EB00000000006D +:04A4EC00000000006C +:04A4ED00000000006B +:04A4EE00000000006A +:04A4EF000000000069 +:04A4F0000000000068 +:04A4F1000000000067 +:04A4F2000000000066 +:04A4F3000000000065 +:04A4F4000000000064 +:04A4F5000000000063 +:04A4F6000000000062 +:04A4F7000000000061 +:04A4F8000000000060 +:04A4F900000000005F +:04A4FA00000000005E +:04A4FB00000000005D +:04A4FC00000000005C +:04A4FD00000000005B +:04A4FE00000000005A +:04A4FF000000000059 +:04A500000000000057 +:04A501000000000056 +:04A502000000000055 +:04A503000000000054 +:04A504000000000053 +:04A505000000000052 +:04A506000000000051 +:04A507000000000050 +:04A50800000000004F +:04A50900000000004E +:04A50A00000000004D +:04A50B00000000004C +:04A50C00000000004B +:04A50D00000000004A +:04A50E000000000049 +:04A50F000000000048 +:04A510000000000047 +:04A511000000000046 +:04A512000000000045 +:04A513000000000044 +:04A514000000000043 +:04A515000000000042 +:04A516000000000041 +:04A517000000000040 +:04A51800000000003F +:04A51900000000003E +:04A51A00000000003D +:04A51B00000000003C +:04A51C00000000003B +:04A51D00000000003A +:04A51E000000000039 +:04A51F000000000038 +:04A520000000000037 +:04A521000000000036 +:04A522000000000035 +:04A523000000000034 +:04A524000000000033 +:04A525000000000032 +:04A526000000000031 +:04A527000000000030 +:04A52800000000002F +:04A52900000000002E +:04A52A00000000002D +:04A52B00000000002C +:04A52C00000000002B +:04A52D00000000002A +:04A52E000000000029 +:04A52F000000000028 +:04A530000000000027 +:04A531000000000026 +:04A532000000000025 +:04A533000000000024 +:04A534000000000023 +:04A535000000000022 +:04A536000000000021 +:04A537000000000020 +:04A53800000000001F +:04A53900000000001E +:04A53A00000000001D +:04A53B00000000001C +:04A53C00000000001B +:04A53D00000000001A +:04A53E000000000019 +:04A53F000000000018 +:04A540000000000017 +:04A541000000000016 +:04A542000000000015 +:04A543000000000014 +:04A544000000000013 +:04A545000000000012 +:04A546000000000011 +:04A547000000000010 +:04A54800000000000F +:04A54900000000000E +:04A54A00000000000D +:04A54B00000000000C +:04A54C00000000000B +:04A54D00000000000A +:04A54E000000000009 +:04A54F000000000008 +:04A550000000000007 +:04A551000000000006 +:04A552000000000005 +:04A553000000000004 +:04A554000000000003 +:04A555000000000002 +:04A556000000000001 +:04A557000000000000 +:04A5580000000000FF +:04A5590000000000FE +:04A55A0000000000FD +:04A55B0000000000FC +:04A55C0000000000FB +:04A55D0000000000FA +:04A55E0000000000F9 +:04A55F0000000000F8 +:04A5600000000000F7 +:04A5610000000000F6 +:04A5620000000000F5 +:04A5630000000000F4 +:04A5640000000000F3 +:04A5650000000000F2 +:04A5660000000000F1 +:04A5670000000000F0 +:04A5680000000000EF +:04A5690000000000EE +:04A56A0000000000ED +:04A56B0000000000EC +:04A56C0000000000EB +:04A56D0000000000EA +:04A56E0000000000E9 +:04A56F0000000000E8 +:04A5700000000000E7 +:04A5710000000000E6 +:04A5720000000000E5 +:04A5730000000000E4 +:04A5740000000000E3 +:04A5750000000000E2 +:04A5760000000000E1 +:04A5770000000000E0 +:04A5780000000000DF +:04A5790000000000DE +:04A57A0000000000DD +:04A57B0000000000DC +:04A57C0000000000DB +:04A57D0000000000DA +:04A57E0000000000D9 +:04A57F0000000000D8 +:04A5800000000000D7 +:04A5810000000000D6 +:04A5820000000000D5 +:04A5830000000000D4 +:04A5840000000000D3 +:04A5850000000000D2 +:04A5860000000000D1 +:04A5870000000000D0 +:04A5880000000000CF +:04A5890000000000CE +:04A58A0000000000CD +:04A58B0000000000CC +:04A58C0000000000CB +:04A58D0000000000CA +:04A58E0000000000C9 +:04A58F0000000000C8 +:04A5900000000000C7 +:04A5910000000000C6 +:04A5920000000000C5 +:04A5930000000000C4 +:04A5940000000000C3 +:04A5950000000000C2 +:04A5960000000000C1 +:04A5970000000000C0 +:04A5980000000000BF +:04A5990000000000BE +:04A59A0000000000BD +:04A59B0000000000BC +:04A59C0000000000BB +:04A59D0000000000BA +:04A59E0000000000B9 +:04A59F0000000000B8 +:04A5A00000000000B7 +:04A5A10000000000B6 +:04A5A20000000000B5 +:04A5A30000000000B4 +:04A5A40000000000B3 +:04A5A50000000000B2 +:04A5A60000000000B1 +:04A5A70000000000B0 +:04A5A80000000000AF +:04A5A90000000000AE +:04A5AA0000000000AD +:04A5AB0000000000AC +:04A5AC0000000000AB +:04A5AD0000000000AA +:04A5AE0000000000A9 +:04A5AF0000000000A8 +:04A5B00000000000A7 +:04A5B10000000000A6 +:04A5B20000000000A5 +:04A5B30000000000A4 +:04A5B40000000000A3 +:04A5B50000000000A2 +:04A5B60000000000A1 +:04A5B70000000000A0 +:04A5B800000000009F +:04A5B900000000009E +:04A5BA00000000009D +:04A5BB00000000009C +:04A5BC00000000009B +:04A5BD00000000009A +:04A5BE000000000099 +:04A5BF000000000098 +:04A5C0000000000097 +:04A5C1000000000096 +:04A5C2000000000095 +:04A5C3000000000094 +:04A5C4000000000093 +:04A5C5000000000092 +:04A5C6000000000091 +:04A5C7000000000090 +:04A5C800000000008F +:04A5C900000000008E +:04A5CA00000000008D +:04A5CB00000000008C +:04A5CC00000000008B +:04A5CD00000000008A +:04A5CE000000000089 +:04A5CF000000000088 +:04A5D0000000000087 +:04A5D1000000000086 +:04A5D2000000000085 +:04A5D3000000000084 +:04A5D4000000000083 +:04A5D5000000000082 +:04A5D6000000000081 +:04A5D7000000000080 +:04A5D800000000007F +:04A5D900000000007E +:04A5DA00000000007D +:04A5DB00000000007C +:04A5DC00000000007B +:04A5DD00000000007A +:04A5DE000000000079 +:04A5DF000000000078 +:04A5E0000000000077 +:04A5E1000000000076 +:04A5E2000000000075 +:04A5E3000000000074 +:04A5E4000000000073 +:04A5E5000000000072 +:04A5E6000000000071 +:04A5E7000000000070 +:04A5E800000000006F +:04A5E900000000006E +:04A5EA00000000006D +:04A5EB00000000006C +:04A5EC00000000006B +:04A5ED00000000006A +:04A5EE000000000069 +:04A5EF000000000068 +:04A5F0000000000067 +:04A5F1000000000066 +:04A5F2000000000065 +:04A5F3000000000064 +:04A5F4000000000063 +:04A5F5000000000062 +:04A5F6000000000061 +:04A5F7000000000060 +:04A5F800000000005F +:04A5F900000000005E +:04A5FA00000000005D +:04A5FB00000000005C +:04A5FC00000000005B +:04A5FD00000000005A +:04A5FE000000000059 +:04A5FF000000000058 +:04A600000000000056 +:04A601000000000055 +:04A602000000000054 +:04A603000000000053 +:04A604000000000052 +:04A605000000000051 +:04A606000000000050 +:04A60700000000004F +:04A60800000000004E +:04A60900000000004D +:04A60A00000000004C +:04A60B00000000004B +:04A60C00000000004A +:04A60D000000000049 +:04A60E000000000048 +:04A60F000000000047 +:04A610000000000046 +:04A611000000000045 +:04A612000000000044 +:04A613000000000043 +:04A614000000000042 +:04A615000000000041 +:04A616000000000040 +:04A61700000000003F +:04A61800000000003E +:04A61900000000003D +:04A61A00000000003C +:04A61B00000000003B +:04A61C00000000003A +:04A61D000000000039 +:04A61E000000000038 +:04A61F000000000037 +:04A620000000000036 +:04A621000000000035 +:04A622000000000034 +:04A623000000000033 +:04A624000000000032 +:04A625000000000031 +:04A626000000000030 +:04A62700000000002F +:04A62800000000002E +:04A62900000000002D +:04A62A00000000002C +:04A62B00000000002B +:04A62C00000000002A +:04A62D000000000029 +:04A62E000000000028 +:04A62F000000000027 +:04A630000000000026 +:04A631000000000025 +:04A632000000000024 +:04A633000000000023 +:04A634000000000022 +:04A635000000000021 +:04A636000000000020 +:04A63700000000001F +:04A63800000000001E +:04A63900000000001D +:04A63A00000000001C +:04A63B00000000001B +:04A63C00000000001A +:04A63D000000000019 +:04A63E000000000018 +:04A63F000000000017 +:04A640000000000016 +:04A641000000000015 +:04A642000000000014 +:04A643000000000013 +:04A644000000000012 +:04A645000000000011 +:04A646000000000010 +:04A64700000000000F +:04A64800000000000E +:04A64900000000000D +:04A64A00000000000C +:04A64B00000000000B +:04A64C00000000000A +:04A64D000000000009 +:04A64E000000000008 +:04A64F000000000007 +:04A650000000000006 +:04A651000000000005 +:04A652000000000004 +:04A653000000000003 +:04A654000000000002 +:04A655000000000001 +:04A656000000000000 +:04A6570000000000FF +:04A6580000000000FE +:04A6590000000000FD +:04A65A0000000000FC +:04A65B0000000000FB +:04A65C0000000000FA +:04A65D0000000000F9 +:04A65E0000000000F8 +:04A65F0000000000F7 +:04A6600000000000F6 +:04A6610000000000F5 +:04A6620000000000F4 +:04A6630000000000F3 +:04A6640000000000F2 +:04A6650000000000F1 +:04A6660000000000F0 +:04A6670000000000EF +:04A6680000000000EE +:04A6690000000000ED +:04A66A0000000000EC +:04A66B0000000000EB +:04A66C0000000000EA +:04A66D0000000000E9 +:04A66E0000000000E8 +:04A66F0000000000E7 +:04A6700000000000E6 +:04A6710000000000E5 +:04A6720000000000E4 +:04A6730000000000E3 +:04A6740000000000E2 +:04A6750000000000E1 +:04A6760000000000E0 +:04A6770000000000DF +:04A6780000000000DE +:04A6790000000000DD +:04A67A0000000000DC +:04A67B0000000000DB +:04A67C0000000000DA +:04A67D0000000000D9 +:04A67E0000000000D8 +:04A67F0000000000D7 +:04A6800000000000D6 +:04A6810000000000D5 +:04A6820000000000D4 +:04A6830000000000D3 +:04A6840000000000D2 +:04A6850000000000D1 +:04A6860000000000D0 +:04A6870000000000CF +:04A6880000000000CE +:04A6890000000000CD +:04A68A0000000000CC +:04A68B0000000000CB +:04A68C0000000000CA +:04A68D0000000000C9 +:04A68E0000000000C8 +:04A68F0000000000C7 +:04A6900000000000C6 +:04A6910000000000C5 +:04A6920000000000C4 +:04A6930000000000C3 +:04A6940000000000C2 +:04A6950000000000C1 +:04A6960000000000C0 +:04A6970000000000BF +:04A6980000000000BE +:04A6990000000000BD +:04A69A0000000000BC +:04A69B0000000000BB +:04A69C0000000000BA +:04A69D0000000000B9 +:04A69E0000000000B8 +:04A69F0000000000B7 +:04A6A00000000000B6 +:04A6A10000000000B5 +:04A6A20000000000B4 +:04A6A30000000000B3 +:04A6A40000000000B2 +:04A6A50000000000B1 +:04A6A60000000000B0 +:04A6A70000000000AF +:04A6A80000000000AE +:04A6A90000000000AD +:04A6AA0000000000AC +:04A6AB0000000000AB +:04A6AC0000000000AA +:04A6AD0000000000A9 +:04A6AE0000000000A8 +:04A6AF0000000000A7 +:04A6B00000000000A6 +:04A6B10000000000A5 +:04A6B20000000000A4 +:04A6B30000000000A3 +:04A6B40000000000A2 +:04A6B50000000000A1 +:04A6B60000000000A0 +:04A6B700000000009F +:04A6B800000000009E +:04A6B900000000009D +:04A6BA00000000009C +:04A6BB00000000009B +:04A6BC00000000009A +:04A6BD000000000099 +:04A6BE000000000098 +:04A6BF000000000097 +:04A6C0000000000096 +:04A6C1000000000095 +:04A6C2000000000094 +:04A6C3000000000093 +:04A6C4000000000092 +:04A6C5000000000091 +:04A6C6000000000090 +:04A6C700000000008F +:04A6C800000000008E +:04A6C900000000008D +:04A6CA00000000008C +:04A6CB00000000008B +:04A6CC00000000008A +:04A6CD000000000089 +:04A6CE000000000088 +:04A6CF000000000087 +:04A6D0000000000086 +:04A6D1000000000085 +:04A6D2000000000084 +:04A6D3000000000083 +:04A6D4000000000082 +:04A6D5000000000081 +:04A6D6000000000080 +:04A6D700000000007F +:04A6D800000000007E +:04A6D900000000007D +:04A6DA00000000007C +:04A6DB00000000007B +:04A6DC00000000007A +:04A6DD000000000079 +:04A6DE000000000078 +:04A6DF000000000077 +:04A6E0000000000076 +:04A6E1000000000075 +:04A6E2000000000074 +:04A6E3000000000073 +:04A6E4000000000072 +:04A6E5000000000071 +:04A6E6000000000070 +:04A6E700000000006F +:04A6E800000000006E +:04A6E900000000006D +:04A6EA00000000006C +:04A6EB00000000006B +:04A6EC00000000006A +:04A6ED000000000069 +:04A6EE000000000068 +:04A6EF000000000067 +:04A6F0000000000066 +:04A6F1000000000065 +:04A6F2000000000064 +:04A6F3000000000063 +:04A6F4000000000062 +:04A6F5000000000061 +:04A6F6000000000060 +:04A6F700000000005F +:04A6F800000000005E +:04A6F900000000005D +:04A6FA00000000005C +:04A6FB00000000005B +:04A6FC00000000005A +:04A6FD000000000059 +:04A6FE000000000058 +:04A6FF000000000057 +:04A700000000000055 +:04A701000000000054 +:04A702000000000053 +:04A703000000000052 +:04A704000000000051 +:04A705000000000050 +:04A70600000000004F +:04A70700000000004E +:04A70800000000004D +:04A70900000000004C +:04A70A00000000004B +:04A70B00000000004A +:04A70C000000000049 +:04A70D000000000048 +:04A70E000000000047 +:04A70F000000000046 +:04A710000000000045 +:04A711000000000044 +:04A712000000000043 +:04A713000000000042 +:04A714000000000041 +:04A715000000000040 +:04A71600000000003F +:04A71700000000003E +:04A71800000000003D +:04A71900000000003C +:04A71A00000000003B +:04A71B00000000003A +:04A71C000000000039 +:04A71D000000000038 +:04A71E000000000037 +:04A71F000000000036 +:04A720000000000035 +:04A721000000000034 +:04A722000000000033 +:04A723000000000032 +:04A724000000000031 +:04A725000000000030 +:04A72600000000002F +:04A72700000000002E +:04A72800000000002D +:04A72900000000002C +:04A72A00000000002B +:04A72B00000000002A +:04A72C000000000029 +:04A72D000000000028 +:04A72E000000000027 +:04A72F000000000026 +:04A730000000000025 +:04A731000000000024 +:04A732000000000023 +:04A733000000000022 +:04A734000000000021 +:04A735000000000020 +:04A73600000000001F +:04A73700000000001E +:04A73800000000001D +:04A73900000000001C +:04A73A00000000001B +:04A73B00000000001A +:04A73C000000000019 +:04A73D000000000018 +:04A73E000000000017 +:04A73F000000000016 +:04A740000000000015 +:04A741000000000014 +:04A742000000000013 +:04A743000000000012 +:04A744000000000011 +:04A745000000000010 +:04A74600000000000F +:04A74700000000000E +:04A74800000000000D +:04A74900000000000C +:04A74A00000000000B +:04A74B00000000000A +:04A74C000000000009 +:04A74D000000000008 +:04A74E000000000007 +:04A74F000000000006 +:04A750000000000005 +:04A751000000000004 +:04A752000000000003 +:04A753000000000002 +:04A754000000000001 +:04A755000000000000 +:04A7560000000000FF +:04A7570000000000FE +:04A7580000000000FD +:04A7590000000000FC +:04A75A0000000000FB +:04A75B0000000000FA +:04A75C0000000000F9 +:04A75D0000000000F8 +:04A75E0000000000F7 +:04A75F0000000000F6 +:04A7600000000000F5 +:04A7610000000000F4 +:04A7620000000000F3 +:04A7630000000000F2 +:04A7640000000000F1 +:04A7650000000000F0 +:04A7660000000000EF +:04A7670000000000EE +:04A7680000000000ED +:04A7690000000000EC +:04A76A0000000000EB +:04A76B0000000000EA +:04A76C0000000000E9 +:04A76D0000000000E8 +:04A76E0000000000E7 +:04A76F0000000000E6 +:04A7700000000000E5 +:04A7710000000000E4 +:04A7720000000000E3 +:04A7730000000000E2 +:04A7740000000000E1 +:04A7750000000000E0 +:04A7760000000000DF +:04A7770000000000DE +:04A7780000000000DD +:04A7790000000000DC +:04A77A0000000000DB +:04A77B0000000000DA +:04A77C0000000000D9 +:04A77D0000000000D8 +:04A77E0000000000D7 +:04A77F0000000000D6 +:04A7800000000000D5 +:04A7810000000000D4 +:04A7820000000000D3 +:04A7830000000000D2 +:04A7840000000000D1 +:04A7850000000000D0 +:04A7860000000000CF +:04A7870000000000CE +:04A7880000000000CD +:04A7890000000000CC +:04A78A0000000000CB +:04A78B0000000000CA +:04A78C0000000000C9 +:04A78D0000000000C8 +:04A78E0000000000C7 +:04A78F0000000000C6 +:04A7900000000000C5 +:04A7910000000000C4 +:04A7920000000000C3 +:04A7930000000000C2 +:04A7940000000000C1 +:04A7950000000000C0 +:04A7960000000000BF +:04A7970000000000BE +:04A7980000000000BD +:04A7990000000000BC +:04A79A0000000000BB +:04A79B0000000000BA +:04A79C0000000000B9 +:04A79D0000000000B8 +:04A79E0000000000B7 +:04A79F0000000000B6 +:04A7A00000000000B5 +:04A7A10000000000B4 +:04A7A20000000000B3 +:04A7A30000000000B2 +:04A7A40000000000B1 +:04A7A50000000000B0 +:04A7A60000000000AF +:04A7A70000000000AE +:04A7A80000000000AD +:04A7A90000000000AC +:04A7AA0000000000AB +:04A7AB0000000000AA +:04A7AC0000000000A9 +:04A7AD0000000000A8 +:04A7AE0000000000A7 +:04A7AF0000000000A6 +:04A7B00000000000A5 +:04A7B10000000000A4 +:04A7B20000000000A3 +:04A7B30000000000A2 +:04A7B40000000000A1 +:04A7B50000000000A0 +:04A7B600000000009F +:04A7B700000000009E +:04A7B800000000009D +:04A7B900000000009C +:04A7BA00000000009B +:04A7BB00000000009A +:04A7BC000000000099 +:04A7BD000000000098 +:04A7BE000000000097 +:04A7BF000000000096 +:04A7C0000000000095 +:04A7C1000000000094 +:04A7C2000000000093 +:04A7C3000000000092 +:04A7C4000000000091 +:04A7C5000000000090 +:04A7C600000000008F +:04A7C700000000008E +:04A7C800000000008D +:04A7C900000000008C +:04A7CA00000000008B +:04A7CB00000000008A +:04A7CC000000000089 +:04A7CD000000000088 +:04A7CE000000000087 +:04A7CF000000000086 +:04A7D0000000000085 +:04A7D1000000000084 +:04A7D2000000000083 +:04A7D3000000000082 +:04A7D4000000000081 +:04A7D5000000000080 +:04A7D600000000007F +:04A7D700000000007E +:04A7D800000000007D +:04A7D900000000007C +:04A7DA00000000007B +:04A7DB00000000007A +:04A7DC000000000079 +:04A7DD000000000078 +:04A7DE000000000077 +:04A7DF000000000076 +:04A7E0000000000075 +:04A7E1000000000074 +:04A7E2000000000073 +:04A7E3000000000072 +:04A7E4000000000071 +:04A7E5000000000070 +:04A7E600000000006F +:04A7E700000000006E +:04A7E800000000006D +:04A7E900000000006C +:04A7EA00000000006B +:04A7EB00000000006A +:04A7EC000000000069 +:04A7ED000000000068 +:04A7EE000000000067 +:04A7EF000000000066 +:04A7F0000000000065 +:04A7F1000000000064 +:04A7F2000000000063 +:04A7F3000000000062 +:04A7F4000000000061 +:04A7F5000000000060 +:04A7F600000000005F +:04A7F700000000005E +:04A7F800000000005D +:04A7F900000000005C +:04A7FA00000000005B +:04A7FB00000000005A +:04A7FC000000000059 +:04A7FD000000000058 +:04A7FE000000000057 +:04A7FF000000000056 +:04A800000000000054 +:04A801000000000053 +:04A802000000000052 +:04A803000000000051 +:04A804000000000050 +:04A80500000000004F +:04A80600000000004E +:04A80700000000004D +:04A80800000000004C +:04A80900000000004B +:04A80A00000000004A +:04A80B000000000049 +:04A80C000000000048 +:04A80D000000000047 +:04A80E000000000046 +:04A80F000000000045 +:04A810000000000044 +:04A811000000000043 +:04A812000000000042 +:04A813000000000041 +:04A814000000000040 +:04A81500000000003F +:04A81600000000003E +:04A81700000000003D +:04A81800000000003C +:04A81900000000003B +:04A81A00000000003A +:04A81B000000000039 +:04A81C000000000038 +:04A81D000000000037 +:04A81E000000000036 +:04A81F000000000035 +:04A820000000000034 +:04A821000000000033 +:04A822000000000032 +:04A823000000000031 +:04A824000000000030 +:04A82500000000002F +:04A82600000000002E +:04A82700000000002D +:04A82800000000002C +:04A82900000000002B +:04A82A00000000002A +:04A82B000000000029 +:04A82C000000000028 +:04A82D000000000027 +:04A82E000000000026 +:04A82F000000000025 +:04A830000000000024 +:04A831000000000023 +:04A832000000000022 +:04A833000000000021 +:04A834000000000020 +:04A83500000000001F +:04A83600000000001E +:04A83700000000001D +:04A83800000000001C +:04A83900000000001B +:04A83A00000000001A +:04A83B000000000019 +:04A83C000000000018 +:04A83D000000000017 +:04A83E000000000016 +:04A83F000000000015 +:04A840000000000014 +:04A841000000000013 +:04A842000000000012 +:04A843000000000011 +:04A844000000000010 +:04A84500000000000F +:04A84600000000000E +:04A84700000000000D +:04A84800000000000C +:04A84900000000000B +:04A84A00000000000A +:04A84B000000000009 +:04A84C000000000008 +:04A84D000000000007 +:04A84E000000000006 +:04A84F000000000005 +:04A850000000000004 +:04A851000000000003 +:04A852000000000002 +:04A853000000000001 +:04A854000000000000 +:04A8550000000000FF +:04A8560000000000FE +:04A8570000000000FD +:04A8580000000000FC +:04A8590000000000FB +:04A85A0000000000FA +:04A85B0000000000F9 +:04A85C0000000000F8 +:04A85D0000000000F7 +:04A85E0000000000F6 +:04A85F0000000000F5 +:04A8600000000000F4 +:04A8610000000000F3 +:04A8620000000000F2 +:04A8630000000000F1 +:04A8640000000000F0 +:04A8650000000000EF +:04A8660000000000EE +:04A8670000000000ED +:04A8680000000000EC +:04A8690000000000EB +:04A86A0000000000EA +:04A86B0000000000E9 +:04A86C0000000000E8 +:04A86D0000000000E7 +:04A86E0000000000E6 +:04A86F0000000000E5 +:04A8700000000000E4 +:04A8710000000000E3 +:04A8720000000000E2 +:04A8730000000000E1 +:04A8740000000000E0 +:04A8750000000000DF +:04A8760000000000DE +:04A8770000000000DD +:04A8780000000000DC +:04A8790000000000DB +:04A87A0000000000DA +:04A87B0000000000D9 +:04A87C0000000000D8 +:04A87D0000000000D7 +:04A87E0000000000D6 +:04A87F0000000000D5 +:04A8800000000000D4 +:04A8810000000000D3 +:04A8820000000000D2 +:04A8830000000000D1 +:04A8840000000000D0 +:04A8850000000000CF +:04A8860000000000CE +:04A8870000000000CD +:04A8880000000000CC +:04A8890000000000CB +:04A88A0000000000CA +:04A88B0000000000C9 +:04A88C0000000000C8 +:04A88D0000000000C7 +:04A88E0000000000C6 +:04A88F0000000000C5 +:04A8900000000000C4 +:04A8910000000000C3 +:04A8920000000000C2 +:04A8930000000000C1 +:04A8940000000000C0 +:04A8950000000000BF +:04A8960000000000BE +:04A8970000000000BD +:04A8980000000000BC +:04A8990000000000BB +:04A89A0000000000BA +:04A89B0000000000B9 +:04A89C0000000000B8 +:04A89D0000000000B7 +:04A89E0000000000B6 +:04A89F0000000000B5 +:04A8A00000000000B4 +:04A8A10000000000B3 +:04A8A20000000000B2 +:04A8A30000000000B1 +:04A8A40000000000B0 +:04A8A50000000000AF +:04A8A60000000000AE +:04A8A70000000000AD +:04A8A80000000000AC +:04A8A90000000000AB +:04A8AA0000000000AA +:04A8AB0000000000A9 +:04A8AC0000000000A8 +:04A8AD0000000000A7 +:04A8AE0000000000A6 +:04A8AF0000000000A5 +:04A8B00000000000A4 +:04A8B10000000000A3 +:04A8B20000000000A2 +:04A8B30000000000A1 +:04A8B40000000000A0 +:04A8B500000000009F +:04A8B600000000009E +:04A8B700000000009D +:04A8B800000000009C +:04A8B900000000009B +:04A8BA00000000009A +:04A8BB000000000099 +:04A8BC000000000098 +:04A8BD000000000097 +:04A8BE000000000096 +:04A8BF000000000095 +:04A8C0000000000094 +:04A8C1000000000093 +:04A8C2000000000092 +:04A8C3000000000091 +:04A8C4000000000090 +:04A8C500000000008F +:04A8C600000000008E +:04A8C700000000008D +:04A8C800000000008C +:04A8C900000000008B +:04A8CA00000000008A +:04A8CB000000000089 +:04A8CC000000000088 +:04A8CD000000000087 +:04A8CE000000000086 +:04A8CF000000000085 +:04A8D0000000000084 +:04A8D1000000000083 +:04A8D2000000000082 +:04A8D3000000000081 +:04A8D4000000000080 +:04A8D500000000007F +:04A8D600000000007E +:04A8D700000000007D +:04A8D800000000007C +:04A8D900000000007B +:04A8DA00000000007A +:04A8DB000000000079 +:04A8DC000000000078 +:04A8DD000000000077 +:04A8DE000000000076 +:04A8DF000000000075 +:04A8E0000000000074 +:04A8E1000000000073 +:04A8E2000000000072 +:04A8E3000000000071 +:04A8E4000000000070 +:04A8E500000000006F +:04A8E600000000006E +:04A8E700000000006D +:04A8E800000000006C +:04A8E900000000006B +:04A8EA00000000006A +:04A8EB000000000069 +:04A8EC000000000068 +:04A8ED000000000067 +:04A8EE000000000066 +:04A8EF000000000065 +:04A8F0000000000064 +:04A8F1000000000063 +:04A8F2000000000062 +:04A8F3000000000061 +:04A8F4000000000060 +:04A8F500000000005F +:04A8F600000000005E +:04A8F700000000005D +:04A8F800000000005C +:04A8F900000000005B +:04A8FA00000000005A +:04A8FB000000000059 +:04A8FC000000000058 +:04A8FD000000000057 +:04A8FE000000000056 +:04A8FF000000000055 +:04A900000000000053 +:04A901000000000052 +:04A902000000000051 +:04A903000000000050 +:04A90400000000004F +:04A90500000000004E +:04A90600000000004D +:04A90700000000004C +:04A90800000000004B +:04A90900000000004A +:04A90A000000000049 +:04A90B000000000048 +:04A90C000000000047 +:04A90D000000000046 +:04A90E000000000045 +:04A90F000000000044 +:04A910000000000043 +:04A911000000000042 +:04A912000000000041 +:04A913000000000040 +:04A91400000000003F +:04A91500000000003E +:04A91600000000003D +:04A91700000000003C +:04A91800000000003B +:04A91900000000003A +:04A91A000000000039 +:04A91B000000000038 +:04A91C000000000037 +:04A91D000000000036 +:04A91E000000000035 +:04A91F000000000034 +:04A920000000000033 +:04A921000000000032 +:04A922000000000031 +:04A923000000000030 +:04A92400000000002F +:04A92500000000002E +:04A92600000000002D +:04A92700000000002C +:04A92800000000002B +:04A92900000000002A +:04A92A000000000029 +:04A92B000000000028 +:04A92C000000000027 +:04A92D000000000026 +:04A92E000000000025 +:04A92F000000000024 +:04A930000000000023 +:04A931000000000022 +:04A932000000000021 +:04A933000000000020 +:04A93400000000001F +:04A93500000000001E +:04A93600000000001D +:04A93700000000001C +:04A93800000000001B +:04A93900000000001A +:04A93A000000000019 +:04A93B000000000018 +:04A93C000000000017 +:04A93D000000000016 +:04A93E000000000015 +:04A93F000000000014 +:04A940000000000013 +:04A941000000000012 +:04A942000000000011 +:04A943000000000010 +:04A94400000000000F +:04A94500000000000E +:04A94600000000000D +:04A94700000000000C +:04A94800000000000B +:04A94900000000000A +:04A94A000000000009 +:04A94B000000000008 +:04A94C000000000007 +:04A94D000000000006 +:04A94E000000000005 +:04A94F000000000004 +:04A950000000000003 +:04A951000000000002 +:04A952000000000001 +:04A953000000000000 +:04A9540000000000FF +:04A9550000000000FE +:04A9560000000000FD +:04A9570000000000FC +:04A9580000000000FB +:04A9590000000000FA +:04A95A0000000000F9 +:04A95B0000000000F8 +:04A95C0000000000F7 +:04A95D0000000000F6 +:04A95E0000000000F5 +:04A95F0000000000F4 +:04A9600000000000F3 +:04A9610000000000F2 +:04A9620000000000F1 +:04A9630000000000F0 +:04A9640000000000EF +:04A9650000000000EE +:04A9660000000000ED +:04A9670000000000EC +:04A9680000000000EB +:04A9690000000000EA +:04A96A0000000000E9 +:04A96B0000000000E8 +:04A96C0000000000E7 +:04A96D0000000000E6 +:04A96E0000000000E5 +:04A96F0000000000E4 +:04A9700000000000E3 +:04A9710000000000E2 +:04A9720000000000E1 +:04A9730000000000E0 +:04A9740000000000DF +:04A9750000000000DE +:04A9760000000000DD +:04A9770000000000DC +:04A9780000000000DB +:04A9790000000000DA +:04A97A0000000000D9 +:04A97B0000000000D8 +:04A97C0000000000D7 +:04A97D0000000000D6 +:04A97E0000000000D5 +:04A97F0000000000D4 +:04A9800000000000D3 +:04A9810000000000D2 +:04A9820000000000D1 +:04A9830000000000D0 +:04A9840000000000CF +:04A9850000000000CE +:04A9860000000000CD +:04A9870000000000CC +:04A9880000000000CB +:04A9890000000000CA +:04A98A0000000000C9 +:04A98B0000000000C8 +:04A98C0000000000C7 +:04A98D0000000000C6 +:04A98E0000000000C5 +:04A98F0000000000C4 +:04A9900000000000C3 +:04A9910000000000C2 +:04A9920000000000C1 +:04A9930000000000C0 +:04A9940000000000BF +:04A9950000000000BE +:04A9960000000000BD +:04A9970000000000BC +:04A9980000000000BB +:04A9990000000000BA +:04A99A0000000000B9 +:04A99B0000000000B8 +:04A99C0000000000B7 +:04A99D0000000000B6 +:04A99E0000000000B5 +:04A99F0000000000B4 +:04A9A00000000000B3 +:04A9A10000000000B2 +:04A9A20000000000B1 +:04A9A30000000000B0 +:04A9A40000000000AF +:04A9A50000000000AE +:04A9A60000000000AD +:04A9A70000000000AC +:04A9A80000000000AB +:04A9A90000000000AA +:04A9AA0000000000A9 +:04A9AB0000000000A8 +:04A9AC0000000000A7 +:04A9AD0000000000A6 +:04A9AE0000000000A5 +:04A9AF0000000000A4 +:04A9B00000000000A3 +:04A9B10000000000A2 +:04A9B20000000000A1 +:04A9B30000000000A0 +:04A9B400000000009F +:04A9B500000000009E +:04A9B600000000009D +:04A9B700000000009C +:04A9B800000000009B +:04A9B900000000009A +:04A9BA000000000099 +:04A9BB000000000098 +:04A9BC000000000097 +:04A9BD000000000096 +:04A9BE000000000095 +:04A9BF000000000094 +:04A9C0000000000093 +:04A9C1000000000092 +:04A9C2000000000091 +:04A9C3000000000090 +:04A9C400000000008F +:04A9C500000000008E +:04A9C600000000008D +:04A9C700000000008C +:04A9C800000000008B +:04A9C900000000008A +:04A9CA000000000089 +:04A9CB000000000088 +:04A9CC000000000087 +:04A9CD000000000086 +:04A9CE000000000085 +:04A9CF000000000084 +:04A9D0000000000083 +:04A9D1000000000082 +:04A9D2000000000081 +:04A9D3000000000080 +:04A9D400000000007F +:04A9D500000000007E +:04A9D600000000007D +:04A9D700000000007C +:04A9D800000000007B +:04A9D900000000007A +:04A9DA000000000079 +:04A9DB000000000078 +:04A9DC000000000077 +:04A9DD000000000076 +:04A9DE000000000075 +:04A9DF000000000074 +:04A9E0000000000073 +:04A9E1000000000072 +:04A9E2000000000071 +:04A9E3000000000070 +:04A9E400000000006F +:04A9E500000000006E +:04A9E600000000006D +:04A9E700000000006C +:04A9E800000000006B +:04A9E900000000006A +:04A9EA000000000069 +:04A9EB000000000068 +:04A9EC000000000067 +:04A9ED000000000066 +:04A9EE000000000065 +:04A9EF000000000064 +:04A9F0000000000063 +:04A9F1000000000062 +:04A9F2000000000061 +:04A9F3000000000060 +:04A9F400000000005F +:04A9F500000000005E +:04A9F600000000005D +:04A9F700000000005C +:04A9F800000000005B +:04A9F900000000005A +:04A9FA000000000059 +:04A9FB000000000058 +:04A9FC000000000057 +:04A9FD000000000056 +:04A9FE000000000055 +:04A9FF000000000054 +:04AA00000000000052 +:04AA01000000000051 +:04AA02000000000050 +:04AA0300000000004F +:04AA0400000000004E +:04AA0500000000004D +:04AA0600000000004C +:04AA0700000000004B +:04AA0800000000004A +:04AA09000000000049 +:04AA0A000000000048 +:04AA0B000000000047 +:04AA0C000000000046 +:04AA0D000000000045 +:04AA0E000000000044 +:04AA0F000000000043 +:04AA10000000000042 +:04AA11000000000041 +:04AA12000000000040 +:04AA1300000000003F +:04AA1400000000003E +:04AA1500000000003D +:04AA1600000000003C +:04AA1700000000003B +:04AA1800000000003A +:04AA19000000000039 +:04AA1A000000000038 +:04AA1B000000000037 +:04AA1C000000000036 +:04AA1D000000000035 +:04AA1E000000000034 +:04AA1F000000000033 +:04AA20000000000032 +:04AA21000000000031 +:04AA22000000000030 +:04AA2300000000002F +:04AA2400000000002E +:04AA2500000000002D +:04AA2600000000002C +:04AA2700000000002B +:04AA2800000000002A +:04AA29000000000029 +:04AA2A000000000028 +:04AA2B000000000027 +:04AA2C000000000026 +:04AA2D000000000025 +:04AA2E000000000024 +:04AA2F000000000023 +:04AA30000000000022 +:04AA31000000000021 +:04AA32000000000020 +:04AA3300000000001F +:04AA3400000000001E +:04AA3500000000001D +:04AA3600000000001C +:04AA3700000000001B +:04AA3800000000001A +:04AA39000000000019 +:04AA3A000000000018 +:04AA3B000000000017 +:04AA3C000000000016 +:04AA3D000000000015 +:04AA3E000000000014 +:04AA3F000000000013 +:04AA40000000000012 +:04AA41000000000011 +:04AA42000000000010 +:04AA4300000000000F +:04AA4400000000000E +:04AA4500000000000D +:04AA4600000000000C +:04AA4700000000000B +:04AA4800000000000A +:04AA49000000000009 +:04AA4A000000000008 +:04AA4B000000000007 +:04AA4C000000000006 +:04AA4D000000000005 +:04AA4E000000000004 +:04AA4F000000000003 +:04AA50000000000002 +:04AA51000000000001 +:04AA52000000000000 +:04AA530000000000FF +:04AA540000000000FE +:04AA550000000000FD +:04AA560000000000FC +:04AA570000000000FB +:04AA580000000000FA +:04AA590000000000F9 +:04AA5A0000000000F8 +:04AA5B0000000000F7 +:04AA5C0000000000F6 +:04AA5D0000000000F5 +:04AA5E0000000000F4 +:04AA5F0000000000F3 +:04AA600000000000F2 +:04AA610000000000F1 +:04AA620000000000F0 +:04AA630000000000EF +:04AA640000000000EE +:04AA650000000000ED +:04AA660000000000EC +:04AA670000000000EB +:04AA680000000000EA +:04AA690000000000E9 +:04AA6A0000000000E8 +:04AA6B0000000000E7 +:04AA6C0000000000E6 +:04AA6D0000000000E5 +:04AA6E0000000000E4 +:04AA6F0000000000E3 +:04AA700000000000E2 +:04AA710000000000E1 +:04AA720000000000E0 +:04AA730000000000DF +:04AA740000000000DE +:04AA750000000000DD +:04AA760000000000DC +:04AA770000000000DB +:04AA780000000000DA +:04AA790000000000D9 +:04AA7A0000000000D8 +:04AA7B0000000000D7 +:04AA7C0000000000D6 +:04AA7D0000000000D5 +:04AA7E0000000000D4 +:04AA7F0000000000D3 +:04AA800000000000D2 +:04AA810000000000D1 +:04AA820000000000D0 +:04AA830000000000CF +:04AA840000000000CE +:04AA850000000000CD +:04AA860000000000CC +:04AA870000000000CB +:04AA880000000000CA +:04AA890000000000C9 +:04AA8A0000000000C8 +:04AA8B0000000000C7 +:04AA8C0000000000C6 +:04AA8D0000000000C5 +:04AA8E0000000000C4 +:04AA8F0000000000C3 +:04AA900000000000C2 +:04AA910000000000C1 +:04AA920000000000C0 +:04AA930000000000BF +:04AA940000000000BE +:04AA950000000000BD +:04AA960000000000BC +:04AA970000000000BB +:04AA980000000000BA +:04AA990000000000B9 +:04AA9A0000000000B8 +:04AA9B0000000000B7 +:04AA9C0000000000B6 +:04AA9D0000000000B5 +:04AA9E0000000000B4 +:04AA9F0000000000B3 +:04AAA00000000000B2 +:04AAA10000000000B1 +:04AAA20000000000B0 +:04AAA30000000000AF +:04AAA40000000000AE +:04AAA50000000000AD +:04AAA60000000000AC +:04AAA70000000000AB +:04AAA80000000000AA +:04AAA90000000000A9 +:04AAAA0000000000A8 +:04AAAB0000000000A7 +:04AAAC0000000000A6 +:04AAAD0000000000A5 +:04AAAE0000000000A4 +:04AAAF0000000000A3 +:04AAB00000000000A2 +:04AAB10000000000A1 +:04AAB20000000000A0 +:04AAB300000000009F +:04AAB400000000009E +:04AAB500000000009D +:04AAB600000000009C +:04AAB700000000009B +:04AAB800000000009A +:04AAB9000000000099 +:04AABA000000000098 +:04AABB000000000097 +:04AABC000000000096 +:04AABD000000000095 +:04AABE000000000094 +:04AABF000000000093 +:04AAC0000000000092 +:04AAC1000000000091 +:04AAC2000000000090 +:04AAC300000000008F +:04AAC400000000008E +:04AAC500000000008D +:04AAC600000000008C +:04AAC700000000008B +:04AAC800000000008A +:04AAC9000000000089 +:04AACA000000000088 +:04AACB000000000087 +:04AACC000000000086 +:04AACD000000000085 +:04AACE000000000084 +:04AACF000000000083 +:04AAD0000000000082 +:04AAD1000000000081 +:04AAD2000000000080 +:04AAD300000000007F +:04AAD400000000007E +:04AAD500000000007D +:04AAD600000000007C +:04AAD700000000007B +:04AAD800000000007A +:04AAD9000000000079 +:04AADA000000000078 +:04AADB000000000077 +:04AADC000000000076 +:04AADD000000000075 +:04AADE000000000074 +:04AADF000000000073 +:04AAE0000000000072 +:04AAE1000000000071 +:04AAE2000000000070 +:04AAE300000000006F +:04AAE400000000006E +:04AAE500000000006D +:04AAE600000000006C +:04AAE700000000006B +:04AAE800000000006A +:04AAE9000000000069 +:04AAEA000000000068 +:04AAEB000000000067 +:04AAEC000000000066 +:04AAED000000000065 +:04AAEE000000000064 +:04AAEF000000000063 +:04AAF0000000000062 +:04AAF1000000000061 +:04AAF2000000000060 +:04AAF300000000005F +:04AAF400000000005E +:04AAF500000000005D +:04AAF600000000005C +:04AAF700000000005B +:04AAF800000000005A +:04AAF9000000000059 +:04AAFA000000000058 +:04AAFB000000000057 +:04AAFC000000000056 +:04AAFD000000000055 +:04AAFE000000000054 +:04AAFF000000000053 +:04AB00000000000051 +:04AB01000000000050 +:04AB0200000000004F +:04AB0300000000004E +:04AB0400000000004D +:04AB0500000000004C +:04AB0600000000004B +:04AB0700000000004A +:04AB08000000000049 +:04AB09000000000048 +:04AB0A000000000047 +:04AB0B000000000046 +:04AB0C000000000045 +:04AB0D000000000044 +:04AB0E000000000043 +:04AB0F000000000042 +:04AB10000000000041 +:04AB11000000000040 +:04AB1200000000003F +:04AB1300000000003E +:04AB1400000000003D +:04AB1500000000003C +:04AB1600000000003B +:04AB1700000000003A +:04AB18000000000039 +:04AB19000000000038 +:04AB1A000000000037 +:04AB1B000000000036 +:04AB1C000000000035 +:04AB1D000000000034 +:04AB1E000000000033 +:04AB1F000000000032 +:04AB20000000000031 +:04AB21000000000030 +:04AB2200000000002F +:04AB2300000000002E +:04AB2400000000002D +:04AB2500000000002C +:04AB2600000000002B +:04AB2700000000002A +:04AB28000000000029 +:04AB29000000000028 +:04AB2A000000000027 +:04AB2B000000000026 +:04AB2C000000000025 +:04AB2D000000000024 +:04AB2E000000000023 +:04AB2F000000000022 +:04AB30000000000021 +:04AB31000000000020 +:04AB3200000000001F +:04AB3300000000001E +:04AB3400000000001D +:04AB3500000000001C +:04AB3600000000001B +:04AB3700000000001A +:04AB38000000000019 +:04AB39000000000018 +:04AB3A000000000017 +:04AB3B000000000016 +:04AB3C000000000015 +:04AB3D000000000014 +:04AB3E000000000013 +:04AB3F000000000012 +:04AB40000000000011 +:04AB41000000000010 +:04AB4200000000000F +:04AB4300000000000E +:04AB4400000000000D +:04AB4500000000000C +:04AB4600000000000B +:04AB4700000000000A +:04AB48000000000009 +:04AB49000000000008 +:04AB4A000000000007 +:04AB4B000000000006 +:04AB4C000000000005 +:04AB4D000000000004 +:04AB4E000000000003 +:04AB4F000000000002 +:04AB50000000000001 +:04AB51000000000000 +:04AB520000000000FF +:04AB530000000000FE +:04AB540000000000FD +:04AB550000000000FC +:04AB560000000000FB +:04AB570000000000FA +:04AB580000000000F9 +:04AB590000000000F8 +:04AB5A0000000000F7 +:04AB5B0000000000F6 +:04AB5C0000000000F5 +:04AB5D0000000000F4 +:04AB5E0000000000F3 +:04AB5F0000000000F2 +:04AB600000000000F1 +:04AB610000000000F0 +:04AB620000000000EF +:04AB630000000000EE +:04AB640000000000ED +:04AB650000000000EC +:04AB660000000000EB +:04AB670000000000EA +:04AB680000000000E9 +:04AB690000000000E8 +:04AB6A0000000000E7 +:04AB6B0000000000E6 +:04AB6C0000000000E5 +:04AB6D0000000000E4 +:04AB6E0000000000E3 +:04AB6F0000000000E2 +:04AB700000000000E1 +:04AB710000000000E0 +:04AB720000000000DF +:04AB730000000000DE +:04AB740000000000DD +:04AB750000000000DC +:04AB760000000000DB +:04AB770000000000DA +:04AB780000000000D9 +:04AB790000000000D8 +:04AB7A0000000000D7 +:04AB7B0000000000D6 +:04AB7C0000000000D5 +:04AB7D0000000000D4 +:04AB7E0000000000D3 +:04AB7F0000000000D2 +:04AB800000000000D1 +:04AB810000000000D0 +:04AB820000000000CF +:04AB830000000000CE +:04AB840000000000CD +:04AB850000000000CC +:04AB860000000000CB +:04AB870000000000CA +:04AB880000000000C9 +:04AB890000000000C8 +:04AB8A0000000000C7 +:04AB8B0000000000C6 +:04AB8C0000000000C5 +:04AB8D0000000000C4 +:04AB8E0000000000C3 +:04AB8F0000000000C2 +:04AB900000000000C1 +:04AB910000000000C0 +:04AB920000000000BF +:04AB930000000000BE +:04AB940000000000BD +:04AB950000000000BC +:04AB960000000000BB +:04AB970000000000BA +:04AB980000000000B9 +:04AB990000000000B8 +:04AB9A0000000000B7 +:04AB9B0000000000B6 +:04AB9C0000000000B5 +:04AB9D0000000000B4 +:04AB9E0000000000B3 +:04AB9F0000000000B2 +:04ABA00000000000B1 +:04ABA10000000000B0 +:04ABA20000000000AF +:04ABA30000000000AE +:04ABA40000000000AD +:04ABA50000000000AC +:04ABA60000000000AB +:04ABA70000000000AA +:04ABA80000000000A9 +:04ABA90000000000A8 +:04ABAA0000000000A7 +:04ABAB0000000000A6 +:04ABAC0000000000A5 +:04ABAD0000000000A4 +:04ABAE0000000000A3 +:04ABAF0000000000A2 +:04ABB00000000000A1 +:04ABB10000000000A0 +:04ABB200000000009F +:04ABB300000000009E +:04ABB400000000009D +:04ABB500000000009C +:04ABB600000000009B +:04ABB700000000009A +:04ABB8000000000099 +:04ABB9000000000098 +:04ABBA000000000097 +:04ABBB000000000096 +:04ABBC000000000095 +:04ABBD000000000094 +:04ABBE000000000093 +:04ABBF000000000092 +:04ABC0000000000091 +:04ABC1000000000090 +:04ABC200000000008F +:04ABC300000000008E +:04ABC400000000008D +:04ABC500000000008C +:04ABC600000000008B +:04ABC700000000008A +:04ABC8000000000089 +:04ABC9000000000088 +:04ABCA000000000087 +:04ABCB000000000086 +:04ABCC000000000085 +:04ABCD000000000084 +:04ABCE000000000083 +:04ABCF000000000082 +:04ABD0000000000081 +:04ABD1000000000080 +:04ABD200000000007F +:04ABD300000000007E +:04ABD400000000007D +:04ABD500000000007C +:04ABD600000000007B +:04ABD700000000007A +:04ABD8000000000079 +:04ABD9000000000078 +:04ABDA000000000077 +:04ABDB000000000076 +:04ABDC000000000075 +:04ABDD000000000074 +:04ABDE000000000073 +:04ABDF000000000072 +:04ABE0000000000071 +:04ABE1000000000070 +:04ABE200000000006F +:04ABE300000000006E +:04ABE400000000006D +:04ABE500000000006C +:04ABE600000000006B +:04ABE700000000006A +:04ABE8000000000069 +:04ABE9000000000068 +:04ABEA000000000067 +:04ABEB000000000066 +:04ABEC000000000065 +:04ABED000000000064 +:04ABEE000000000063 +:04ABEF000000000062 +:04ABF0000000000061 +:04ABF1000000000060 +:04ABF200000000005F +:04ABF300000000005E +:04ABF400000000005D +:04ABF500000000005C +:04ABF600000000005B +:04ABF700000000005A +:04ABF8000000000059 +:04ABF9000000000058 +:04ABFA000000000057 +:04ABFB000000000056 +:04ABFC000000000055 +:04ABFD000000000054 +:04ABFE000000000053 +:04ABFF000000000052 +:04AC00000000000050 +:04AC0100000000004F +:04AC0200000000004E +:04AC0300000000004D +:04AC0400000000004C +:04AC0500000000004B +:04AC0600000000004A +:04AC07000000000049 +:04AC08000000000048 +:04AC09000000000047 +:04AC0A000000000046 +:04AC0B000000000045 +:04AC0C000000000044 +:04AC0D000000000043 +:04AC0E000000000042 +:04AC0F000000000041 +:04AC10000000000040 +:04AC1100000000003F +:04AC1200000000003E +:04AC1300000000003D +:04AC1400000000003C +:04AC1500000000003B +:04AC1600000000003A +:04AC17000000000039 +:04AC18000000000038 +:04AC19000000000037 +:04AC1A000000000036 +:04AC1B000000000035 +:04AC1C000000000034 +:04AC1D000000000033 +:04AC1E000000000032 +:04AC1F000000000031 +:04AC20000000000030 +:04AC2100000000002F +:04AC2200000000002E +:04AC2300000000002D +:04AC2400000000002C +:04AC2500000000002B +:04AC2600000000002A +:04AC27000000000029 +:04AC28000000000028 +:04AC29000000000027 +:04AC2A000000000026 +:04AC2B000000000025 +:04AC2C000000000024 +:04AC2D000000000023 +:04AC2E000000000022 +:04AC2F000000000021 +:04AC30000000000020 +:04AC3100000000001F +:04AC3200000000001E +:04AC3300000000001D +:04AC3400000000001C +:04AC3500000000001B +:04AC3600000000001A +:04AC37000000000019 +:04AC38000000000018 +:04AC39000000000017 +:04AC3A000000000016 +:04AC3B000000000015 +:04AC3C000000000014 +:04AC3D000000000013 +:04AC3E000000000012 +:04AC3F000000000011 +:04AC40000000000010 +:04AC4100000000000F +:04AC4200000000000E +:04AC4300000000000D +:04AC4400000000000C +:04AC4500000000000B +:04AC4600000000000A +:04AC47000000000009 +:04AC48000000000008 +:04AC49000000000007 +:04AC4A000000000006 +:04AC4B000000000005 +:04AC4C000000000004 +:04AC4D000000000003 +:04AC4E000000000002 +:04AC4F000000000001 +:04AC50000000000000 +:04AC510000000000FF +:04AC520000000000FE +:04AC530000000000FD +:04AC540000000000FC +:04AC550000000000FB +:04AC560000000000FA +:04AC570000000000F9 +:04AC580000000000F8 +:04AC590000000000F7 +:04AC5A0000000000F6 +:04AC5B0000000000F5 +:04AC5C0000000000F4 +:04AC5D0000000000F3 +:04AC5E0000000000F2 +:04AC5F0000000000F1 +:04AC600000000000F0 +:04AC610000000000EF +:04AC620000000000EE +:04AC630000000000ED +:04AC640000000000EC +:04AC650000000000EB +:04AC660000000000EA +:04AC670000000000E9 +:04AC680000000000E8 +:04AC690000000000E7 +:04AC6A0000000000E6 +:04AC6B0000000000E5 +:04AC6C0000000000E4 +:04AC6D0000000000E3 +:04AC6E0000000000E2 +:04AC6F0000000000E1 +:04AC700000000000E0 +:04AC710000000000DF +:04AC720000000000DE +:04AC730000000000DD +:04AC740000000000DC +:04AC750000000000DB +:04AC760000000000DA +:04AC770000000000D9 +:04AC780000000000D8 +:04AC790000000000D7 +:04AC7A0000000000D6 +:04AC7B0000000000D5 +:04AC7C0000000000D4 +:04AC7D0000000000D3 +:04AC7E0000000000D2 +:04AC7F0000000000D1 +:04AC800000000000D0 +:04AC810000000000CF +:04AC820000000000CE +:04AC830000000000CD +:04AC840000000000CC +:04AC850000000000CB +:04AC860000000000CA +:04AC870000000000C9 +:04AC880000000000C8 +:04AC890000000000C7 +:04AC8A0000000000C6 +:04AC8B0000000000C5 +:04AC8C0000000000C4 +:04AC8D0000000000C3 +:04AC8E0000000000C2 +:04AC8F0000000000C1 +:04AC900000000000C0 +:04AC910000000000BF +:04AC920000000000BE +:04AC930000000000BD +:04AC940000000000BC +:04AC950000000000BB +:04AC960000000000BA +:04AC970000000000B9 +:04AC980000000000B8 +:04AC990000000000B7 +:04AC9A0000000000B6 +:04AC9B0000000000B5 +:04AC9C0000000000B4 +:04AC9D0000000000B3 +:04AC9E0000000000B2 +:04AC9F0000000000B1 +:04ACA00000000000B0 +:04ACA10000000000AF +:04ACA20000000000AE +:04ACA30000000000AD +:04ACA40000000000AC +:04ACA50000000000AB +:04ACA60000000000AA +:04ACA70000000000A9 +:04ACA80000000000A8 +:04ACA90000000000A7 +:04ACAA0000000000A6 +:04ACAB0000000000A5 +:04ACAC0000000000A4 +:04ACAD0000000000A3 +:04ACAE0000000000A2 +:04ACAF0000000000A1 +:04ACB00000000000A0 +:04ACB100000000009F +:04ACB200000000009E +:04ACB300000000009D +:04ACB400000000009C +:04ACB500000000009B +:04ACB600000000009A +:04ACB7000000000099 +:04ACB8000000000098 +:04ACB9000000000097 +:04ACBA000000000096 +:04ACBB000000000095 +:04ACBC000000000094 +:04ACBD000000000093 +:04ACBE000000000092 +:04ACBF000000000091 +:04ACC0000000000090 +:04ACC100000000008F +:04ACC200000000008E +:04ACC300000000008D +:04ACC400000000008C +:04ACC500000000008B +:04ACC600000000008A +:04ACC7000000000089 +:04ACC8000000000088 +:04ACC9000000000087 +:04ACCA000000000086 +:04ACCB000000000085 +:04ACCC000000000084 +:04ACCD000000000083 +:04ACCE000000000082 +:04ACCF000000000081 +:04ACD0000000000080 +:04ACD100000000007F +:04ACD200000000007E +:04ACD300000000007D +:04ACD400000000007C +:04ACD500000000007B +:04ACD600000000007A +:04ACD7000000000079 +:04ACD8000000000078 +:04ACD9000000000077 +:04ACDA000000000076 +:04ACDB000000000075 +:04ACDC000000000074 +:04ACDD000000000073 +:04ACDE000000000072 +:04ACDF000000000071 +:04ACE0000000000070 +:04ACE100000000006F +:04ACE200000000006E +:04ACE300000000006D +:04ACE400000000006C +:04ACE500000000006B +:04ACE600000000006A +:04ACE7000000000069 +:04ACE8000000000068 +:04ACE9000000000067 +:04ACEA000000000066 +:04ACEB000000000065 +:04ACEC000000000064 +:04ACED000000000063 +:04ACEE000000000062 +:04ACEF000000000061 +:04ACF0000000000060 +:04ACF100000000005F +:04ACF200000000005E +:04ACF300000000005D +:04ACF400000000005C +:04ACF500000000005B +:04ACF600000000005A +:04ACF7000000000059 +:04ACF8000000000058 +:04ACF9000000000057 +:04ACFA000000000056 +:04ACFB000000000055 +:04ACFC000000000054 +:04ACFD000000000053 +:04ACFE000000000052 +:04ACFF000000000051 +:04AD0000000000004F +:04AD0100000000004E +:04AD0200000000004D +:04AD0300000000004C +:04AD0400000000004B +:04AD0500000000004A +:04AD06000000000049 +:04AD07000000000048 +:04AD08000000000047 +:04AD09000000000046 +:04AD0A000000000045 +:04AD0B000000000044 +:04AD0C000000000043 +:04AD0D000000000042 +:04AD0E000000000041 +:04AD0F000000000040 +:04AD1000000000003F +:04AD1100000000003E +:04AD1200000000003D +:04AD1300000000003C +:04AD1400000000003B +:04AD1500000000003A +:04AD16000000000039 +:04AD17000000000038 +:04AD18000000000037 +:04AD19000000000036 +:04AD1A000000000035 +:04AD1B000000000034 +:04AD1C000000000033 +:04AD1D000000000032 +:04AD1E000000000031 +:04AD1F000000000030 +:04AD2000000000002F +:04AD2100000000002E +:04AD2200000000002D +:04AD2300000000002C +:04AD2400000000002B +:04AD2500000000002A +:04AD26000000000029 +:04AD27000000000028 +:04AD28000000000027 +:04AD29000000000026 +:04AD2A000000000025 +:04AD2B000000000024 +:04AD2C000000000023 +:04AD2D000000000022 +:04AD2E000000000021 +:04AD2F000000000020 +:04AD3000000000001F +:04AD3100000000001E +:04AD3200000000001D +:04AD3300000000001C +:04AD3400000000001B +:04AD3500000000001A +:04AD36000000000019 +:04AD37000000000018 +:04AD38000000000017 +:04AD39000000000016 +:04AD3A000000000015 +:04AD3B000000000014 +:04AD3C000000000013 +:04AD3D000000000012 +:04AD3E000000000011 +:04AD3F000000000010 +:04AD4000000000000F +:04AD4100000000000E +:04AD4200000000000D +:04AD4300000000000C +:04AD4400000000000B +:04AD4500000000000A +:04AD46000000000009 +:04AD47000000000008 +:04AD48000000000007 +:04AD49000000000006 +:04AD4A000000000005 +:04AD4B000000000004 +:04AD4C000000000003 +:04AD4D000000000002 +:04AD4E000000000001 +:04AD4F000000000000 +:04AD500000000000FF +:04AD510000000000FE +:04AD520000000000FD +:04AD530000000000FC +:04AD540000000000FB +:04AD550000000000FA +:04AD560000000000F9 +:04AD570000000000F8 +:04AD580000000000F7 +:04AD590000000000F6 +:04AD5A0000000000F5 +:04AD5B0000000000F4 +:04AD5C0000000000F3 +:04AD5D0000000000F2 +:04AD5E0000000000F1 +:04AD5F0000000000F0 +:04AD600000000000EF +:04AD610000000000EE +:04AD620000000000ED +:04AD630000000000EC +:04AD640000000000EB +:04AD650000000000EA +:04AD660000000000E9 +:04AD670000000000E8 +:04AD680000000000E7 +:04AD690000000000E6 +:04AD6A0000000000E5 +:04AD6B0000000000E4 +:04AD6C0000000000E3 +:04AD6D0000000000E2 +:04AD6E0000000000E1 +:04AD6F0000000000E0 +:04AD700000000000DF +:04AD710000000000DE +:04AD720000000000DD +:04AD730000000000DC +:04AD740000000000DB +:04AD750000000000DA +:04AD760000000000D9 +:04AD770000000000D8 +:04AD780000000000D7 +:04AD790000000000D6 +:04AD7A0000000000D5 +:04AD7B0000000000D4 +:04AD7C0000000000D3 +:04AD7D0000000000D2 +:04AD7E0000000000D1 +:04AD7F0000000000D0 +:04AD800000000000CF +:04AD810000000000CE +:04AD820000000000CD +:04AD830000000000CC +:04AD840000000000CB +:04AD850000000000CA +:04AD860000000000C9 +:04AD870000000000C8 +:04AD880000000000C7 +:04AD890000000000C6 +:04AD8A0000000000C5 +:04AD8B0000000000C4 +:04AD8C0000000000C3 +:04AD8D0000000000C2 +:04AD8E0000000000C1 +:04AD8F0000000000C0 +:04AD900000000000BF +:04AD910000000000BE +:04AD920000000000BD +:04AD930000000000BC +:04AD940000000000BB +:04AD950000000000BA +:04AD960000000000B9 +:04AD970000000000B8 +:04AD980000000000B7 +:04AD990000000000B6 +:04AD9A0000000000B5 +:04AD9B0000000000B4 +:04AD9C0000000000B3 +:04AD9D0000000000B2 +:04AD9E0000000000B1 +:04AD9F0000000000B0 +:04ADA00000000000AF +:04ADA10000000000AE +:04ADA20000000000AD +:04ADA30000000000AC +:04ADA40000000000AB +:04ADA50000000000AA +:04ADA60000000000A9 +:04ADA70000000000A8 +:04ADA80000000000A7 +:04ADA90000000000A6 +:04ADAA0000000000A5 +:04ADAB0000000000A4 +:04ADAC0000000000A3 +:04ADAD0000000000A2 +:04ADAE0000000000A1 +:04ADAF0000000000A0 +:04ADB000000000009F +:04ADB100000000009E +:04ADB200000000009D +:04ADB300000000009C +:04ADB400000000009B +:04ADB500000000009A +:04ADB6000000000099 +:04ADB7000000000098 +:04ADB8000000000097 +:04ADB9000000000096 +:04ADBA000000000095 +:04ADBB000000000094 +:04ADBC000000000093 +:04ADBD000000000092 +:04ADBE000000000091 +:04ADBF000000000090 +:04ADC000000000008F +:04ADC100000000008E +:04ADC200000000008D +:04ADC300000000008C +:04ADC400000000008B +:04ADC500000000008A +:04ADC6000000000089 +:04ADC7000000000088 +:04ADC8000000000087 +:04ADC9000000000086 +:04ADCA000000000085 +:04ADCB000000000084 +:04ADCC000000000083 +:04ADCD000000000082 +:04ADCE000000000081 +:04ADCF000000000080 +:04ADD000000000007F +:04ADD100000000007E +:04ADD200000000007D +:04ADD300000000007C +:04ADD400000000007B +:04ADD500000000007A +:04ADD6000000000079 +:04ADD7000000000078 +:04ADD8000000000077 +:04ADD9000000000076 +:04ADDA000000000075 +:04ADDB000000000074 +:04ADDC000000000073 +:04ADDD000000000072 +:04ADDE000000000071 +:04ADDF000000000070 +:04ADE000000000006F +:04ADE100000000006E +:04ADE200000000006D +:04ADE300000000006C +:04ADE400000000006B +:04ADE500000000006A +:04ADE6000000000069 +:04ADE7000000000068 +:04ADE8000000000067 +:04ADE9000000000066 +:04ADEA000000000065 +:04ADEB000000000064 +:04ADEC000000000063 +:04ADED000000000062 +:04ADEE000000000061 +:04ADEF000000000060 +:04ADF000000000005F +:04ADF100000000005E +:04ADF200000000005D +:04ADF300000000005C +:04ADF400000000005B +:04ADF500000000005A +:04ADF6000000000059 +:04ADF7000000000058 +:04ADF8000000000057 +:04ADF9000000000056 +:04ADFA000000000055 +:04ADFB000000000054 +:04ADFC000000000053 +:04ADFD000000000052 +:04ADFE000000000051 +:04ADFF000000000050 +:04AE0000000000004E +:04AE0100000000004D +:04AE0200000000004C +:04AE0300000000004B +:04AE0400000000004A +:04AE05000000000049 +:04AE06000000000048 +:04AE07000000000047 +:04AE08000000000046 +:04AE09000000000045 +:04AE0A000000000044 +:04AE0B000000000043 +:04AE0C000000000042 +:04AE0D000000000041 +:04AE0E000000000040 +:04AE0F00000000003F +:04AE1000000000003E +:04AE1100000000003D +:04AE1200000000003C +:04AE1300000000003B +:04AE1400000000003A +:04AE15000000000039 +:04AE16000000000038 +:04AE17000000000037 +:04AE18000000000036 +:04AE19000000000035 +:04AE1A000000000034 +:04AE1B000000000033 +:04AE1C000000000032 +:04AE1D000000000031 +:04AE1E000000000030 +:04AE1F00000000002F +:04AE2000000000002E +:04AE2100000000002D +:04AE2200000000002C +:04AE2300000000002B +:04AE2400000000002A +:04AE25000000000029 +:04AE26000000000028 +:04AE27000000000027 +:04AE28000000000026 +:04AE29000000000025 +:04AE2A000000000024 +:04AE2B000000000023 +:04AE2C000000000022 +:04AE2D000000000021 +:04AE2E000000000020 +:04AE2F00000000001F +:04AE3000000000001E +:04AE3100000000001D +:04AE3200000000001C +:04AE3300000000001B +:04AE3400000000001A +:04AE35000000000019 +:04AE36000000000018 +:04AE37000000000017 +:04AE38000000000016 +:04AE39000000000015 +:04AE3A000000000014 +:04AE3B000000000013 +:04AE3C000000000012 +:04AE3D000000000011 +:04AE3E000000000010 +:04AE3F00000000000F +:04AE4000000000000E +:04AE4100000000000D +:04AE4200000000000C +:04AE4300000000000B +:04AE4400000000000A +:04AE45000000000009 +:04AE46000000000008 +:04AE47000000000007 +:04AE48000000000006 +:04AE49000000000005 +:04AE4A000000000004 +:04AE4B000000000003 +:04AE4C000000000002 +:04AE4D000000000001 +:04AE4E000000000000 +:04AE4F0000000000FF +:04AE500000000000FE +:04AE510000000000FD +:04AE520000000000FC +:04AE530000000000FB +:04AE540000000000FA +:04AE550000000000F9 +:04AE560000000000F8 +:04AE570000000000F7 +:04AE580000000000F6 +:04AE590000000000F5 +:04AE5A0000000000F4 +:04AE5B0000000000F3 +:04AE5C0000000000F2 +:04AE5D0000000000F1 +:04AE5E0000000000F0 +:04AE5F0000000000EF +:04AE600000000000EE +:04AE610000000000ED +:04AE620000000000EC +:04AE630000000000EB +:04AE640000000000EA +:04AE650000000000E9 +:04AE660000000000E8 +:04AE670000000000E7 +:04AE680000000000E6 +:04AE690000000000E5 +:04AE6A0000000000E4 +:04AE6B0000000000E3 +:04AE6C0000000000E2 +:04AE6D0000000000E1 +:04AE6E0000000000E0 +:04AE6F0000000000DF +:04AE700000000000DE +:04AE710000000000DD +:04AE720000000000DC +:04AE730000000000DB +:04AE740000000000DA +:04AE750000000000D9 +:04AE760000000000D8 +:04AE770000000000D7 +:04AE780000000000D6 +:04AE790000000000D5 +:04AE7A0000000000D4 +:04AE7B0000000000D3 +:04AE7C0000000000D2 +:04AE7D0000000000D1 +:04AE7E0000000000D0 +:04AE7F0000000000CF +:04AE800000000000CE +:04AE810000000000CD +:04AE820000000000CC +:04AE830000000000CB +:04AE840000000000CA +:04AE850000000000C9 +:04AE860000000000C8 +:04AE870000000000C7 +:04AE880000000000C6 +:04AE890000000000C5 +:04AE8A0000000000C4 +:04AE8B0000000000C3 +:04AE8C0000000000C2 +:04AE8D0000000000C1 +:04AE8E0000000000C0 +:04AE8F0000000000BF +:04AE900000000000BE +:04AE910000000000BD +:04AE920000000000BC +:04AE930000000000BB +:04AE940000000000BA +:04AE950000000000B9 +:04AE960000000000B8 +:04AE970000000000B7 +:04AE980000000000B6 +:04AE990000000000B5 +:04AE9A0000000000B4 +:04AE9B0000000000B3 +:04AE9C0000000000B2 +:04AE9D0000000000B1 +:04AE9E0000000000B0 +:04AE9F0000000000AF +:04AEA00000000000AE +:04AEA10000000000AD +:04AEA20000000000AC +:04AEA30000000000AB +:04AEA40000000000AA +:04AEA50000000000A9 +:04AEA60000000000A8 +:04AEA70000000000A7 +:04AEA80000000000A6 +:04AEA90000000000A5 +:04AEAA0000000000A4 +:04AEAB0000000000A3 +:04AEAC0000000000A2 +:04AEAD0000000000A1 +:04AEAE0000000000A0 +:04AEAF00000000009F +:04AEB000000000009E +:04AEB100000000009D +:04AEB200000000009C +:04AEB300000000009B +:04AEB400000000009A +:04AEB5000000000099 +:04AEB6000000000098 +:04AEB7000000000097 +:04AEB8000000000096 +:04AEB9000000000095 +:04AEBA000000000094 +:04AEBB000000000093 +:04AEBC000000000092 +:04AEBD000000000091 +:04AEBE000000000090 +:04AEBF00000000008F +:04AEC000000000008E +:04AEC100000000008D +:04AEC200000000008C +:04AEC300000000008B +:04AEC400000000008A +:04AEC5000000000089 +:04AEC6000000000088 +:04AEC7000000000087 +:04AEC8000000000086 +:04AEC9000000000085 +:04AECA000000000084 +:04AECB000000000083 +:04AECC000000000082 +:04AECD000000000081 +:04AECE000000000080 +:04AECF00000000007F +:04AED000000000007E +:04AED100000000007D +:04AED200000000007C +:04AED300000000007B +:04AED400000000007A +:04AED5000000000079 +:04AED6000000000078 +:04AED7000000000077 +:04AED8000000000076 +:04AED9000000000075 +:04AEDA000000000074 +:04AEDB000000000073 +:04AEDC000000000072 +:04AEDD000000000071 +:04AEDE000000000070 +:04AEDF00000000006F +:04AEE000000000006E +:04AEE100000000006D +:04AEE200000000006C +:04AEE300000000006B +:04AEE400000000006A +:04AEE5000000000069 +:04AEE6000000000068 +:04AEE7000000000067 +:04AEE8000000000066 +:04AEE9000000000065 +:04AEEA000000000064 +:04AEEB000000000063 +:04AEEC000000000062 +:04AEED000000000061 +:04AEEE000000000060 +:04AEEF00000000005F +:04AEF000000000005E +:04AEF100000000005D +:04AEF200000000005C +:04AEF300000000005B +:04AEF400000000005A +:04AEF5000000000059 +:04AEF6000000000058 +:04AEF7000000000057 +:04AEF8000000000056 +:04AEF9000000000055 +:04AEFA000000000054 +:04AEFB000000000053 +:04AEFC000000000052 +:04AEFD000000000051 +:04AEFE000000000050 +:04AEFF00000000004F +:04AF0000000000004D +:04AF0100000000004C +:04AF0200000000004B +:04AF0300000000004A +:04AF04000000000049 +:04AF05000000000048 +:04AF06000000000047 +:04AF07000000000046 +:04AF08000000000045 +:04AF09000000000044 +:04AF0A000000000043 +:04AF0B000000000042 +:04AF0C000000000041 +:04AF0D000000000040 +:04AF0E00000000003F +:04AF0F00000000003E +:04AF1000000000003D +:04AF1100000000003C +:04AF1200000000003B +:04AF1300000000003A +:04AF14000000000039 +:04AF15000000000038 +:04AF16000000000037 +:04AF17000000000036 +:04AF18000000000035 +:04AF19000000000034 +:04AF1A000000000033 +:04AF1B000000000032 +:04AF1C000000000031 +:04AF1D000000000030 +:04AF1E00000000002F +:04AF1F00000000002E +:04AF2000000000002D +:04AF2100000000002C +:04AF2200000000002B +:04AF2300000000002A +:04AF24000000000029 +:04AF25000000000028 +:04AF26000000000027 +:04AF27000000000026 +:04AF28000000000025 +:04AF29000000000024 +:04AF2A000000000023 +:04AF2B000000000022 +:04AF2C000000000021 +:04AF2D000000000020 +:04AF2E00000000001F +:04AF2F00000000001E +:04AF3000000000001D +:04AF3100000000001C +:04AF3200000000001B +:04AF3300000000001A +:04AF34000000000019 +:04AF35000000000018 +:04AF36000000000017 +:04AF37000000000016 +:04AF38000000000015 +:04AF39000000000014 +:04AF3A000000000013 +:04AF3B000000000012 +:04AF3C000000000011 +:04AF3D000000000010 +:04AF3E00000000000F +:04AF3F00000000000E +:04AF4000000000000D +:04AF4100000000000C +:04AF4200000000000B +:04AF4300000000000A +:04AF44000000000009 +:04AF45000000000008 +:04AF46000000000007 +:04AF47000000000006 +:04AF48000000000005 +:04AF49000000000004 +:04AF4A000000000003 +:04AF4B000000000002 +:04AF4C000000000001 +:04AF4D000000000000 +:04AF4E0000000000FF +:04AF4F0000000000FE +:04AF500000000000FD +:04AF510000000000FC +:04AF520000000000FB +:04AF530000000000FA +:04AF540000000000F9 +:04AF550000000000F8 +:04AF560000000000F7 +:04AF570000000000F6 +:04AF580000000000F5 +:04AF590000000000F4 +:04AF5A0000000000F3 +:04AF5B0000000000F2 +:04AF5C0000000000F1 +:04AF5D0000000000F0 +:04AF5E0000000000EF +:04AF5F0000000000EE +:04AF600000000000ED +:04AF610000000000EC +:04AF620000000000EB +:04AF630000000000EA +:04AF640000000000E9 +:04AF650000000000E8 +:04AF660000000000E7 +:04AF670000000000E6 +:04AF680000000000E5 +:04AF690000000000E4 +:04AF6A0000000000E3 +:04AF6B0000000000E2 +:04AF6C0000000000E1 +:04AF6D0000000000E0 +:04AF6E0000000000DF +:04AF6F0000000000DE +:04AF700000000000DD +:04AF710000000000DC +:04AF720000000000DB +:04AF730000000000DA +:04AF740000000000D9 +:04AF750000000000D8 +:04AF760000000000D7 +:04AF770000000000D6 +:04AF780000000000D5 +:04AF790000000000D4 +:04AF7A0000000000D3 +:04AF7B0000000000D2 +:04AF7C0000000000D1 +:04AF7D0000000000D0 +:04AF7E0000000000CF +:04AF7F0000000000CE +:04AF800000000000CD +:04AF810000000000CC +:04AF820000000000CB +:04AF830000000000CA +:04AF840000000000C9 +:04AF850000000000C8 +:04AF860000000000C7 +:04AF870000000000C6 +:04AF880000000000C5 +:04AF890000000000C4 +:04AF8A0000000000C3 +:04AF8B0000000000C2 +:04AF8C0000000000C1 +:04AF8D0000000000C0 +:04AF8E0000000000BF +:04AF8F0000000000BE +:04AF900000000000BD +:04AF910000000000BC +:04AF920000000000BB +:04AF930000000000BA +:04AF940000000000B9 +:04AF950000000000B8 +:04AF960000000000B7 +:04AF970000000000B6 +:04AF980000000000B5 +:04AF990000000000B4 +:04AF9A0000000000B3 +:04AF9B0000000000B2 +:04AF9C0000000000B1 +:04AF9D0000000000B0 +:04AF9E0000000000AF +:04AF9F0000000000AE +:04AFA00000000000AD +:04AFA10000000000AC +:04AFA20000000000AB +:04AFA30000000000AA +:04AFA40000000000A9 +:04AFA50000000000A8 +:04AFA60000000000A7 +:04AFA70000000000A6 +:04AFA80000000000A5 +:04AFA90000000000A4 +:04AFAA0000000000A3 +:04AFAB0000000000A2 +:04AFAC0000000000A1 +:04AFAD0000000000A0 +:04AFAE00000000009F +:04AFAF00000000009E +:04AFB000000000009D +:04AFB100000000009C +:04AFB200000000009B +:04AFB300000000009A +:04AFB4000000000099 +:04AFB5000000000098 +:04AFB6000000000097 +:04AFB7000000000096 +:04AFB8000000000095 +:04AFB9000000000094 +:04AFBA000000000093 +:04AFBB000000000092 +:04AFBC000000000091 +:04AFBD000000000090 +:04AFBE00000000008F +:04AFBF00000000008E +:04AFC000000000008D +:04AFC100000000008C +:04AFC200000000008B +:04AFC300000000008A +:04AFC4000000000089 +:04AFC5000000000088 +:04AFC6000000000087 +:04AFC7000000000086 +:04AFC8000000000085 +:04AFC9000000000084 +:04AFCA000000000083 +:04AFCB000000000082 +:04AFCC000000000081 +:04AFCD000000000080 +:04AFCE00000000007F +:04AFCF00000000007E +:04AFD000000000007D +:04AFD100000000007C +:04AFD200000000007B +:04AFD300000000007A +:04AFD4000000000079 +:04AFD5000000000078 +:04AFD6000000000077 +:04AFD7000000000076 +:04AFD8000000000075 +:04AFD9000000000074 +:04AFDA000000000073 +:04AFDB000000000072 +:04AFDC000000000071 +:04AFDD000000000070 +:04AFDE00000000006F +:04AFDF00000000006E +:04AFE000000000006D +:04AFE100000000006C +:04AFE200000000006B +:04AFE300000000006A +:04AFE4000000000069 +:04AFE5000000000068 +:04AFE6000000000067 +:04AFE7000000000066 +:04AFE8000000000065 +:04AFE9000000000064 +:04AFEA000000000063 +:04AFEB000000000062 +:04AFEC000000000061 +:04AFED000000000060 +:04AFEE00000000005F +:04AFEF00000000005E +:04AFF000000000005D +:04AFF100000000005C +:04AFF200000000005B +:04AFF300000000005A +:04AFF4000000000059 +:04AFF5000000000058 +:04AFF6000000000057 +:04AFF7000000000056 +:04AFF8000000000055 +:04AFF9000000000054 +:04AFFA000000000053 +:04AFFB000000000052 +:04AFFC000000000051 +:04AFFD000000000050 +:04AFFE00000000004F +:04AFFF00000000004E +:04B00000000000004C +:04B00100000000004B +:04B00200000000004A +:04B003000000000049 +:04B004000000000048 +:04B005000000000047 +:04B006000000000046 +:04B007000000000045 +:04B008000000000044 +:04B009000000000043 +:04B00A000000000042 +:04B00B000000000041 +:04B00C000000000040 +:04B00D00000000003F +:04B00E00000000003E +:04B00F00000000003D +:04B01000000000003C +:04B01100000000003B +:04B01200000000003A +:04B013000000000039 +:04B014000000000038 +:04B015000000000037 +:04B016000000000036 +:04B017000000000035 +:04B018000000000034 +:04B019000000000033 +:04B01A000000000032 +:04B01B000000000031 +:04B01C000000000030 +:04B01D00000000002F +:04B01E00000000002E +:04B01F00000000002D +:04B02000000000002C +:04B02100000000002B +:04B02200000000002A +:04B023000000000029 +:04B024000000000028 +:04B025000000000027 +:04B026000000000026 +:04B027000000000025 +:04B028000000000024 +:04B029000000000023 +:04B02A000000000022 +:04B02B000000000021 +:04B02C000000000020 +:04B02D00000000001F +:04B02E00000000001E +:04B02F00000000001D +:04B03000000000001C +:04B03100000000001B +:04B03200000000001A +:04B033000000000019 +:04B034000000000018 +:04B035000000000017 +:04B036000000000016 +:04B037000000000015 +:04B038000000000014 +:04B039000000000013 +:04B03A000000000012 +:04B03B000000000011 +:04B03C000000000010 +:04B03D00000000000F +:04B03E00000000000E +:04B03F00000000000D +:04B04000000000000C +:04B04100000000000B +:04B04200000000000A +:04B043000000000009 +:04B044000000000008 +:04B045000000000007 +:04B046000000000006 +:04B047000000000005 +:04B048000000000004 +:04B049000000000003 +:04B04A000000000002 +:04B04B000000000001 +:04B04C000000000000 +:04B04D0000000000FF +:04B04E0000000000FE +:04B04F0000000000FD +:04B0500000000000FC +:04B0510000000000FB +:04B0520000000000FA +:04B0530000000000F9 +:04B0540000000000F8 +:04B0550000000000F7 +:04B0560000000000F6 +:04B0570000000000F5 +:04B0580000000000F4 +:04B0590000000000F3 +:04B05A0000000000F2 +:04B05B0000000000F1 +:04B05C0000000000F0 +:04B05D0000000000EF +:04B05E0000000000EE +:04B05F0000000000ED +:04B0600000000000EC +:04B0610000000000EB +:04B0620000000000EA +:04B0630000000000E9 +:04B0640000000000E8 +:04B0650000000000E7 +:04B0660000000000E6 +:04B0670000000000E5 +:04B0680000000000E4 +:04B0690000000000E3 +:04B06A0000000000E2 +:04B06B0000000000E1 +:04B06C0000000000E0 +:04B06D0000000000DF +:04B06E0000000000DE +:04B06F0000000000DD +:04B0700000000000DC +:04B0710000000000DB +:04B0720000000000DA +:04B0730000000000D9 +:04B0740000000000D8 +:04B0750000000000D7 +:04B0760000000000D6 +:04B0770000000000D5 +:04B0780000000000D4 +:04B0790000000000D3 +:04B07A0000000000D2 +:04B07B0000000000D1 +:04B07C0000000000D0 +:04B07D0000000000CF +:04B07E0000000000CE +:04B07F0000000000CD +:04B0800000000000CC +:04B0810000000000CB +:04B0820000000000CA +:04B0830000000000C9 +:04B0840000000000C8 +:04B0850000000000C7 +:04B0860000000000C6 +:04B0870000000000C5 +:04B0880000000000C4 +:04B0890000000000C3 +:04B08A0000000000C2 +:04B08B0000000000C1 +:04B08C0000000000C0 +:04B08D0000000000BF +:04B08E0000000000BE +:04B08F0000000000BD +:04B0900000000000BC +:04B0910000000000BB +:04B0920000000000BA +:04B0930000000000B9 +:04B0940000000000B8 +:04B0950000000000B7 +:04B0960000000000B6 +:04B0970000000000B5 +:04B0980000000000B4 +:04B0990000000000B3 +:04B09A0000000000B2 +:04B09B0000000000B1 +:04B09C0000000000B0 +:04B09D0000000000AF +:04B09E0000000000AE +:04B09F0000000000AD +:04B0A00000000000AC +:04B0A10000000000AB +:04B0A20000000000AA +:04B0A30000000000A9 +:04B0A40000000000A8 +:04B0A50000000000A7 +:04B0A60000000000A6 +:04B0A70000000000A5 +:04B0A80000000000A4 +:04B0A90000000000A3 +:04B0AA0000000000A2 +:04B0AB0000000000A1 +:04B0AC0000000000A0 +:04B0AD00000000009F +:04B0AE00000000009E +:04B0AF00000000009D +:04B0B000000000009C +:04B0B100000000009B +:04B0B200000000009A +:04B0B3000000000099 +:04B0B4000000000098 +:04B0B5000000000097 +:04B0B6000000000096 +:04B0B7000000000095 +:04B0B8000000000094 +:04B0B9000000000093 +:04B0BA000000000092 +:04B0BB000000000091 +:04B0BC000000000090 +:04B0BD00000000008F +:04B0BE00000000008E +:04B0BF00000000008D +:04B0C000000000008C +:04B0C100000000008B +:04B0C200000000008A +:04B0C3000000000089 +:04B0C4000000000088 +:04B0C5000000000087 +:04B0C6000000000086 +:04B0C7000000000085 +:04B0C8000000000084 +:04B0C9000000000083 +:04B0CA000000000082 +:04B0CB000000000081 +:04B0CC000000000080 +:04B0CD00000000007F +:04B0CE00000000007E +:04B0CF00000000007D +:04B0D000000000007C +:04B0D100000000007B +:04B0D200000000007A +:04B0D3000000000079 +:04B0D4000000000078 +:04B0D5000000000077 +:04B0D6000000000076 +:04B0D7000000000075 +:04B0D8000000000074 +:04B0D9000000000073 +:04B0DA000000000072 +:04B0DB000000000071 +:04B0DC000000000070 +:04B0DD00000000006F +:04B0DE00000000006E +:04B0DF00000000006D +:04B0E000000000006C +:04B0E100000000006B +:04B0E200000000006A +:04B0E3000000000069 +:04B0E4000000000068 +:04B0E5000000000067 +:04B0E6000000000066 +:04B0E7000000000065 +:04B0E8000000000064 +:04B0E9000000000063 +:04B0EA000000000062 +:04B0EB000000000061 +:04B0EC000000000060 +:04B0ED00000000005F +:04B0EE00000000005E +:04B0EF00000000005D +:04B0F000000000005C +:04B0F100000000005B +:04B0F200000000005A +:04B0F3000000000059 +:04B0F4000000000058 +:04B0F5000000000057 +:04B0F6000000000056 +:04B0F7000000000055 +:04B0F8000000000054 +:04B0F9000000000053 +:04B0FA000000000052 +:04B0FB000000000051 +:04B0FC000000000050 +:04B0FD00000000004F +:04B0FE00000000004E +:04B0FF00000000004D +:04B10000000000004B +:04B10100000000004A +:04B102000000000049 +:04B103000000000048 +:04B104000000000047 +:04B105000000000046 +:04B106000000000045 +:04B107000000000044 +:04B108000000000043 +:04B109000000000042 +:04B10A000000000041 +:04B10B000000000040 +:04B10C00000000003F +:04B10D00000000003E +:04B10E00000000003D +:04B10F00000000003C +:04B11000000000003B +:04B11100000000003A +:04B112000000000039 +:04B113000000000038 +:04B114000000000037 +:04B115000000000036 +:04B116000000000035 +:04B117000000000034 +:04B118000000000033 +:04B119000000000032 +:04B11A000000000031 +:04B11B000000000030 +:04B11C00000000002F +:04B11D00000000002E +:04B11E00000000002D +:04B11F00000000002C +:04B12000000000002B +:04B12100000000002A +:04B122000000000029 +:04B123000000000028 +:04B124000000000027 +:04B125000000000026 +:04B126000000000025 +:04B127000000000024 +:04B128000000000023 +:04B129000000000022 +:04B12A000000000021 +:04B12B000000000020 +:04B12C00000000001F +:04B12D00000000001E +:04B12E00000000001D +:04B12F00000000001C +:04B13000000000001B +:04B13100000000001A +:04B132000000000019 +:04B133000000000018 +:04B134000000000017 +:04B135000000000016 +:04B136000000000015 +:04B137000000000014 +:04B138000000000013 +:04B139000000000012 +:04B13A000000000011 +:04B13B000000000010 +:04B13C00000000000F +:04B13D00000000000E +:04B13E00000000000D +:04B13F00000000000C +:04B14000000000000B +:04B14100000000000A +:04B142000000000009 +:04B143000000000008 +:04B144000000000007 +:04B145000000000006 +:04B146000000000005 +:04B147000000000004 +:04B148000000000003 +:04B149000000000002 +:04B14A000000000001 +:04B14B000000000000 +:04B14C0000000000FF +:04B14D0000000000FE +:04B14E0000000000FD +:04B14F0000000000FC +:04B1500000000000FB +:04B1510000000000FA +:04B1520000000000F9 +:04B1530000000000F8 +:04B1540000000000F7 +:04B1550000000000F6 +:04B1560000000000F5 +:04B1570000000000F4 +:04B1580000000000F3 +:04B1590000000000F2 +:04B15A0000000000F1 +:04B15B0000000000F0 +:04B15C0000000000EF +:04B15D0000000000EE +:04B15E0000000000ED +:04B15F0000000000EC +:04B1600000000000EB +:04B1610000000000EA +:04B1620000000000E9 +:04B1630000000000E8 +:04B1640000000000E7 +:04B1650000000000E6 +:04B1660000000000E5 +:04B1670000000000E4 +:04B1680000000000E3 +:04B1690000000000E2 +:04B16A0000000000E1 +:04B16B0000000000E0 +:04B16C0000000000DF +:04B16D0000000000DE +:04B16E0000000000DD +:04B16F0000000000DC +:04B1700000000000DB +:04B1710000000000DA +:04B1720000000000D9 +:04B1730000000000D8 +:04B1740000000000D7 +:04B1750000000000D6 +:04B1760000000000D5 +:04B1770000000000D4 +:04B1780000000000D3 +:04B1790000000000D2 +:04B17A0000000000D1 +:04B17B0000000000D0 +:04B17C0000000000CF +:04B17D0000000000CE +:04B17E0000000000CD +:04B17F0000000000CC +:04B1800000000000CB +:04B1810000000000CA +:04B1820000000000C9 +:04B1830000000000C8 +:04B1840000000000C7 +:04B1850000000000C6 +:04B1860000000000C5 +:04B1870000000000C4 +:04B1880000000000C3 +:04B1890000000000C2 +:04B18A0000000000C1 +:04B18B0000000000C0 +:04B18C0000000000BF +:04B18D0000000000BE +:04B18E0000000000BD +:04B18F0000000000BC +:04B1900000000000BB +:04B1910000000000BA +:04B1920000000000B9 +:04B1930000000000B8 +:04B1940000000000B7 +:04B1950000000000B6 +:04B1960000000000B5 +:04B1970000000000B4 +:04B1980000000000B3 +:04B1990000000000B2 +:04B19A0000000000B1 +:04B19B0000000000B0 +:04B19C0000000000AF +:04B19D0000000000AE +:04B19E0000000000AD +:04B19F0000000000AC +:04B1A00000000000AB +:04B1A10000000000AA +:04B1A20000000000A9 +:04B1A30000000000A8 +:04B1A40000000000A7 +:04B1A50000000000A6 +:04B1A60000000000A5 +:04B1A70000000000A4 +:04B1A80000000000A3 +:04B1A90000000000A2 +:04B1AA0000000000A1 +:04B1AB0000000000A0 +:04B1AC00000000009F +:04B1AD00000000009E +:04B1AE00000000009D +:04B1AF00000000009C +:04B1B000000000009B +:04B1B100000000009A +:04B1B2000000000099 +:04B1B3000000000098 +:04B1B4000000000097 +:04B1B5000000000096 +:04B1B6000000000095 +:04B1B7000000000094 +:04B1B8000000000093 +:04B1B9000000000092 +:04B1BA000000000091 +:04B1BB000000000090 +:04B1BC00000000008F +:04B1BD00000000008E +:04B1BE00000000008D +:04B1BF00000000008C +:04B1C000000000008B +:04B1C100000000008A +:04B1C2000000000089 +:04B1C3000000000088 +:04B1C4000000000087 +:04B1C5000000000086 +:04B1C6000000000085 +:04B1C7000000000084 +:04B1C8000000000083 +:04B1C9000000000082 +:04B1CA000000000081 +:04B1CB000000000080 +:04B1CC00000000007F +:04B1CD00000000007E +:04B1CE00000000007D +:04B1CF00000000007C +:04B1D000000000007B +:04B1D100000000007A +:04B1D2000000000079 +:04B1D3000000000078 +:04B1D4000000000077 +:04B1D5000000000076 +:04B1D6000000000075 +:04B1D7000000000074 +:04B1D8000000000073 +:04B1D9000000000072 +:04B1DA000000000071 +:04B1DB000000000070 +:04B1DC00000000006F +:04B1DD00000000006E +:04B1DE00000000006D +:04B1DF00000000006C +:04B1E000000000006B +:04B1E100000000006A +:04B1E2000000000069 +:04B1E3000000000068 +:04B1E4000000000067 +:04B1E5000000000066 +:04B1E6000000000065 +:04B1E7000000000064 +:04B1E8000000000063 +:04B1E9000000000062 +:04B1EA000000000061 +:04B1EB000000000060 +:04B1EC00000000005F +:04B1ED00000000005E +:04B1EE00000000005D +:04B1EF00000000005C +:04B1F000000000005B +:04B1F100000000005A +:04B1F2000000000059 +:04B1F3000000000058 +:04B1F4000000000057 +:04B1F5000000000056 +:04B1F6000000000055 +:04B1F7000000000054 +:04B1F8000000000053 +:04B1F9000000000052 +:04B1FA000000000051 +:04B1FB000000000050 +:04B1FC00000000004F +:04B1FD00000000004E +:04B1FE00000000004D +:04B1FF00000000004C +:04B20000000000004A +:04B201000000000049 +:04B202000000000048 +:04B203000000000047 +:04B204000000000046 +:04B205000000000045 +:04B206000000000044 +:04B207000000000043 +:04B208000000000042 +:04B209000000000041 +:04B20A000000000040 +:04B20B00000000003F +:04B20C00000000003E +:04B20D00000000003D +:04B20E00000000003C +:04B20F00000000003B +:04B21000000000003A +:04B211000000000039 +:04B212000000000038 +:04B213000000000037 +:04B214000000000036 +:04B215000000000035 +:04B216000000000034 +:04B217000000000033 +:04B218000000000032 +:04B219000000000031 +:04B21A000000000030 +:04B21B00000000002F +:04B21C00000000002E +:04B21D00000000002D +:04B21E00000000002C +:04B21F00000000002B +:04B22000000000002A +:04B221000000000029 +:04B222000000000028 +:04B223000000000027 +:04B224000000000026 +:04B225000000000025 +:04B226000000000024 +:04B227000000000023 +:04B228000000000022 +:04B229000000000021 +:04B22A000000000020 +:04B22B00000000001F +:04B22C00000000001E +:04B22D00000000001D +:04B22E00000000001C +:04B22F00000000001B +:04B23000000000001A +:04B231000000000019 +:04B232000000000018 +:04B233000000000017 +:04B234000000000016 +:04B235000000000015 +:04B236000000000014 +:04B237000000000013 +:04B238000000000012 +:04B239000000000011 +:04B23A000000000010 +:04B23B00000000000F +:04B23C00000000000E +:04B23D00000000000D +:04B23E00000000000C +:04B23F00000000000B +:04B24000000000000A +:04B241000000000009 +:04B242000000000008 +:04B243000000000007 +:04B244000000000006 +:04B245000000000005 +:04B246000000000004 +:04B247000000000003 +:04B248000000000002 +:04B249000000000001 +:04B24A000000000000 +:04B24B0000000000FF +:04B24C0000000000FE +:04B24D0000000000FD +:04B24E0000000000FC +:04B24F0000000000FB +:04B2500000000000FA +:04B2510000000000F9 +:04B2520000000000F8 +:04B2530000000000F7 +:04B2540000000000F6 +:04B2550000000000F5 +:04B2560000000000F4 +:04B2570000000000F3 +:04B2580000000000F2 +:04B2590000000000F1 +:04B25A0000000000F0 +:04B25B0000000000EF +:04B25C0000000000EE +:04B25D0000000000ED +:04B25E0000000000EC +:04B25F0000000000EB +:04B2600000000000EA +:04B2610000000000E9 +:04B2620000000000E8 +:04B2630000000000E7 +:04B2640000000000E6 +:04B2650000000000E5 +:04B2660000000000E4 +:04B2670000000000E3 +:04B2680000000000E2 +:04B2690000000000E1 +:04B26A0000000000E0 +:04B26B0000000000DF +:04B26C0000000000DE +:04B26D0000000000DD +:04B26E0000000000DC +:04B26F0000000000DB +:04B2700000000000DA +:04B2710000000000D9 +:04B2720000000000D8 +:04B2730000000000D7 +:04B2740000000000D6 +:04B2750000000000D5 +:04B2760000000000D4 +:04B2770000000000D3 +:04B2780000000000D2 +:04B2790000000000D1 +:04B27A0000000000D0 +:04B27B0000000000CF +:04B27C0000000000CE +:04B27D0000000000CD +:04B27E0000000000CC +:04B27F0000000000CB +:04B2800000000000CA +:04B2810000000000C9 +:04B2820000000000C8 +:04B2830000000000C7 +:04B2840000000000C6 +:04B2850000000000C5 +:04B2860000000000C4 +:04B2870000000000C3 +:04B2880000000000C2 +:04B2890000000000C1 +:04B28A0000000000C0 +:04B28B0000000000BF +:04B28C0000000000BE +:04B28D0000000000BD +:04B28E0000000000BC +:04B28F0000000000BB +:04B2900000000000BA +:04B2910000000000B9 +:04B2920000000000B8 +:04B2930000000000B7 +:04B2940000000000B6 +:04B2950000000000B5 +:04B2960000000000B4 +:04B2970000000000B3 +:04B2980000000000B2 +:04B2990000000000B1 +:04B29A0000000000B0 +:04B29B0000000000AF +:04B29C0000000000AE +:04B29D0000000000AD +:04B29E0000000000AC +:04B29F0000000000AB +:04B2A00000000000AA +:04B2A10000000000A9 +:04B2A20000000000A8 +:04B2A30000000000A7 +:04B2A40000000000A6 +:04B2A50000000000A5 +:04B2A60000000000A4 +:04B2A70000000000A3 +:04B2A80000000000A2 +:04B2A90000000000A1 +:04B2AA0000000000A0 +:04B2AB00000000009F +:04B2AC00000000009E +:04B2AD00000000009D +:04B2AE00000000009C +:04B2AF00000000009B +:04B2B000000000009A +:04B2B1000000000099 +:04B2B2000000000098 +:04B2B3000000000097 +:04B2B4000000000096 +:04B2B5000000000095 +:04B2B6000000000094 +:04B2B7000000000093 +:04B2B8000000000092 +:04B2B9000000000091 +:04B2BA000000000090 +:04B2BB00000000008F +:04B2BC00000000008E +:04B2BD00000000008D +:04B2BE00000000008C +:04B2BF00000000008B +:04B2C000000000008A +:04B2C1000000000089 +:04B2C2000000000088 +:04B2C3000000000087 +:04B2C4000000000086 +:04B2C5000000000085 +:04B2C6000000000084 +:04B2C7000000000083 +:04B2C8000000000082 +:04B2C9000000000081 +:04B2CA000000000080 +:04B2CB00000000007F +:04B2CC00000000007E +:04B2CD00000000007D +:04B2CE00000000007C +:04B2CF00000000007B +:04B2D000000000007A +:04B2D1000000000079 +:04B2D2000000000078 +:04B2D3000000000077 +:04B2D4000000000076 +:04B2D5000000000075 +:04B2D6000000000074 +:04B2D7000000000073 +:04B2D8000000000072 +:04B2D9000000000071 +:04B2DA000000000070 +:04B2DB00000000006F +:04B2DC00000000006E +:04B2DD00000000006D +:04B2DE00000000006C +:04B2DF00000000006B +:04B2E000000000006A +:04B2E1000000000069 +:04B2E2000000000068 +:04B2E3000000000067 +:04B2E4000000000066 +:04B2E5000000000065 +:04B2E6000000000064 +:04B2E7000000000063 +:04B2E8000000000062 +:04B2E9000000000061 +:04B2EA000000000060 +:04B2EB00000000005F +:04B2EC00000000005E +:04B2ED00000000005D +:04B2EE00000000005C +:04B2EF00000000005B +:04B2F000000000005A +:04B2F1000000000059 +:04B2F2000000000058 +:04B2F3000000000057 +:04B2F4000000000056 +:04B2F5000000000055 +:04B2F6000000000054 +:04B2F7000000000053 +:04B2F8000000000052 +:04B2F9000000000051 +:04B2FA000000000050 +:04B2FB00000000004F +:04B2FC00000000004E +:04B2FD00000000004D +:04B2FE00000000004C +:04B2FF00000000004B +:04B300000000000049 +:04B301000000000048 +:04B302000000000047 +:04B303000000000046 +:04B304000000000045 +:04B305000000000044 +:04B306000000000043 +:04B307000000000042 +:04B308000000000041 +:04B309000000000040 +:04B30A00000000003F +:04B30B00000000003E +:04B30C00000000003D +:04B30D00000000003C +:04B30E00000000003B +:04B30F00000000003A +:04B310000000000039 +:04B311000000000038 +:04B312000000000037 +:04B313000000000036 +:04B314000000000035 +:04B315000000000034 +:04B316000000000033 +:04B317000000000032 +:04B318000000000031 +:04B319000000000030 +:04B31A00000000002F +:04B31B00000000002E +:04B31C00000000002D +:04B31D00000000002C +:04B31E00000000002B +:04B31F00000000002A +:04B320000000000029 +:04B321000000000028 +:04B322000000000027 +:04B323000000000026 +:04B324000000000025 +:04B325000000000024 +:04B326000000000023 +:04B327000000000022 +:04B328000000000021 +:04B329000000000020 +:04B32A00000000001F +:04B32B00000000001E +:04B32C00000000001D +:04B32D00000000001C +:04B32E00000000001B +:04B32F00000000001A +:04B330000000000019 +:04B331000000000018 +:04B332000000000017 +:04B333000000000016 +:04B334000000000015 +:04B335000000000014 +:04B336000000000013 +:04B337000000000012 +:04B338000000000011 +:04B339000000000010 +:04B33A00000000000F +:04B33B00000000000E +:04B33C00000000000D +:04B33D00000000000C +:04B33E00000000000B +:04B33F00000000000A +:04B340000000000009 +:04B341000000000008 +:04B342000000000007 +:04B343000000000006 +:04B344000000000005 +:04B345000000000004 +:04B346000000000003 +:04B347000000000002 +:04B348000000000001 +:04B349000000000000 +:04B34A0000000000FF +:04B34B0000000000FE +:04B34C0000000000FD +:04B34D0000000000FC +:04B34E0000000000FB +:04B34F0000000000FA +:04B3500000000000F9 +:04B3510000000000F8 +:04B3520000000000F7 +:04B3530000000000F6 +:04B3540000000000F5 +:04B3550000000000F4 +:04B3560000000000F3 +:04B3570000000000F2 +:04B3580000000000F1 +:04B3590000000000F0 +:04B35A0000000000EF +:04B35B0000000000EE +:04B35C0000000000ED +:04B35D0000000000EC +:04B35E0000000000EB +:04B35F0000000000EA +:04B3600000000000E9 +:04B3610000000000E8 +:04B3620000000000E7 +:04B3630000000000E6 +:04B3640000000000E5 +:04B3650000000000E4 +:04B3660000000000E3 +:04B3670000000000E2 +:04B3680000000000E1 +:04B3690000000000E0 +:04B36A0000000000DF +:04B36B0000000000DE +:04B36C0000000000DD +:04B36D0000000000DC +:04B36E0000000000DB +:04B36F0000000000DA +:04B3700000000000D9 +:04B3710000000000D8 +:04B3720000000000D7 +:04B3730000000000D6 +:04B3740000000000D5 +:04B3750000000000D4 +:04B3760000000000D3 +:04B3770000000000D2 +:04B3780000000000D1 +:04B3790000000000D0 +:04B37A0000000000CF +:04B37B0000000000CE +:04B37C0000000000CD +:04B37D0000000000CC +:04B37E0000000000CB +:04B37F0000000000CA +:04B3800000000000C9 +:04B3810000000000C8 +:04B3820000000000C7 +:04B3830000000000C6 +:04B3840000000000C5 +:04B3850000000000C4 +:04B3860000000000C3 +:04B3870000000000C2 +:04B3880000000000C1 +:04B3890000000000C0 +:04B38A0000000000BF +:04B38B0000000000BE +:04B38C0000000000BD +:04B38D0000000000BC +:04B38E0000000000BB +:04B38F0000000000BA +:04B3900000000000B9 +:04B3910000000000B8 +:04B3920000000000B7 +:04B3930000000000B6 +:04B3940000000000B5 +:04B3950000000000B4 +:04B3960000000000B3 +:04B3970000000000B2 +:04B3980000000000B1 +:04B3990000000000B0 +:04B39A0000000000AF +:04B39B0000000000AE +:04B39C0000000000AD +:04B39D0000000000AC +:04B39E0000000000AB +:04B39F0000000000AA +:04B3A00000000000A9 +:04B3A10000000000A8 +:04B3A20000000000A7 +:04B3A30000000000A6 +:04B3A40000000000A5 +:04B3A50000000000A4 +:04B3A60000000000A3 +:04B3A70000000000A2 +:04B3A80000000000A1 +:04B3A90000000000A0 +:04B3AA00000000009F +:04B3AB00000000009E +:04B3AC00000000009D +:04B3AD00000000009C +:04B3AE00000000009B +:04B3AF00000000009A +:04B3B0000000000099 +:04B3B1000000000098 +:04B3B2000000000097 +:04B3B3000000000096 +:04B3B4000000000095 +:04B3B5000000000094 +:04B3B6000000000093 +:04B3B7000000000092 +:04B3B8000000000091 +:04B3B9000000000090 +:04B3BA00000000008F +:04B3BB00000000008E +:04B3BC00000000008D +:04B3BD00000000008C +:04B3BE00000000008B +:04B3BF00000000008A +:04B3C0000000000089 +:04B3C1000000000088 +:04B3C2000000000087 +:04B3C3000000000086 +:04B3C4000000000085 +:04B3C5000000000084 +:04B3C6000000000083 +:04B3C7000000000082 +:04B3C8000000000081 +:04B3C9000000000080 +:04B3CA00000000007F +:04B3CB00000000007E +:04B3CC00000000007D +:04B3CD00000000007C +:04B3CE00000000007B +:04B3CF00000000007A +:04B3D0000000000079 +:04B3D1000000000078 +:04B3D2000000000077 +:04B3D3000000000076 +:04B3D4000000000075 +:04B3D5000000000074 +:04B3D6000000000073 +:04B3D7000000000072 +:04B3D8000000000071 +:04B3D9000000000070 +:04B3DA00000000006F +:04B3DB00000000006E +:04B3DC00000000006D +:04B3DD00000000006C +:04B3DE00000000006B +:04B3DF00000000006A +:04B3E0000000000069 +:04B3E1000000000068 +:04B3E2000000000067 +:04B3E3000000000066 +:04B3E4000000000065 +:04B3E5000000000064 +:04B3E6000000000063 +:04B3E7000000000062 +:04B3E8000000000061 +:04B3E9000000000060 +:04B3EA00000000005F +:04B3EB00000000005E +:04B3EC00000000005D +:04B3ED00000000005C +:04B3EE00000000005B +:04B3EF00000000005A +:04B3F0000000000059 +:04B3F1000000000058 +:04B3F2000000000057 +:04B3F3000000000056 +:04B3F4000000000055 +:04B3F5000000000054 +:04B3F6000000000053 +:04B3F7000000000052 +:04B3F8000000000051 +:04B3F9000000000050 +:04B3FA00000000004F +:04B3FB00000000004E +:04B3FC00000000004D +:04B3FD00000000004C +:04B3FE00000000004B +:04B3FF00000000004A +:04B400000000000048 +:04B401000000000047 +:04B402000000000046 +:04B403000000000045 +:04B404000000000044 +:04B405000000000043 +:04B406000000000042 +:04B407000000000041 +:04B408000000000040 +:04B40900000000003F +:04B40A00000000003E +:04B40B00000000003D +:04B40C00000000003C +:04B40D00000000003B +:04B40E00000000003A +:04B40F000000000039 +:04B410000000000038 +:04B411000000000037 +:04B412000000000036 +:04B413000000000035 +:04B414000000000034 +:04B415000000000033 +:04B416000000000032 +:04B417000000000031 +:04B418000000000030 +:04B41900000000002F +:04B41A00000000002E +:04B41B00000000002D +:04B41C00000000002C +:04B41D00000000002B +:04B41E00000000002A +:04B41F000000000029 +:04B420000000000028 +:04B421000000000027 +:04B422000000000026 +:04B423000000000025 +:04B424000000000024 +:04B425000000000023 +:04B426000000000022 +:04B427000000000021 +:04B428000000000020 +:04B42900000000001F +:04B42A00000000001E +:04B42B00000000001D +:04B42C00000000001C +:04B42D00000000001B +:04B42E00000000001A +:04B42F000000000019 +:04B430000000000018 +:04B431000000000017 +:04B432000000000016 +:04B433000000000015 +:04B434000000000014 +:04B435000000000013 +:04B436000000000012 +:04B437000000000011 +:04B438000000000010 +:04B43900000000000F +:04B43A00000000000E +:04B43B00000000000D +:04B43C00000000000C +:04B43D00000000000B +:04B43E00000000000A +:04B43F000000000009 +:04B440000000000008 +:04B441000000000007 +:04B442000000000006 +:04B443000000000005 +:04B444000000000004 +:04B445000000000003 +:04B446000000000002 +:04B447000000000001 +:04B448000000000000 +:04B4490000000000FF +:04B44A0000000000FE +:04B44B0000000000FD +:04B44C0000000000FC +:04B44D0000000000FB +:04B44E0000000000FA +:04B44F0000000000F9 +:04B4500000000000F8 +:04B4510000000000F7 +:04B4520000000000F6 +:04B4530000000000F5 +:04B4540000000000F4 +:04B4550000000000F3 +:04B4560000000000F2 +:04B4570000000000F1 +:04B4580000000000F0 +:04B4590000000000EF +:04B45A0000000000EE +:04B45B0000000000ED +:04B45C0000000000EC +:04B45D0000000000EB +:04B45E0000000000EA +:04B45F0000000000E9 +:04B4600000000000E8 +:04B4610000000000E7 +:04B4620000000000E6 +:04B4630000000000E5 +:04B4640000000000E4 +:04B4650000000000E3 +:04B4660000000000E2 +:04B4670000000000E1 +:04B4680000000000E0 +:04B4690000000000DF +:04B46A0000000000DE +:04B46B0000000000DD +:04B46C0000000000DC +:04B46D0000000000DB +:04B46E0000000000DA +:04B46F0000000000D9 +:04B4700000000000D8 +:04B4710000000000D7 +:04B4720000000000D6 +:04B4730000000000D5 +:04B4740000000000D4 +:04B4750000000000D3 +:04B4760000000000D2 +:04B4770000000000D1 +:04B4780000000000D0 +:04B4790000000000CF +:04B47A0000000000CE +:04B47B0000000000CD +:04B47C0000000000CC +:04B47D0000000000CB +:04B47E0000000000CA +:04B47F0000000000C9 +:04B4800000000000C8 +:04B4810000000000C7 +:04B4820000000000C6 +:04B4830000000000C5 +:04B4840000000000C4 +:04B4850000000000C3 +:04B4860000000000C2 +:04B4870000000000C1 +:04B4880000000000C0 +:04B4890000000000BF +:04B48A0000000000BE +:04B48B0000000000BD +:04B48C0000000000BC +:04B48D0000000000BB +:04B48E0000000000BA +:04B48F0000000000B9 +:04B4900000000000B8 +:04B4910000000000B7 +:04B4920000000000B6 +:04B4930000000000B5 +:04B4940000000000B4 +:04B4950000000000B3 +:04B4960000000000B2 +:04B4970000000000B1 +:04B4980000000000B0 +:04B4990000000000AF +:04B49A0000000000AE +:04B49B0000000000AD +:04B49C0000000000AC +:04B49D0000000000AB +:04B49E0000000000AA +:04B49F0000000000A9 +:04B4A00000000000A8 +:04B4A10000000000A7 +:04B4A20000000000A6 +:04B4A30000000000A5 +:04B4A40000000000A4 +:04B4A50000000000A3 +:04B4A60000000000A2 +:04B4A70000000000A1 +:04B4A80000000000A0 +:04B4A900000000009F +:04B4AA00000000009E +:04B4AB00000000009D +:04B4AC00000000009C +:04B4AD00000000009B +:04B4AE00000000009A +:04B4AF000000000099 +:04B4B0000000000098 +:04B4B1000000000097 +:04B4B2000000000096 +:04B4B3000000000095 +:04B4B4000000000094 +:04B4B5000000000093 +:04B4B6000000000092 +:04B4B7000000000091 +:04B4B8000000000090 +:04B4B900000000008F +:04B4BA00000000008E +:04B4BB00000000008D +:04B4BC00000000008C +:04B4BD00000000008B +:04B4BE00000000008A +:04B4BF000000000089 +:04B4C0000000000088 +:04B4C1000000000087 +:04B4C2000000000086 +:04B4C3000000000085 +:04B4C4000000000084 +:04B4C5000000000083 +:04B4C6000000000082 +:04B4C7000000000081 +:04B4C8000000000080 +:04B4C900000000007F +:04B4CA00000000007E +:04B4CB00000000007D +:04B4CC00000000007C +:04B4CD00000000007B +:04B4CE00000000007A +:04B4CF000000000079 +:04B4D0000000000078 +:04B4D1000000000077 +:04B4D2000000000076 +:04B4D3000000000075 +:04B4D4000000000074 +:04B4D5000000000073 +:04B4D6000000000072 +:04B4D7000000000071 +:04B4D8000000000070 +:04B4D900000000006F +:04B4DA00000000006E +:04B4DB00000000006D +:04B4DC00000000006C +:04B4DD00000000006B +:04B4DE00000000006A +:04B4DF000000000069 +:04B4E0000000000068 +:04B4E1000000000067 +:04B4E2000000000066 +:04B4E3000000000065 +:04B4E4000000000064 +:04B4E5000000000063 +:04B4E6000000000062 +:04B4E7000000000061 +:04B4E8000000000060 +:04B4E900000000005F +:04B4EA00000000005E +:04B4EB00000000005D +:04B4EC00000000005C +:04B4ED00000000005B +:04B4EE00000000005A +:04B4EF000000000059 +:04B4F0000000000058 +:04B4F1000000000057 +:04B4F2000000000056 +:04B4F3000000000055 +:04B4F4000000000054 +:04B4F5000000000053 +:04B4F6000000000052 +:04B4F7000000000051 +:04B4F8000000000050 +:04B4F900000000004F +:04B4FA00000000004E +:04B4FB00000000004D +:04B4FC00000000004C +:04B4FD00000000004B +:04B4FE00000000004A +:04B4FF000000000049 +:04B500000000000047 +:04B501000000000046 +:04B502000000000045 +:04B503000000000044 +:04B504000000000043 +:04B505000000000042 +:04B506000000000041 +:04B507000000000040 +:04B50800000000003F +:04B50900000000003E +:04B50A00000000003D +:04B50B00000000003C +:04B50C00000000003B +:04B50D00000000003A +:04B50E000000000039 +:04B50F000000000038 +:04B510000000000037 +:04B511000000000036 +:04B512000000000035 +:04B513000000000034 +:04B514000000000033 +:04B515000000000032 +:04B516000000000031 +:04B517000000000030 +:04B51800000000002F +:04B51900000000002E +:04B51A00000000002D +:04B51B00000000002C +:04B51C00000000002B +:04B51D00000000002A +:04B51E000000000029 +:04B51F000000000028 +:04B520000000000027 +:04B521000000000026 +:04B522000000000025 +:04B523000000000024 +:04B524000000000023 +:04B525000000000022 +:04B526000000000021 +:04B527000000000020 +:04B52800000000001F +:04B52900000000001E +:04B52A00000000001D +:04B52B00000000001C +:04B52C00000000001B +:04B52D00000000001A +:04B52E000000000019 +:04B52F000000000018 +:04B530000000000017 +:04B531000000000016 +:04B532000000000015 +:04B533000000000014 +:04B534000000000013 +:04B535000000000012 +:04B536000000000011 +:04B537000000000010 +:04B53800000000000F +:04B53900000000000E +:04B53A00000000000D +:04B53B00000000000C +:04B53C00000000000B +:04B53D00000000000A +:04B53E000000000009 +:04B53F000000000008 +:04B540000000000007 +:04B541000000000006 +:04B542000000000005 +:04B543000000000004 +:04B544000000000003 +:04B545000000000002 +:04B546000000000001 +:04B547000000000000 +:04B5480000000000FF +:04B5490000000000FE +:04B54A0000000000FD +:04B54B0000000000FC +:04B54C0000000000FB +:04B54D0000000000FA +:04B54E0000000000F9 +:04B54F0000000000F8 +:04B5500000000000F7 +:04B5510000000000F6 +:04B5520000000000F5 +:04B5530000000000F4 +:04B5540000000000F3 +:04B5550000000000F2 +:04B5560000000000F1 +:04B5570000000000F0 +:04B5580000000000EF +:04B5590000000000EE +:04B55A0000000000ED +:04B55B0000000000EC +:04B55C0000000000EB +:04B55D0000000000EA +:04B55E0000000000E9 +:04B55F0000000000E8 +:04B5600000000000E7 +:04B5610000000000E6 +:04B5620000000000E5 +:04B5630000000000E4 +:04B5640000000000E3 +:04B5650000000000E2 +:04B5660000000000E1 +:04B5670000000000E0 +:04B5680000000000DF +:04B5690000000000DE +:04B56A0000000000DD +:04B56B0000000000DC +:04B56C0000000000DB +:04B56D0000000000DA +:04B56E0000000000D9 +:04B56F0000000000D8 +:04B5700000000000D7 +:04B5710000000000D6 +:04B5720000000000D5 +:04B5730000000000D4 +:04B5740000000000D3 +:04B5750000000000D2 +:04B5760000000000D1 +:04B5770000000000D0 +:04B5780000000000CF +:04B5790000000000CE +:04B57A0000000000CD +:04B57B0000000000CC +:04B57C0000000000CB +:04B57D0000000000CA +:04B57E0000000000C9 +:04B57F0000000000C8 +:04B5800000000000C7 +:04B5810000000000C6 +:04B5820000000000C5 +:04B5830000000000C4 +:04B5840000000000C3 +:04B5850000000000C2 +:04B5860000000000C1 +:04B5870000000000C0 +:04B5880000000000BF +:04B5890000000000BE +:04B58A0000000000BD +:04B58B0000000000BC +:04B58C0000000000BB +:04B58D0000000000BA +:04B58E0000000000B9 +:04B58F0000000000B8 +:04B5900000000000B7 +:04B5910000000000B6 +:04B5920000000000B5 +:04B5930000000000B4 +:04B5940000000000B3 +:04B5950000000000B2 +:04B5960000000000B1 +:04B5970000000000B0 +:04B5980000000000AF +:04B5990000000000AE +:04B59A0000000000AD +:04B59B0000000000AC +:04B59C0000000000AB +:04B59D0000000000AA +:04B59E0000000000A9 +:04B59F0000000000A8 +:04B5A00000000000A7 +:04B5A10000000000A6 +:04B5A20000000000A5 +:04B5A30000000000A4 +:04B5A40000000000A3 +:04B5A50000000000A2 +:04B5A60000000000A1 +:04B5A70000000000A0 +:04B5A800000000009F +:04B5A900000000009E +:04B5AA00000000009D +:04B5AB00000000009C +:04B5AC00000000009B +:04B5AD00000000009A +:04B5AE000000000099 +:04B5AF000000000098 +:04B5B0000000000097 +:04B5B1000000000096 +:04B5B2000000000095 +:04B5B3000000000094 +:04B5B4000000000093 +:04B5B5000000000092 +:04B5B6000000000091 +:04B5B7000000000090 +:04B5B800000000008F +:04B5B900000000008E +:04B5BA00000000008D +:04B5BB00000000008C +:04B5BC00000000008B +:04B5BD00000000008A +:04B5BE000000000089 +:04B5BF000000000088 +:04B5C0000000000087 +:04B5C1000000000086 +:04B5C2000000000085 +:04B5C3000000000084 +:04B5C4000000000083 +:04B5C5000000000082 +:04B5C6000000000081 +:04B5C7000000000080 +:04B5C800000000007F +:04B5C900000000007E +:04B5CA00000000007D +:04B5CB00000000007C +:04B5CC00000000007B +:04B5CD00000000007A +:04B5CE000000000079 +:04B5CF000000000078 +:04B5D0000000000077 +:04B5D1000000000076 +:04B5D2000000000075 +:04B5D3000000000074 +:04B5D4000000000073 +:04B5D5000000000072 +:04B5D6000000000071 +:04B5D7000000000070 +:04B5D800000000006F +:04B5D900000000006E +:04B5DA00000000006D +:04B5DB00000000006C +:04B5DC00000000006B +:04B5DD00000000006A +:04B5DE000000000069 +:04B5DF000000000068 +:04B5E0000000000067 +:04B5E1000000000066 +:04B5E2000000000065 +:04B5E3000000000064 +:04B5E4000000000063 +:04B5E5000000000062 +:04B5E6000000000061 +:04B5E7000000000060 +:04B5E800000000005F +:04B5E900000000005E +:04B5EA00000000005D +:04B5EB00000000005C +:04B5EC00000000005B +:04B5ED00000000005A +:04B5EE000000000059 +:04B5EF000000000058 +:04B5F0000000000057 +:04B5F1000000000056 +:04B5F2000000000055 +:04B5F3000000000054 +:04B5F4000000000053 +:04B5F5000000000052 +:04B5F6000000000051 +:04B5F7000000000050 +:04B5F800000000004F +:04B5F900000000004E +:04B5FA00000000004D +:04B5FB00000000004C +:04B5FC00000000004B +:04B5FD00000000004A +:04B5FE000000000049 +:04B5FF000000000048 +:04B600000000000046 +:04B601000000000045 +:04B602000000000044 +:04B603000000000043 +:04B604000000000042 +:04B605000000000041 +:04B606000000000040 +:04B60700000000003F +:04B60800000000003E +:04B60900000000003D +:04B60A00000000003C +:04B60B00000000003B +:04B60C00000000003A +:04B60D000000000039 +:04B60E000000000038 +:04B60F000000000037 +:04B610000000000036 +:04B611000000000035 +:04B612000000000034 +:04B613000000000033 +:04B614000000000032 +:04B615000000000031 +:04B616000000000030 +:04B61700000000002F +:04B61800000000002E +:04B61900000000002D +:04B61A00000000002C +:04B61B00000000002B +:04B61C00000000002A +:04B61D000000000029 +:04B61E000000000028 +:04B61F000000000027 +:04B620000000000026 +:04B621000000000025 +:04B622000000000024 +:04B623000000000023 +:04B624000000000022 +:04B625000000000021 +:04B626000000000020 +:04B62700000000001F +:04B62800000000001E +:04B62900000000001D +:04B62A00000000001C +:04B62B00000000001B +:04B62C00000000001A +:04B62D000000000019 +:04B62E000000000018 +:04B62F000000000017 +:04B630000000000016 +:04B631000000000015 +:04B632000000000014 +:04B633000000000013 +:04B634000000000012 +:04B635000000000011 +:04B636000000000010 +:04B63700000000000F +:04B63800000000000E +:04B63900000000000D +:04B63A00000000000C +:04B63B00000000000B +:04B63C00000000000A +:04B63D000000000009 +:04B63E000000000008 +:04B63F000000000007 +:04B640000000000006 +:04B641000000000005 +:04B642000000000004 +:04B643000000000003 +:04B644000000000002 +:04B645000000000001 +:04B646000000000000 +:04B6470000000000FF +:04B6480000000000FE +:04B6490000000000FD +:04B64A0000000000FC +:04B64B0000000000FB +:04B64C0000000000FA +:04B64D0000000000F9 +:04B64E0000000000F8 +:04B64F0000000000F7 +:04B6500000000000F6 +:04B6510000000000F5 +:04B6520000000000F4 +:04B6530000000000F3 +:04B6540000000000F2 +:04B6550000000000F1 +:04B6560000000000F0 +:04B6570000000000EF +:04B6580000000000EE +:04B6590000000000ED +:04B65A0000000000EC +:04B65B0000000000EB +:04B65C0000000000EA +:04B65D0000000000E9 +:04B65E0000000000E8 +:04B65F0000000000E7 +:04B6600000000000E6 +:04B6610000000000E5 +:04B6620000000000E4 +:04B6630000000000E3 +:04B6640000000000E2 +:04B6650000000000E1 +:04B6660000000000E0 +:04B6670000000000DF +:04B6680000000000DE +:04B6690000000000DD +:04B66A0000000000DC +:04B66B0000000000DB +:04B66C0000000000DA +:04B66D0000000000D9 +:04B66E0000000000D8 +:04B66F0000000000D7 +:04B6700000000000D6 +:04B6710000000000D5 +:04B6720000000000D4 +:04B6730000000000D3 +:04B6740000000000D2 +:04B6750000000000D1 +:04B6760000000000D0 +:04B6770000000000CF +:04B6780000000000CE +:04B6790000000000CD +:04B67A0000000000CC +:04B67B0000000000CB +:04B67C0000000000CA +:04B67D0000000000C9 +:04B67E0000000000C8 +:04B67F0000000000C7 +:04B6800000000000C6 +:04B6810000000000C5 +:04B6820000000000C4 +:04B6830000000000C3 +:04B6840000000000C2 +:04B6850000000000C1 +:04B6860000000000C0 +:04B6870000000000BF +:04B6880000000000BE +:04B6890000000000BD +:04B68A0000000000BC +:04B68B0000000000BB +:04B68C0000000000BA +:04B68D0000000000B9 +:04B68E0000000000B8 +:04B68F0000000000B7 +:04B6900000000000B6 +:04B6910000000000B5 +:04B6920000000000B4 +:04B6930000000000B3 +:04B6940000000000B2 +:04B6950000000000B1 +:04B6960000000000B0 +:04B6970000000000AF +:04B6980000000000AE +:04B6990000000000AD +:04B69A0000000000AC +:04B69B0000000000AB +:04B69C0000000000AA +:04B69D0000000000A9 +:04B69E0000000000A8 +:04B69F0000000000A7 +:04B6A00000000000A6 +:04B6A10000000000A5 +:04B6A20000000000A4 +:04B6A30000000000A3 +:04B6A40000000000A2 +:04B6A50000000000A1 +:04B6A60000000000A0 +:04B6A700000000009F +:04B6A800000000009E +:04B6A900000000009D +:04B6AA00000000009C +:04B6AB00000000009B +:04B6AC00000000009A +:04B6AD000000000099 +:04B6AE000000000098 +:04B6AF000000000097 +:04B6B0000000000096 +:04B6B1000000000095 +:04B6B2000000000094 +:04B6B3000000000093 +:04B6B4000000000092 +:04B6B5000000000091 +:04B6B6000000000090 +:04B6B700000000008F +:04B6B800000000008E +:04B6B900000000008D +:04B6BA00000000008C +:04B6BB00000000008B +:04B6BC00000000008A +:04B6BD000000000089 +:04B6BE000000000088 +:04B6BF000000000087 +:04B6C0000000000086 +:04B6C1000000000085 +:04B6C2000000000084 +:04B6C3000000000083 +:04B6C4000000000082 +:04B6C5000000000081 +:04B6C6000000000080 +:04B6C700000000007F +:04B6C800000000007E +:04B6C900000000007D +:04B6CA00000000007C +:04B6CB00000000007B +:04B6CC00000000007A +:04B6CD000000000079 +:04B6CE000000000078 +:04B6CF000000000077 +:04B6D0000000000076 +:04B6D1000000000075 +:04B6D2000000000074 +:04B6D3000000000073 +:04B6D4000000000072 +:04B6D5000000000071 +:04B6D6000000000070 +:04B6D700000000006F +:04B6D800000000006E +:04B6D900000000006D +:04B6DA00000000006C +:04B6DB00000000006B +:04B6DC00000000006A +:04B6DD000000000069 +:04B6DE000000000068 +:04B6DF000000000067 +:04B6E0000000000066 +:04B6E1000000000065 +:04B6E2000000000064 +:04B6E3000000000063 +:04B6E4000000000062 +:04B6E5000000000061 +:04B6E6000000000060 +:04B6E700000000005F +:04B6E800000000005E +:04B6E900000000005D +:04B6EA00000000005C +:04B6EB00000000005B +:04B6EC00000000005A +:04B6ED000000000059 +:04B6EE000000000058 +:04B6EF000000000057 +:04B6F0000000000056 +:04B6F1000000000055 +:04B6F2000000000054 +:04B6F3000000000053 +:04B6F4000000000052 +:04B6F5000000000051 +:04B6F6000000000050 +:04B6F700000000004F +:04B6F800000000004E +:04B6F900000000004D +:04B6FA00000000004C +:04B6FB00000000004B +:04B6FC00000000004A +:04B6FD000000000049 +:04B6FE000000000048 +:04B6FF000000000047 +:04B700000000000045 +:04B701000000000044 +:04B702000000000043 +:04B703000000000042 +:04B704000000000041 +:04B705000000000040 +:04B70600000000003F +:04B70700000000003E +:04B70800000000003D +:04B70900000000003C +:04B70A00000000003B +:04B70B00000000003A +:04B70C000000000039 +:04B70D000000000038 +:04B70E000000000037 +:04B70F000000000036 +:04B710000000000035 +:04B711000000000034 +:04B712000000000033 +:04B713000000000032 +:04B714000000000031 +:04B715000000000030 +:04B71600000000002F +:04B71700000000002E +:04B71800000000002D +:04B71900000000002C +:04B71A00000000002B +:04B71B00000000002A +:04B71C000000000029 +:04B71D000000000028 +:04B71E000000000027 +:04B71F000000000026 +:04B720000000000025 +:04B721000000000024 +:04B722000000000023 +:04B723000000000022 +:04B724000000000021 +:04B725000000000020 +:04B72600000000001F +:04B72700000000001E +:04B72800000000001D +:04B72900000000001C +:04B72A00000000001B +:04B72B00000000001A +:04B72C000000000019 +:04B72D000000000018 +:04B72E000000000017 +:04B72F000000000016 +:04B730000000000015 +:04B731000000000014 +:04B732000000000013 +:04B733000000000012 +:04B734000000000011 +:04B735000000000010 +:04B73600000000000F +:04B73700000000000E +:04B73800000000000D +:04B73900000000000C +:04B73A00000000000B +:04B73B00000000000A +:04B73C000000000009 +:04B73D000000000008 +:04B73E000000000007 +:04B73F000000000006 +:04B740000000000005 +:04B741000000000004 +:04B742000000000003 +:04B743000000000002 +:04B744000000000001 +:04B745000000000000 +:04B7460000000000FF +:04B7470000000000FE +:04B7480000000000FD +:04B7490000000000FC +:04B74A0000000000FB +:04B74B0000000000FA +:04B74C0000000000F9 +:04B74D0000000000F8 +:04B74E0000000000F7 +:04B74F0000000000F6 +:04B7500000000000F5 +:04B7510000000000F4 +:04B7520000000000F3 +:04B7530000000000F2 +:04B7540000000000F1 +:04B7550000000000F0 +:04B7560000000000EF +:04B7570000000000EE +:04B7580000000000ED +:04B7590000000000EC +:04B75A0000000000EB +:04B75B0000000000EA +:04B75C0000000000E9 +:04B75D0000000000E8 +:04B75E0000000000E7 +:04B75F0000000000E6 +:04B7600000000000E5 +:04B7610000000000E4 +:04B7620000000000E3 +:04B7630000000000E2 +:04B7640000000000E1 +:04B7650000000000E0 +:04B7660000000000DF +:04B7670000000000DE +:04B7680000000000DD +:04B7690000000000DC +:04B76A0000000000DB +:04B76B0000000000DA +:04B76C0000000000D9 +:04B76D0000000000D8 +:04B76E0000000000D7 +:04B76F0000000000D6 +:04B7700000000000D5 +:04B7710000000000D4 +:04B7720000000000D3 +:04B7730000000000D2 +:04B7740000000000D1 +:04B7750000000000D0 +:04B7760000000000CF +:04B7770000000000CE +:04B7780000000000CD +:04B7790000000000CC +:04B77A0000000000CB +:04B77B0000000000CA +:04B77C0000000000C9 +:04B77D0000000000C8 +:04B77E0000000000C7 +:04B77F0000000000C6 +:04B7800000000000C5 +:04B7810000000000C4 +:04B7820000000000C3 +:04B7830000000000C2 +:04B7840000000000C1 +:04B7850000000000C0 +:04B7860000000000BF +:04B7870000000000BE +:04B7880000000000BD +:04B7890000000000BC +:04B78A0000000000BB +:04B78B0000000000BA +:04B78C0000000000B9 +:04B78D0000000000B8 +:04B78E0000000000B7 +:04B78F0000000000B6 +:04B7900000000000B5 +:04B7910000000000B4 +:04B7920000000000B3 +:04B7930000000000B2 +:04B7940000000000B1 +:04B7950000000000B0 +:04B7960000000000AF +:04B7970000000000AE +:04B7980000000000AD +:04B7990000000000AC +:04B79A0000000000AB +:04B79B0000000000AA +:04B79C0000000000A9 +:04B79D0000000000A8 +:04B79E0000000000A7 +:04B79F0000000000A6 +:04B7A00000000000A5 +:04B7A10000000000A4 +:04B7A20000000000A3 +:04B7A30000000000A2 +:04B7A40000000000A1 +:04B7A50000000000A0 +:04B7A600000000009F +:04B7A700000000009E +:04B7A800000000009D +:04B7A900000000009C +:04B7AA00000000009B +:04B7AB00000000009A +:04B7AC000000000099 +:04B7AD000000000098 +:04B7AE000000000097 +:04B7AF000000000096 +:04B7B0000000000095 +:04B7B1000000000094 +:04B7B2000000000093 +:04B7B3000000000092 +:04B7B4000000000091 +:04B7B5000000000090 +:04B7B600000000008F +:04B7B700000000008E +:04B7B800000000008D +:04B7B900000000008C +:04B7BA00000000008B +:04B7BB00000000008A +:04B7BC000000000089 +:04B7BD000000000088 +:04B7BE000000000087 +:04B7BF000000000086 +:04B7C0000000000085 +:04B7C1000000000084 +:04B7C2000000000083 +:04B7C3000000000082 +:04B7C4000000000081 +:04B7C5000000000080 +:04B7C600000000007F +:04B7C700000000007E +:04B7C800000000007D +:04B7C900000000007C +:04B7CA00000000007B +:04B7CB00000000007A +:04B7CC000000000079 +:04B7CD000000000078 +:04B7CE000000000077 +:04B7CF000000000076 +:04B7D0000000000075 +:04B7D1000000000074 +:04B7D2000000000073 +:04B7D3000000000072 +:04B7D4000000000071 +:04B7D5000000000070 +:04B7D600000000006F +:04B7D700000000006E +:04B7D800000000006D +:04B7D900000000006C +:04B7DA00000000006B +:04B7DB00000000006A +:04B7DC000000000069 +:04B7DD000000000068 +:04B7DE000000000067 +:04B7DF000000000066 +:04B7E0000000000065 +:04B7E1000000000064 +:04B7E2000000000063 +:04B7E3000000000062 +:04B7E4000000000061 +:04B7E5000000000060 +:04B7E600000000005F +:04B7E700000000005E +:04B7E800000000005D +:04B7E900000000005C +:04B7EA00000000005B +:04B7EB00000000005A +:04B7EC000000000059 +:04B7ED000000000058 +:04B7EE000000000057 +:04B7EF000000000056 +:04B7F0000000000055 +:04B7F1000000000054 +:04B7F2000000000053 +:04B7F3000000000052 +:04B7F4000000000051 +:04B7F5000000000050 +:04B7F600000000004F +:04B7F700000000004E +:04B7F800000000004D +:04B7F900000000004C +:04B7FA00000000004B +:04B7FB00000000004A +:04B7FC000000000049 +:04B7FD000000000048 +:04B7FE000000000047 +:04B7FF000000000046 +:04B800000000000044 +:04B801000000000043 +:04B802000000000042 +:04B803000000000041 +:04B804000000000040 +:04B80500000000003F +:04B80600000000003E +:04B80700000000003D +:04B80800000000003C +:04B80900000000003B +:04B80A00000000003A +:04B80B000000000039 +:04B80C000000000038 +:04B80D000000000037 +:04B80E000000000036 +:04B80F000000000035 +:04B810000000000034 +:04B811000000000033 +:04B812000000000032 +:04B813000000000031 +:04B814000000000030 +:04B81500000000002F +:04B81600000000002E +:04B81700000000002D +:04B81800000000002C +:04B81900000000002B +:04B81A00000000002A +:04B81B000000000029 +:04B81C000000000028 +:04B81D000000000027 +:04B81E000000000026 +:04B81F000000000025 +:04B820000000000024 +:04B821000000000023 +:04B822000000000022 +:04B823000000000021 +:04B824000000000020 +:04B82500000000001F +:04B82600000000001E +:04B82700000000001D +:04B82800000000001C +:04B82900000000001B +:04B82A00000000001A +:04B82B000000000019 +:04B82C000000000018 +:04B82D000000000017 +:04B82E000000000016 +:04B82F000000000015 +:04B830000000000014 +:04B831000000000013 +:04B832000000000012 +:04B833000000000011 +:04B834000000000010 +:04B83500000000000F +:04B83600000000000E +:04B83700000000000D +:04B83800000000000C +:04B83900000000000B +:04B83A00000000000A +:04B83B000000000009 +:04B83C000000000008 +:04B83D000000000007 +:04B83E000000000006 +:04B83F000000000005 +:04B840000000000004 +:04B841000000000003 +:04B842000000000002 +:04B843000000000001 +:04B844000000000000 +:04B8450000000000FF +:04B8460000000000FE +:04B8470000000000FD +:04B8480000000000FC +:04B8490000000000FB +:04B84A0000000000FA +:04B84B0000000000F9 +:04B84C0000000000F8 +:04B84D0000000000F7 +:04B84E0000000000F6 +:04B84F0000000000F5 +:04B8500000000000F4 +:04B8510000000000F3 +:04B8520000000000F2 +:04B8530000000000F1 +:04B8540000000000F0 +:04B8550000000000EF +:04B8560000000000EE +:04B8570000000000ED +:04B8580000000000EC +:04B8590000000000EB +:04B85A0000000000EA +:04B85B0000000000E9 +:04B85C0000000000E8 +:04B85D0000000000E7 +:04B85E0000000000E6 +:04B85F0000000000E5 +:04B8600000000000E4 +:04B8610000000000E3 +:04B8620000000000E2 +:04B8630000000000E1 +:04B8640000000000E0 +:04B8650000000000DF +:04B8660000000000DE +:04B8670000000000DD +:04B8680000000000DC +:04B8690000000000DB +:04B86A0000000000DA +:04B86B0000000000D9 +:04B86C0000000000D8 +:04B86D0000000000D7 +:04B86E0000000000D6 +:04B86F0000000000D5 +:04B8700000000000D4 +:04B8710000000000D3 +:04B8720000000000D2 +:04B8730000000000D1 +:04B8740000000000D0 +:04B8750000000000CF +:04B8760000000000CE +:04B8770000000000CD +:04B8780000000000CC +:04B8790000000000CB +:04B87A0000000000CA +:04B87B0000000000C9 +:04B87C0000000000C8 +:04B87D0000000000C7 +:04B87E0000000000C6 +:04B87F0000000000C5 +:04B8800000000000C4 +:04B8810000000000C3 +:04B8820000000000C2 +:04B8830000000000C1 +:04B8840000000000C0 +:04B8850000000000BF +:04B8860000000000BE +:04B8870000000000BD +:04B8880000000000BC +:04B8890000000000BB +:04B88A0000000000BA +:04B88B0000000000B9 +:04B88C0000000000B8 +:04B88D0000000000B7 +:04B88E0000000000B6 +:04B88F0000000000B5 +:04B8900000000000B4 +:04B8910000000000B3 +:04B8920000000000B2 +:04B8930000000000B1 +:04B8940000000000B0 +:04B8950000000000AF +:04B8960000000000AE +:04B8970000000000AD +:04B8980000000000AC +:04B8990000000000AB +:04B89A0000000000AA +:04B89B0000000000A9 +:04B89C0000000000A8 +:04B89D0000000000A7 +:04B89E0000000000A6 +:04B89F0000000000A5 +:04B8A00000000000A4 +:04B8A10000000000A3 +:04B8A20000000000A2 +:04B8A30000000000A1 +:04B8A40000000000A0 +:04B8A500000000009F +:04B8A600000000009E +:04B8A700000000009D +:04B8A800000000009C +:04B8A900000000009B +:04B8AA00000000009A +:04B8AB000000000099 +:04B8AC000000000098 +:04B8AD000000000097 +:04B8AE000000000096 +:04B8AF000000000095 +:04B8B0000000000094 +:04B8B1000000000093 +:04B8B2000000000092 +:04B8B3000000000091 +:04B8B4000000000090 +:04B8B500000000008F +:04B8B600000000008E +:04B8B700000000008D +:04B8B800000000008C +:04B8B900000000008B +:04B8BA00000000008A +:04B8BB000000000089 +:04B8BC000000000088 +:04B8BD000000000087 +:04B8BE000000000086 +:04B8BF000000000085 +:04B8C0000000000084 +:04B8C1000000000083 +:04B8C2000000000082 +:04B8C3000000000081 +:04B8C4000000000080 +:04B8C500000000007F +:04B8C600000000007E +:04B8C700000000007D +:04B8C800000000007C +:04B8C900000000007B +:04B8CA00000000007A +:04B8CB000000000079 +:04B8CC000000000078 +:04B8CD000000000077 +:04B8CE000000000076 +:04B8CF000000000075 +:04B8D0000000000074 +:04B8D1000000000073 +:04B8D2000000000072 +:04B8D3000000000071 +:04B8D4000000000070 +:04B8D500000000006F +:04B8D600000000006E +:04B8D700000000006D +:04B8D800000000006C +:04B8D900000000006B +:04B8DA00000000006A +:04B8DB000000000069 +:04B8DC000000000068 +:04B8DD000000000067 +:04B8DE000000000066 +:04B8DF000000000065 +:04B8E0000000000064 +:04B8E1000000000063 +:04B8E2000000000062 +:04B8E3000000000061 +:04B8E4000000000060 +:04B8E500000000005F +:04B8E600000000005E +:04B8E700000000005D +:04B8E800000000005C +:04B8E900000000005B +:04B8EA00000000005A +:04B8EB000000000059 +:04B8EC000000000058 +:04B8ED000000000057 +:04B8EE000000000056 +:04B8EF000000000055 +:04B8F0000000000054 +:04B8F1000000000053 +:04B8F2000000000052 +:04B8F3000000000051 +:04B8F4000000000050 +:04B8F500000000004F +:04B8F600000000004E +:04B8F700000000004D +:04B8F800000000004C +:04B8F900000000004B +:04B8FA00000000004A +:04B8FB000000000049 +:04B8FC000000000048 +:04B8FD000000000047 +:04B8FE000000000046 +:04B8FF000000000045 +:04B900000000000043 +:04B901000000000042 +:04B902000000000041 +:04B903000000000040 +:04B90400000000003F +:04B90500000000003E +:04B90600000000003D +:04B90700000000003C +:04B90800000000003B +:04B90900000000003A +:04B90A000000000039 +:04B90B000000000038 +:04B90C000000000037 +:04B90D000000000036 +:04B90E000000000035 +:04B90F000000000034 +:04B910000000000033 +:04B911000000000032 +:04B912000000000031 +:04B913000000000030 +:04B91400000000002F +:04B91500000000002E +:04B91600000000002D +:04B91700000000002C +:04B91800000000002B +:04B91900000000002A +:04B91A000000000029 +:04B91B000000000028 +:04B91C000000000027 +:04B91D000000000026 +:04B91E000000000025 +:04B91F000000000024 +:04B920000000000023 +:04B921000000000022 +:04B922000000000021 +:04B923000000000020 +:04B92400000000001F +:04B92500000000001E +:04B92600000000001D +:04B92700000000001C +:04B92800000000001B +:04B92900000000001A +:04B92A000000000019 +:04B92B000000000018 +:04B92C000000000017 +:04B92D000000000016 +:04B92E000000000015 +:04B92F000000000014 +:04B930000000000013 +:04B931000000000012 +:04B932000000000011 +:04B933000000000010 +:04B93400000000000F +:04B93500000000000E +:04B93600000000000D +:04B93700000000000C +:04B93800000000000B +:04B93900000000000A +:04B93A000000000009 +:04B93B000000000008 +:04B93C000000000007 +:04B93D000000000006 +:04B93E000000000005 +:04B93F000000000004 +:04B940000000000003 +:04B941000000000002 +:04B942000000000001 +:04B943000000000000 +:04B9440000000000FF +:04B9450000000000FE +:04B9460000000000FD +:04B9470000000000FC +:04B9480000000000FB +:04B9490000000000FA +:04B94A0000000000F9 +:04B94B0000000000F8 +:04B94C0000000000F7 +:04B94D0000000000F6 +:04B94E0000000000F5 +:04B94F0000000000F4 +:04B9500000000000F3 +:04B9510000000000F2 +:04B9520000000000F1 +:04B9530000000000F0 +:04B9540000000000EF +:04B9550000000000EE +:04B9560000000000ED +:04B9570000000000EC +:04B9580000000000EB +:04B9590000000000EA +:04B95A0000000000E9 +:04B95B0000000000E8 +:04B95C0000000000E7 +:04B95D0000000000E6 +:04B95E0000000000E5 +:04B95F0000000000E4 +:04B9600000000000E3 +:04B9610000000000E2 +:04B9620000000000E1 +:04B9630000000000E0 +:04B9640000000000DF +:04B9650000000000DE +:04B9660000000000DD +:04B9670000000000DC +:04B9680000000000DB +:04B9690000000000DA +:04B96A0000000000D9 +:04B96B0000000000D8 +:04B96C0000000000D7 +:04B96D0000000000D6 +:04B96E0000000000D5 +:04B96F0000000000D4 +:04B9700000000000D3 +:04B9710000000000D2 +:04B9720000000000D1 +:04B9730000000000D0 +:04B9740000000000CF +:04B9750000000000CE +:04B9760000000000CD +:04B9770000000000CC +:04B9780000000000CB +:04B9790000000000CA +:04B97A0000000000C9 +:04B97B0000000000C8 +:04B97C0000000000C7 +:04B97D0000000000C6 +:04B97E0000000000C5 +:04B97F0000000000C4 +:04B9800000000000C3 +:04B9810000000000C2 +:04B9820000000000C1 +:04B9830000000000C0 +:04B9840000000000BF +:04B9850000000000BE +:04B9860000000000BD +:04B9870000000000BC +:04B9880000000000BB +:04B9890000000000BA +:04B98A0000000000B9 +:04B98B0000000000B8 +:04B98C0000000000B7 +:04B98D0000000000B6 +:04B98E0000000000B5 +:04B98F0000000000B4 +:04B9900000000000B3 +:04B9910000000000B2 +:04B9920000000000B1 +:04B9930000000000B0 +:04B9940000000000AF +:04B9950000000000AE +:04B9960000000000AD +:04B9970000000000AC +:04B9980000000000AB +:04B9990000000000AA +:04B99A0000000000A9 +:04B99B0000000000A8 +:04B99C0000000000A7 +:04B99D0000000000A6 +:04B99E0000000000A5 +:04B99F0000000000A4 +:04B9A00000000000A3 +:04B9A10000000000A2 +:04B9A20000000000A1 +:04B9A30000000000A0 +:04B9A400000000009F +:04B9A500000000009E +:04B9A600000000009D +:04B9A700000000009C +:04B9A800000000009B +:04B9A900000000009A +:04B9AA000000000099 +:04B9AB000000000098 +:04B9AC000000000097 +:04B9AD000000000096 +:04B9AE000000000095 +:04B9AF000000000094 +:04B9B0000000000093 +:04B9B1000000000092 +:04B9B2000000000091 +:04B9B3000000000090 +:04B9B400000000008F +:04B9B500000000008E +:04B9B600000000008D +:04B9B700000000008C +:04B9B800000000008B +:04B9B900000000008A +:04B9BA000000000089 +:04B9BB000000000088 +:04B9BC000000000087 +:04B9BD000000000086 +:04B9BE000000000085 +:04B9BF000000000084 +:04B9C0000000000083 +:04B9C1000000000082 +:04B9C2000000000081 +:04B9C3000000000080 +:04B9C400000000007F +:04B9C500000000007E +:04B9C600000000007D +:04B9C700000000007C +:04B9C800000000007B +:04B9C900000000007A +:04B9CA000000000079 +:04B9CB000000000078 +:04B9CC000000000077 +:04B9CD000000000076 +:04B9CE000000000075 +:04B9CF000000000074 +:04B9D0000000000073 +:04B9D1000000000072 +:04B9D2000000000071 +:04B9D3000000000070 +:04B9D400000000006F +:04B9D500000000006E +:04B9D600000000006D +:04B9D700000000006C +:04B9D800000000006B +:04B9D900000000006A +:04B9DA000000000069 +:04B9DB000000000068 +:04B9DC000000000067 +:04B9DD000000000066 +:04B9DE000000000065 +:04B9DF000000000064 +:04B9E0000000000063 +:04B9E1000000000062 +:04B9E2000000000061 +:04B9E3000000000060 +:04B9E400000000005F +:04B9E500000000005E +:04B9E600000000005D +:04B9E700000000005C +:04B9E800000000005B +:04B9E900000000005A +:04B9EA000000000059 +:04B9EB000000000058 +:04B9EC000000000057 +:04B9ED000000000056 +:04B9EE000000000055 +:04B9EF000000000054 +:04B9F0000000000053 +:04B9F1000000000052 +:04B9F2000000000051 +:04B9F3000000000050 +:04B9F400000000004F +:04B9F500000000004E +:04B9F600000000004D +:04B9F700000000004C +:04B9F800000000004B +:04B9F900000000004A +:04B9FA000000000049 +:04B9FB000000000048 +:04B9FC000000000047 +:04B9FD000000000046 +:04B9FE000000000045 +:04B9FF000000000044 +:04BA00000000000042 +:04BA01000000000041 +:04BA02000000000040 +:04BA0300000000003F +:04BA0400000000003E +:04BA0500000000003D +:04BA0600000000003C +:04BA0700000000003B +:04BA0800000000003A +:04BA09000000000039 +:04BA0A000000000038 +:04BA0B000000000037 +:04BA0C000000000036 +:04BA0D000000000035 +:04BA0E000000000034 +:04BA0F000000000033 +:04BA10000000000032 +:04BA11000000000031 +:04BA12000000000030 +:04BA1300000000002F +:04BA1400000000002E +:04BA1500000000002D +:04BA1600000000002C +:04BA1700000000002B +:04BA1800000000002A +:04BA19000000000029 +:04BA1A000000000028 +:04BA1B000000000027 +:04BA1C000000000026 +:04BA1D000000000025 +:04BA1E000000000024 +:04BA1F000000000023 +:04BA20000000000022 +:04BA21000000000021 +:04BA22000000000020 +:04BA2300000000001F +:04BA2400000000001E +:04BA2500000000001D +:04BA2600000000001C +:04BA2700000000001B +:04BA2800000000001A +:04BA29000000000019 +:04BA2A000000000018 +:04BA2B000000000017 +:04BA2C000000000016 +:04BA2D000000000015 +:04BA2E000000000014 +:04BA2F000000000013 +:04BA30000000000012 +:04BA31000000000011 +:04BA32000000000010 +:04BA3300000000000F +:04BA3400000000000E +:04BA3500000000000D +:04BA3600000000000C +:04BA3700000000000B +:04BA3800000000000A +:04BA39000000000009 +:04BA3A000000000008 +:04BA3B000000000007 +:04BA3C000000000006 +:04BA3D000000000005 +:04BA3E000000000004 +:04BA3F000000000003 +:04BA40000000000002 +:04BA41000000000001 +:04BA42000000000000 +:04BA430000000000FF +:04BA440000000000FE +:04BA450000000000FD +:04BA460000000000FC +:04BA470000000000FB +:04BA480000000000FA +:04BA490000000000F9 +:04BA4A0000000000F8 +:04BA4B0000000000F7 +:04BA4C0000000000F6 +:04BA4D0000000000F5 +:04BA4E0000000000F4 +:04BA4F0000000000F3 +:04BA500000000000F2 +:04BA510000000000F1 +:04BA520000000000F0 +:04BA530000000000EF +:04BA540000000000EE +:04BA550000000000ED +:04BA560000000000EC +:04BA570000000000EB +:04BA580000000000EA +:04BA590000000000E9 +:04BA5A0000000000E8 +:04BA5B0000000000E7 +:04BA5C0000000000E6 +:04BA5D0000000000E5 +:04BA5E0000000000E4 +:04BA5F0000000000E3 +:04BA600000000000E2 +:04BA610000000000E1 +:04BA620000000000E0 +:04BA630000000000DF +:04BA640000000000DE +:04BA650000000000DD +:04BA660000000000DC +:04BA670000000000DB +:04BA680000000000DA +:04BA690000000000D9 +:04BA6A0000000000D8 +:04BA6B0000000000D7 +:04BA6C0000000000D6 +:04BA6D0000000000D5 +:04BA6E0000000000D4 +:04BA6F0000000000D3 +:04BA700000000000D2 +:04BA710000000000D1 +:04BA720000000000D0 +:04BA730000000000CF +:04BA740000000000CE +:04BA750000000000CD +:04BA760000000000CC +:04BA770000000000CB +:04BA780000000000CA +:04BA790000000000C9 +:04BA7A0000000000C8 +:04BA7B0000000000C7 +:04BA7C0000000000C6 +:04BA7D0000000000C5 +:04BA7E0000000000C4 +:04BA7F0000000000C3 +:04BA800000000000C2 +:04BA810000000000C1 +:04BA820000000000C0 +:04BA830000000000BF +:04BA840000000000BE +:04BA850000000000BD +:04BA860000000000BC +:04BA870000000000BB +:04BA880000000000BA +:04BA890000000000B9 +:04BA8A0000000000B8 +:04BA8B0000000000B7 +:04BA8C0000000000B6 +:04BA8D0000000000B5 +:04BA8E0000000000B4 +:04BA8F0000000000B3 +:04BA900000000000B2 +:04BA910000000000B1 +:04BA920000000000B0 +:04BA930000000000AF +:04BA940000000000AE +:04BA950000000000AD +:04BA960000000000AC +:04BA970000000000AB +:04BA980000000000AA +:04BA990000000000A9 +:04BA9A0000000000A8 +:04BA9B0000000000A7 +:04BA9C0000000000A6 +:04BA9D0000000000A5 +:04BA9E0000000000A4 +:04BA9F0000000000A3 +:04BAA00000000000A2 +:04BAA10000000000A1 +:04BAA20000000000A0 +:04BAA300000000009F +:04BAA400000000009E +:04BAA500000000009D +:04BAA600000000009C +:04BAA700000000009B +:04BAA800000000009A +:04BAA9000000000099 +:04BAAA000000000098 +:04BAAB000000000097 +:04BAAC000000000096 +:04BAAD000000000095 +:04BAAE000000000094 +:04BAAF000000000093 +:04BAB0000000000092 +:04BAB1000000000091 +:04BAB2000000000090 +:04BAB300000000008F +:04BAB400000000008E +:04BAB500000000008D +:04BAB600000000008C +:04BAB700000000008B +:04BAB800000000008A +:04BAB9000000000089 +:04BABA000000000088 +:04BABB000000000087 +:04BABC000000000086 +:04BABD000000000085 +:04BABE000000000084 +:04BABF000000000083 +:04BAC0000000000082 +:04BAC1000000000081 +:04BAC2000000000080 +:04BAC300000000007F +:04BAC400000000007E +:04BAC500000000007D +:04BAC600000000007C +:04BAC700000000007B +:04BAC800000000007A +:04BAC9000000000079 +:04BACA000000000078 +:04BACB000000000077 +:04BACC000000000076 +:04BACD000000000075 +:04BACE000000000074 +:04BACF000000000073 +:04BAD0000000000072 +:04BAD1000000000071 +:04BAD2000000000070 +:04BAD300000000006F +:04BAD400000000006E +:04BAD500000000006D +:04BAD600000000006C +:04BAD700000000006B +:04BAD800000000006A +:04BAD9000000000069 +:04BADA000000000068 +:04BADB000000000067 +:04BADC000000000066 +:04BADD000000000065 +:04BADE000000000064 +:04BADF000000000063 +:04BAE0000000000062 +:04BAE1000000000061 +:04BAE2000000000060 +:04BAE300000000005F +:04BAE400000000005E +:04BAE500000000005D +:04BAE600000000005C +:04BAE700000000005B +:04BAE800000000005A +:04BAE9000000000059 +:04BAEA000000000058 +:04BAEB000000000057 +:04BAEC000000000056 +:04BAED000000000055 +:04BAEE000000000054 +:04BAEF000000000053 +:04BAF0000000000052 +:04BAF1000000000051 +:04BAF2000000000050 +:04BAF300000000004F +:04BAF400000000004E +:04BAF500000000004D +:04BAF600000000004C +:04BAF700000000004B +:04BAF800000000004A +:04BAF9000000000049 +:04BAFA000000000048 +:04BAFB000000000047 +:04BAFC000000000046 +:04BAFD000000000045 +:04BAFE000000000044 +:04BAFF000000000043 +:04BB00000000000041 +:04BB01000000000040 +:04BB0200000000003F +:04BB0300000000003E +:04BB0400000000003D +:04BB0500000000003C +:04BB0600000000003B +:04BB0700000000003A +:04BB08000000000039 +:04BB09000000000038 +:04BB0A000000000037 +:04BB0B000000000036 +:04BB0C000000000035 +:04BB0D000000000034 +:04BB0E000000000033 +:04BB0F000000000032 +:04BB10000000000031 +:04BB11000000000030 +:04BB1200000000002F +:04BB1300000000002E +:04BB1400000000002D +:04BB1500000000002C +:04BB1600000000002B +:04BB1700000000002A +:04BB18000000000029 +:04BB19000000000028 +:04BB1A000000000027 +:04BB1B000000000026 +:04BB1C000000000025 +:04BB1D000000000024 +:04BB1E000000000023 +:04BB1F000000000022 +:04BB20000000000021 +:04BB21000000000020 +:04BB2200000000001F +:04BB2300000000001E +:04BB2400000000001D +:04BB2500000000001C +:04BB2600000000001B +:04BB2700000000001A +:04BB28000000000019 +:04BB29000000000018 +:04BB2A000000000017 +:04BB2B000000000016 +:04BB2C000000000015 +:04BB2D000000000014 +:04BB2E000000000013 +:04BB2F000000000012 +:04BB30000000000011 +:04BB31000000000010 +:04BB3200000000000F +:04BB3300000000000E +:04BB3400000000000D +:04BB3500000000000C +:04BB3600000000000B +:04BB3700000000000A +:04BB38000000000009 +:04BB39000000000008 +:04BB3A000000000007 +:04BB3B000000000006 +:04BB3C000000000005 +:04BB3D000000000004 +:04BB3E000000000003 +:04BB3F000000000002 +:04BB40000000000001 +:04BB41000000000000 +:04BB420000000000FF +:04BB430000000000FE +:04BB440000000000FD +:04BB450000000000FC +:04BB460000000000FB +:04BB470000000000FA +:04BB480000000000F9 +:04BB490000000000F8 +:04BB4A0000000000F7 +:04BB4B0000000000F6 +:04BB4C0000000000F5 +:04BB4D0000000000F4 +:04BB4E0000000000F3 +:04BB4F0000000000F2 +:04BB500000000000F1 +:04BB510000000000F0 +:04BB520000000000EF +:04BB530000000000EE +:04BB540000000000ED +:04BB550000000000EC +:04BB560000000000EB +:04BB570000000000EA +:04BB580000000000E9 +:04BB590000000000E8 +:04BB5A0000000000E7 +:04BB5B0000000000E6 +:04BB5C0000000000E5 +:04BB5D0000000000E4 +:04BB5E0000000000E3 +:04BB5F0000000000E2 +:04BB600000000000E1 +:04BB610000000000E0 +:04BB620000000000DF +:04BB630000000000DE +:04BB640000000000DD +:04BB650000000000DC +:04BB660000000000DB +:04BB670000000000DA +:04BB680000000000D9 +:04BB690000000000D8 +:04BB6A0000000000D7 +:04BB6B0000000000D6 +:04BB6C0000000000D5 +:04BB6D0000000000D4 +:04BB6E0000000000D3 +:04BB6F0000000000D2 +:04BB700000000000D1 +:04BB710000000000D0 +:04BB720000000000CF +:04BB730000000000CE +:04BB740000000000CD +:04BB750000000000CC +:04BB760000000000CB +:04BB770000000000CA +:04BB780000000000C9 +:04BB790000000000C8 +:04BB7A0000000000C7 +:04BB7B0000000000C6 +:04BB7C0000000000C5 +:04BB7D0000000000C4 +:04BB7E0000000000C3 +:04BB7F0000000000C2 +:04BB800000000000C1 +:04BB810000000000C0 +:04BB820000000000BF +:04BB830000000000BE +:04BB840000000000BD +:04BB850000000000BC +:04BB860000000000BB +:04BB870000000000BA +:04BB880000000000B9 +:04BB890000000000B8 +:04BB8A0000000000B7 +:04BB8B0000000000B6 +:04BB8C0000000000B5 +:04BB8D0000000000B4 +:04BB8E0000000000B3 +:04BB8F0000000000B2 +:04BB900000000000B1 +:04BB910000000000B0 +:04BB920000000000AF +:04BB930000000000AE +:04BB940000000000AD +:04BB950000000000AC +:04BB960000000000AB +:04BB970000000000AA +:04BB980000000000A9 +:04BB990000000000A8 +:04BB9A0000000000A7 +:04BB9B0000000000A6 +:04BB9C0000000000A5 +:04BB9D0000000000A4 +:04BB9E0000000000A3 +:04BB9F0000000000A2 +:04BBA00000000000A1 +:04BBA10000000000A0 +:04BBA200000000009F +:04BBA300000000009E +:04BBA400000000009D +:04BBA500000000009C +:04BBA600000000009B +:04BBA700000000009A +:04BBA8000000000099 +:04BBA9000000000098 +:04BBAA000000000097 +:04BBAB000000000096 +:04BBAC000000000095 +:04BBAD000000000094 +:04BBAE000000000093 +:04BBAF000000000092 +:04BBB0000000000091 +:04BBB1000000000090 +:04BBB200000000008F +:04BBB300000000008E +:04BBB400000000008D +:04BBB500000000008C +:04BBB600000000008B +:04BBB700000000008A +:04BBB8000000000089 +:04BBB9000000000088 +:04BBBA000000000087 +:04BBBB000000000086 +:04BBBC000000000085 +:04BBBD000000000084 +:04BBBE000000000083 +:04BBBF000000000082 +:04BBC0000000000081 +:04BBC1000000000080 +:04BBC200000000007F +:04BBC300000000007E +:04BBC400000000007D +:04BBC500000000007C +:04BBC600000000007B +:04BBC700000000007A +:04BBC8000000000079 +:04BBC9000000000078 +:04BBCA000000000077 +:04BBCB000000000076 +:04BBCC000000000075 +:04BBCD000000000074 +:04BBCE000000000073 +:04BBCF000000000072 +:04BBD0000000000071 +:04BBD1000000000070 +:04BBD200000000006F +:04BBD300000000006E +:04BBD400000000006D +:04BBD500000000006C +:04BBD600000000006B +:04BBD700000000006A +:04BBD8000000000069 +:04BBD9000000000068 +:04BBDA000000000067 +:04BBDB000000000066 +:04BBDC000000000065 +:04BBDD000000000064 +:04BBDE000000000063 +:04BBDF000000000062 +:04BBE0000000000061 +:04BBE1000000000060 +:04BBE200000000005F +:04BBE300000000005E +:04BBE400000000005D +:04BBE500000000005C +:04BBE600000000005B +:04BBE700000000005A +:04BBE8000000000059 +:04BBE9000000000058 +:04BBEA000000000057 +:04BBEB000000000056 +:04BBEC000000000055 +:04BBED000000000054 +:04BBEE000000000053 +:04BBEF000000000052 +:04BBF0000000000051 +:04BBF1000000000050 +:04BBF200000000004F +:04BBF300000000004E +:04BBF400000000004D +:04BBF500000000004C +:04BBF600000000004B +:04BBF700000000004A +:04BBF8000000000049 +:04BBF9000000000048 +:04BBFA000000000047 +:04BBFB000000000046 +:04BBFC000000000045 +:04BBFD000000000044 +:04BBFE000000000043 +:04BBFF000000000042 +:04BC00000000000040 +:04BC0100000000003F +:04BC0200000000003E +:04BC0300000000003D +:04BC0400000000003C +:04BC0500000000003B +:04BC0600000000003A +:04BC07000000000039 +:04BC08000000000038 +:04BC09000000000037 +:04BC0A000000000036 +:04BC0B000000000035 +:04BC0C000000000034 +:04BC0D000000000033 +:04BC0E000000000032 +:04BC0F000000000031 +:04BC10000000000030 +:04BC1100000000002F +:04BC1200000000002E +:04BC1300000000002D +:04BC1400000000002C +:04BC1500000000002B +:04BC1600000000002A +:04BC17000000000029 +:04BC18000000000028 +:04BC19000000000027 +:04BC1A000000000026 +:04BC1B000000000025 +:04BC1C000000000024 +:04BC1D000000000023 +:04BC1E000000000022 +:04BC1F000000000021 +:04BC20000000000020 +:04BC2100000000001F +:04BC2200000000001E +:04BC2300000000001D +:04BC2400000000001C +:04BC2500000000001B +:04BC2600000000001A +:04BC27000000000019 +:04BC28000000000018 +:04BC29000000000017 +:04BC2A000000000016 +:04BC2B000000000015 +:04BC2C000000000014 +:04BC2D000000000013 +:04BC2E000000000012 +:04BC2F000000000011 +:04BC30000000000010 +:04BC3100000000000F +:04BC3200000000000E +:04BC3300000000000D +:04BC3400000000000C +:04BC3500000000000B +:04BC3600000000000A +:04BC37000000000009 +:04BC38000000000008 +:04BC39000000000007 +:04BC3A000000000006 +:04BC3B000000000005 +:04BC3C000000000004 +:04BC3D000000000003 +:04BC3E000000000002 +:04BC3F000000000001 +:04BC40000000000000 +:04BC410000000000FF +:04BC420000000000FE +:04BC430000000000FD +:04BC440000000000FC +:04BC450000000000FB +:04BC460000000000FA +:04BC470000000000F9 +:04BC480000000000F8 +:04BC490000000000F7 +:04BC4A0000000000F6 +:04BC4B0000000000F5 +:04BC4C0000000000F4 +:04BC4D0000000000F3 +:04BC4E0000000000F2 +:04BC4F0000000000F1 +:04BC500000000000F0 +:04BC510000000000EF +:04BC520000000000EE +:04BC530000000000ED +:04BC540000000000EC +:04BC550000000000EB +:04BC560000000000EA +:04BC570000000000E9 +:04BC580000000000E8 +:04BC590000000000E7 +:04BC5A0000000000E6 +:04BC5B0000000000E5 +:04BC5C0000000000E4 +:04BC5D0000000000E3 +:04BC5E0000000000E2 +:04BC5F0000000000E1 +:04BC600000000000E0 +:04BC610000000000DF +:04BC620000000000DE +:04BC630000000000DD +:04BC640000000000DC +:04BC650000000000DB +:04BC660000000000DA +:04BC670000000000D9 +:04BC680000000000D8 +:04BC690000000000D7 +:04BC6A0000000000D6 +:04BC6B0000000000D5 +:04BC6C0000000000D4 +:04BC6D0000000000D3 +:04BC6E0000000000D2 +:04BC6F0000000000D1 +:04BC700000000000D0 +:04BC710000000000CF +:04BC720000000000CE +:04BC730000000000CD +:04BC740000000000CC +:04BC750000000000CB +:04BC760000000000CA +:04BC770000000000C9 +:04BC780000000000C8 +:04BC790000000000C7 +:04BC7A0000000000C6 +:04BC7B0000000000C5 +:04BC7C0000000000C4 +:04BC7D0000000000C3 +:04BC7E0000000000C2 +:04BC7F0000000000C1 +:04BC800000000000C0 +:04BC810000000000BF +:04BC820000000000BE +:04BC830000000000BD +:04BC840000000000BC +:04BC850000000000BB +:04BC860000000000BA +:04BC870000000000B9 +:04BC880000000000B8 +:04BC890000000000B7 +:04BC8A0000000000B6 +:04BC8B0000000000B5 +:04BC8C0000000000B4 +:04BC8D0000000000B3 +:04BC8E0000000000B2 +:04BC8F0000000000B1 +:04BC900000000000B0 +:04BC910000000000AF +:04BC920000000000AE +:04BC930000000000AD +:04BC940000000000AC +:04BC950000000000AB +:04BC960000000000AA +:04BC970000000000A9 +:04BC980000000000A8 +:04BC990000000000A7 +:04BC9A0000000000A6 +:04BC9B0000000000A5 +:04BC9C0000000000A4 +:04BC9D0000000000A3 +:04BC9E0000000000A2 +:04BC9F0000000000A1 +:04BCA00000000000A0 +:04BCA100000000009F +:04BCA200000000009E +:04BCA300000000009D +:04BCA400000000009C +:04BCA500000000009B +:04BCA600000000009A +:04BCA7000000000099 +:04BCA8000000000098 +:04BCA9000000000097 +:04BCAA000000000096 +:04BCAB000000000095 +:04BCAC000000000094 +:04BCAD000000000093 +:04BCAE000000000092 +:04BCAF000000000091 +:04BCB0000000000090 +:04BCB100000000008F +:04BCB200000000008E +:04BCB300000000008D +:04BCB400000000008C +:04BCB500000000008B +:04BCB600000000008A +:04BCB7000000000089 +:04BCB8000000000088 +:04BCB9000000000087 +:04BCBA000000000086 +:04BCBB000000000085 +:04BCBC000000000084 +:04BCBD000000000083 +:04BCBE000000000082 +:04BCBF000000000081 +:04BCC0000000000080 +:04BCC100000000007F +:04BCC200000000007E +:04BCC300000000007D +:04BCC400000000007C +:04BCC500000000007B +:04BCC600000000007A +:04BCC7000000000079 +:04BCC8000000000078 +:04BCC9000000000077 +:04BCCA000000000076 +:04BCCB000000000075 +:04BCCC000000000074 +:04BCCD000000000073 +:04BCCE000000000072 +:04BCCF000000000071 +:04BCD0000000000070 +:04BCD100000000006F +:04BCD200000000006E +:04BCD300000000006D +:04BCD400000000006C +:04BCD500000000006B +:04BCD600000000006A +:04BCD7000000000069 +:04BCD8000000000068 +:04BCD9000000000067 +:04BCDA000000000066 +:04BCDB000000000065 +:04BCDC000000000064 +:04BCDD000000000063 +:04BCDE000000000062 +:04BCDF000000000061 +:04BCE0000000000060 +:04BCE100000000005F +:04BCE200000000005E +:04BCE300000000005D +:04BCE400000000005C +:04BCE500000000005B +:04BCE600000000005A +:04BCE7000000000059 +:04BCE8000000000058 +:04BCE9000000000057 +:04BCEA000000000056 +:04BCEB000000000055 +:04BCEC000000000054 +:04BCED000000000053 +:04BCEE000000000052 +:04BCEF000000000051 +:04BCF0000000000050 +:04BCF100000000004F +:04BCF200000000004E +:04BCF300000000004D +:04BCF400000000004C +:04BCF500000000004B +:04BCF600000000004A +:04BCF7000000000049 +:04BCF8000000000048 +:04BCF9000000000047 +:04BCFA000000000046 +:04BCFB000000000045 +:04BCFC000000000044 +:04BCFD000000000043 +:04BCFE000000000042 +:04BCFF000000000041 +:04BD0000000000003F +:04BD0100000000003E +:04BD0200000000003D +:04BD0300000000003C +:04BD0400000000003B +:04BD0500000000003A +:04BD06000000000039 +:04BD07000000000038 +:04BD08000000000037 +:04BD09000000000036 +:04BD0A000000000035 +:04BD0B000000000034 +:04BD0C000000000033 +:04BD0D000000000032 +:04BD0E000000000031 +:04BD0F000000000030 +:04BD1000000000002F +:04BD1100000000002E +:04BD1200000000002D +:04BD1300000000002C +:04BD1400000000002B +:04BD1500000000002A +:04BD16000000000029 +:04BD17000000000028 +:04BD18000000000027 +:04BD19000000000026 +:04BD1A000000000025 +:04BD1B000000000024 +:04BD1C000000000023 +:04BD1D000000000022 +:04BD1E000000000021 +:04BD1F000000000020 +:04BD2000000000001F +:04BD2100000000001E +:04BD2200000000001D +:04BD2300000000001C +:04BD2400000000001B +:04BD2500000000001A +:04BD26000000000019 +:04BD27000000000018 +:04BD28000000000017 +:04BD29000000000016 +:04BD2A000000000015 +:04BD2B000000000014 +:04BD2C000000000013 +:04BD2D000000000012 +:04BD2E000000000011 +:04BD2F000000000010 +:04BD3000000000000F +:04BD3100000000000E +:04BD3200000000000D +:04BD3300000000000C +:04BD3400000000000B +:04BD3500000000000A +:04BD36000000000009 +:04BD37000000000008 +:04BD38000000000007 +:04BD39000000000006 +:04BD3A000000000005 +:04BD3B000000000004 +:04BD3C000000000003 +:04BD3D000000000002 +:04BD3E000000000001 +:04BD3F000000000000 +:04BD400000000000FF +:04BD410000000000FE +:04BD420000000000FD +:04BD430000000000FC +:04BD440000000000FB +:04BD450000000000FA +:04BD460000000000F9 +:04BD470000000000F8 +:04BD480000000000F7 +:04BD490000000000F6 +:04BD4A0000000000F5 +:04BD4B0000000000F4 +:04BD4C0000000000F3 +:04BD4D0000000000F2 +:04BD4E0000000000F1 +:04BD4F0000000000F0 +:04BD500000000000EF +:04BD510000000000EE +:04BD520000000000ED +:04BD530000000000EC +:04BD540000000000EB +:04BD550000000000EA +:04BD560000000000E9 +:04BD570000000000E8 +:04BD580000000000E7 +:04BD590000000000E6 +:04BD5A0000000000E5 +:04BD5B0000000000E4 +:04BD5C0000000000E3 +:04BD5D0000000000E2 +:04BD5E0000000000E1 +:04BD5F0000000000E0 +:04BD600000000000DF +:04BD610000000000DE +:04BD620000000000DD +:04BD630000000000DC +:04BD640000000000DB +:04BD650000000000DA +:04BD660000000000D9 +:04BD670000000000D8 +:04BD680000000000D7 +:04BD690000000000D6 +:04BD6A0000000000D5 +:04BD6B0000000000D4 +:04BD6C0000000000D3 +:04BD6D0000000000D2 +:04BD6E0000000000D1 +:04BD6F0000000000D0 +:04BD700000000000CF +:04BD710000000000CE +:04BD720000000000CD +:04BD730000000000CC +:04BD740000000000CB +:04BD750000000000CA +:04BD760000000000C9 +:04BD770000000000C8 +:04BD780000000000C7 +:04BD790000000000C6 +:04BD7A0000000000C5 +:04BD7B0000000000C4 +:04BD7C0000000000C3 +:04BD7D0000000000C2 +:04BD7E0000000000C1 +:04BD7F0000000000C0 +:04BD800000000000BF +:04BD810000000000BE +:04BD820000000000BD +:04BD830000000000BC +:04BD840000000000BB +:04BD850000000000BA +:04BD860000000000B9 +:04BD870000000000B8 +:04BD880000000000B7 +:04BD890000000000B6 +:04BD8A0000000000B5 +:04BD8B0000000000B4 +:04BD8C0000000000B3 +:04BD8D0000000000B2 +:04BD8E0000000000B1 +:04BD8F0000000000B0 +:04BD900000000000AF +:04BD910000000000AE +:04BD920000000000AD +:04BD930000000000AC +:04BD940000000000AB +:04BD950000000000AA +:04BD960000000000A9 +:04BD970000000000A8 +:04BD980000000000A7 +:04BD990000000000A6 +:04BD9A0000000000A5 +:04BD9B0000000000A4 +:04BD9C0000000000A3 +:04BD9D0000000000A2 +:04BD9E0000000000A1 +:04BD9F0000000000A0 +:04BDA000000000009F +:04BDA100000000009E +:04BDA200000000009D +:04BDA300000000009C +:04BDA400000000009B +:04BDA500000000009A +:04BDA6000000000099 +:04BDA7000000000098 +:04BDA8000000000097 +:04BDA9000000000096 +:04BDAA000000000095 +:04BDAB000000000094 +:04BDAC000000000093 +:04BDAD000000000092 +:04BDAE000000000091 +:04BDAF000000000090 +:04BDB000000000008F +:04BDB100000000008E +:04BDB200000000008D +:04BDB300000000008C +:04BDB400000000008B +:04BDB500000000008A +:04BDB6000000000089 +:04BDB7000000000088 +:04BDB8000000000087 +:04BDB9000000000086 +:04BDBA000000000085 +:04BDBB000000000084 +:04BDBC000000000083 +:04BDBD000000000082 +:04BDBE000000000081 +:04BDBF000000000080 +:04BDC000000000007F +:04BDC100000000007E +:04BDC200000000007D +:04BDC300000000007C +:04BDC400000000007B +:04BDC500000000007A +:04BDC6000000000079 +:04BDC7000000000078 +:04BDC8000000000077 +:04BDC9000000000076 +:04BDCA000000000075 +:04BDCB000000000074 +:04BDCC000000000073 +:04BDCD000000000072 +:04BDCE000000000071 +:04BDCF000000000070 +:04BDD000000000006F +:04BDD100000000006E +:04BDD200000000006D +:04BDD300000000006C +:04BDD400000000006B +:04BDD500000000006A +:04BDD6000000000069 +:04BDD7000000000068 +:04BDD8000000000067 +:04BDD9000000000066 +:04BDDA000000000065 +:04BDDB000000000064 +:04BDDC000000000063 +:04BDDD000000000062 +:04BDDE000000000061 +:04BDDF000000000060 +:04BDE000000000005F +:04BDE100000000005E +:04BDE200000000005D +:04BDE300000000005C +:04BDE400000000005B +:04BDE500000000005A +:04BDE6000000000059 +:04BDE7000000000058 +:04BDE8000000000057 +:04BDE9000000000056 +:04BDEA000000000055 +:04BDEB000000000054 +:04BDEC000000000053 +:04BDED000000000052 +:04BDEE000000000051 +:04BDEF000000000050 +:04BDF000000000004F +:04BDF100000000004E +:04BDF200000000004D +:04BDF300000000004C +:04BDF400000000004B +:04BDF500000000004A +:04BDF6000000000049 +:04BDF7000000000048 +:04BDF8000000000047 +:04BDF9000000000046 +:04BDFA000000000045 +:04BDFB000000000044 +:04BDFC000000000043 +:04BDFD000000000042 +:04BDFE000000000041 +:04BDFF000000000040 +:04BE0000000000003E +:04BE0100000000003D +:04BE0200000000003C +:04BE0300000000003B +:04BE0400000000003A +:04BE05000000000039 +:04BE06000000000038 +:04BE07000000000037 +:04BE08000000000036 +:04BE09000000000035 +:04BE0A000000000034 +:04BE0B000000000033 +:04BE0C000000000032 +:04BE0D000000000031 +:04BE0E000000000030 +:04BE0F00000000002F +:04BE1000000000002E +:04BE1100000000002D +:04BE1200000000002C +:04BE1300000000002B +:04BE1400000000002A +:04BE15000000000029 +:04BE16000000000028 +:04BE17000000000027 +:04BE18000000000026 +:04BE19000000000025 +:04BE1A000000000024 +:04BE1B000000000023 +:04BE1C000000000022 +:04BE1D000000000021 +:04BE1E000000000020 +:04BE1F00000000001F +:04BE2000000000001E +:04BE2100000000001D +:04BE2200000000001C +:04BE2300000000001B +:04BE2400000000001A +:04BE25000000000019 +:04BE26000000000018 +:04BE27000000000017 +:04BE28000000000016 +:04BE29000000000015 +:04BE2A000000000014 +:04BE2B000000000013 +:04BE2C000000000012 +:04BE2D000000000011 +:04BE2E000000000010 +:04BE2F00000000000F +:04BE3000000000000E +:04BE3100000000000D +:04BE3200000000000C +:04BE3300000000000B +:04BE3400000000000A +:04BE35000000000009 +:04BE36000000000008 +:04BE37000000000007 +:04BE38000000000006 +:04BE39000000000005 +:04BE3A000000000004 +:04BE3B000000000003 +:04BE3C000000000002 +:04BE3D000000000001 +:04BE3E000000000000 +:04BE3F0000000000FF +:04BE400000000000FE +:04BE410000000000FD +:04BE420000000000FC +:04BE430000000000FB +:04BE440000000000FA +:04BE450000000000F9 +:04BE460000000000F8 +:04BE470000000000F7 +:04BE480000000000F6 +:04BE490000000000F5 +:04BE4A0000000000F4 +:04BE4B0000000000F3 +:04BE4C0000000000F2 +:04BE4D0000000000F1 +:04BE4E0000000000F0 +:04BE4F0000000000EF +:04BE500000000000EE +:04BE510000000000ED +:04BE520000000000EC +:04BE530000000000EB +:04BE540000000000EA +:04BE550000000000E9 +:04BE560000000000E8 +:04BE570000000000E7 +:04BE580000000000E6 +:04BE590000000000E5 +:04BE5A0000000000E4 +:04BE5B0000000000E3 +:04BE5C0000000000E2 +:04BE5D0000000000E1 +:04BE5E0000000000E0 +:04BE5F0000000000DF +:04BE600000000000DE +:04BE610000000000DD +:04BE620000000000DC +:04BE630000000000DB +:04BE640000000000DA +:04BE650000000000D9 +:04BE660000000000D8 +:04BE670000000000D7 +:04BE680000000000D6 +:04BE690000000000D5 +:04BE6A0000000000D4 +:04BE6B0000000000D3 +:04BE6C0000000000D2 +:04BE6D0000000000D1 +:04BE6E0000000000D0 +:04BE6F0000000000CF +:04BE700000000000CE +:04BE710000000000CD +:04BE720000000000CC +:04BE730000000000CB +:04BE740000000000CA +:04BE750000000000C9 +:04BE760000000000C8 +:04BE770000000000C7 +:04BE780000000000C6 +:04BE790000000000C5 +:04BE7A0000000000C4 +:04BE7B0000000000C3 +:04BE7C0000000000C2 +:04BE7D0000000000C1 +:04BE7E0000000000C0 +:04BE7F0000000000BF +:04BE800000000000BE +:04BE810000000000BD +:04BE820000000000BC +:04BE830000000000BB +:04BE840000000000BA +:04BE850000000000B9 +:04BE860000000000B8 +:04BE870000000000B7 +:04BE880000000000B6 +:04BE890000000000B5 +:04BE8A0000000000B4 +:04BE8B0000000000B3 +:04BE8C0000000000B2 +:04BE8D0000000000B1 +:04BE8E0000000000B0 +:04BE8F0000000000AF +:04BE900000000000AE +:04BE910000000000AD +:04BE920000000000AC +:04BE930000000000AB +:04BE940000000000AA +:04BE950000000000A9 +:04BE960000000000A8 +:04BE970000000000A7 +:04BE980000000000A6 +:04BE990000000000A5 +:04BE9A0000000000A4 +:04BE9B0000000000A3 +:04BE9C0000000000A2 +:04BE9D0000000000A1 +:04BE9E0000000000A0 +:04BE9F00000000009F +:04BEA000000000009E +:04BEA100000000009D +:04BEA200000000009C +:04BEA300000000009B +:04BEA400000000009A +:04BEA5000000000099 +:04BEA6000000000098 +:04BEA7000000000097 +:04BEA8000000000096 +:04BEA9000000000095 +:04BEAA000000000094 +:04BEAB000000000093 +:04BEAC000000000092 +:04BEAD000000000091 +:04BEAE000000000090 +:04BEAF00000000008F +:04BEB000000000008E +:04BEB100000000008D +:04BEB200000000008C +:04BEB300000000008B +:04BEB400000000008A +:04BEB5000000000089 +:04BEB6000000000088 +:04BEB7000000000087 +:04BEB8000000000086 +:04BEB9000000000085 +:04BEBA000000000084 +:04BEBB000000000083 +:04BEBC000000000082 +:04BEBD000000000081 +:04BEBE000000000080 +:04BEBF00000000007F +:04BEC000000000007E +:04BEC100000000007D +:04BEC200000000007C +:04BEC300000000007B +:04BEC400000000007A +:04BEC5000000000079 +:04BEC6000000000078 +:04BEC7000000000077 +:04BEC8000000000076 +:04BEC9000000000075 +:04BECA000000000074 +:04BECB000000000073 +:04BECC000000000072 +:04BECD000000000071 +:04BECE000000000070 +:04BECF00000000006F +:04BED000000000006E +:04BED100000000006D +:04BED200000000006C +:04BED300000000006B +:04BED400000000006A +:04BED5000000000069 +:04BED6000000000068 +:04BED7000000000067 +:04BED8000000000066 +:04BED9000000000065 +:04BEDA000000000064 +:04BEDB000000000063 +:04BEDC000000000062 +:04BEDD000000000061 +:04BEDE000000000060 +:04BEDF00000000005F +:04BEE000000000005E +:04BEE100000000005D +:04BEE200000000005C +:04BEE300000000005B +:04BEE400000000005A +:04BEE5000000000059 +:04BEE6000000000058 +:04BEE7000000000057 +:04BEE8000000000056 +:04BEE9000000000055 +:04BEEA000000000054 +:04BEEB000000000053 +:04BEEC000000000052 +:04BEED000000000051 +:04BEEE000000000050 +:04BEEF00000000004F +:04BEF000000000004E +:04BEF100000000004D +:04BEF200000000004C +:04BEF300000000004B +:04BEF400000000004A +:04BEF5000000000049 +:04BEF6000000000048 +:04BEF7000000000047 +:04BEF8000000000046 +:04BEF9000000000045 +:04BEFA000000000044 +:04BEFB000000000043 +:04BEFC000000000042 +:04BEFD000000000041 +:04BEFE000000000040 +:04BEFF00000000003F +:04BF0000000000003D +:04BF0100000000003C +:04BF0200000000003B +:04BF0300000000003A +:04BF04000000000039 +:04BF05000000000038 +:04BF06000000000037 +:04BF07000000000036 +:04BF08000000000035 +:04BF09000000000034 +:04BF0A000000000033 +:04BF0B000000000032 +:04BF0C000000000031 +:04BF0D000000000030 +:04BF0E00000000002F +:04BF0F00000000002E +:04BF1000000000002D +:04BF1100000000002C +:04BF1200000000002B +:04BF1300000000002A +:04BF14000000000029 +:04BF15000000000028 +:04BF16000000000027 +:04BF17000000000026 +:04BF18000000000025 +:04BF19000000000024 +:04BF1A000000000023 +:04BF1B000000000022 +:04BF1C000000000021 +:04BF1D000000000020 +:04BF1E00000000001F +:04BF1F00000000001E +:04BF2000000000001D +:04BF2100000000001C +:04BF2200000000001B +:04BF2300000000001A +:04BF24000000000019 +:04BF25000000000018 +:04BF26000000000017 +:04BF27000000000016 +:04BF28000000000015 +:04BF29000000000014 +:04BF2A000000000013 +:04BF2B000000000012 +:04BF2C000000000011 +:04BF2D000000000010 +:04BF2E00000000000F +:04BF2F00000000000E +:04BF3000000000000D +:04BF3100000000000C +:04BF3200000000000B +:04BF3300000000000A +:04BF34000000000009 +:04BF35000000000008 +:04BF36000000000007 +:04BF37000000000006 +:04BF38000000000005 +:04BF39000000000004 +:04BF3A000000000003 +:04BF3B000000000002 +:04BF3C000000000001 +:04BF3D000000000000 +:04BF3E0000000000FF +:04BF3F0000000000FE +:04BF400000000000FD +:04BF410000000000FC +:04BF420000000000FB +:04BF430000000000FA +:04BF440000000000F9 +:04BF450000000000F8 +:04BF460000000000F7 +:04BF470000000000F6 +:04BF480000000000F5 +:04BF490000000000F4 +:04BF4A0000000000F3 +:04BF4B0000000000F2 +:04BF4C0000000000F1 +:04BF4D0000000000F0 +:04BF4E0000000000EF +:04BF4F0000000000EE +:04BF500000000000ED +:04BF510000000000EC +:04BF520000000000EB +:04BF530000000000EA +:04BF540000000000E9 +:04BF550000000000E8 +:04BF560000000000E7 +:04BF570000000000E6 +:04BF580000000000E5 +:04BF590000000000E4 +:04BF5A0000000000E3 +:04BF5B0000000000E2 +:04BF5C0000000000E1 +:04BF5D0000000000E0 +:04BF5E0000000000DF +:04BF5F0000000000DE +:04BF600000000000DD +:04BF610000000000DC +:04BF620000000000DB +:04BF630000000000DA +:04BF640000000000D9 +:04BF650000000000D8 +:04BF660000000000D7 +:04BF670000000000D6 +:04BF680000000000D5 +:04BF690000000000D4 +:04BF6A0000000000D3 +:04BF6B0000000000D2 +:04BF6C0000000000D1 +:04BF6D0000000000D0 +:04BF6E0000000000CF +:04BF6F0000000000CE +:04BF700000000000CD +:04BF710000000000CC +:04BF720000000000CB +:04BF730000000000CA +:04BF740000000000C9 +:04BF750000000000C8 +:04BF760000000000C7 +:04BF770000000000C6 +:04BF780000000000C5 +:04BF790000000000C4 +:04BF7A0000000000C3 +:04BF7B0000000000C2 +:04BF7C0000000000C1 +:04BF7D0000000000C0 +:04BF7E0000000000BF +:04BF7F0000000000BE +:04BF800000000000BD +:04BF810000000000BC +:04BF820000000000BB +:04BF830000000000BA +:04BF840000000000B9 +:04BF850000000000B8 +:04BF860000000000B7 +:04BF870000000000B6 +:04BF880000000000B5 +:04BF890000000000B4 +:04BF8A0000000000B3 +:04BF8B0000000000B2 +:04BF8C0000000000B1 +:04BF8D0000000000B0 +:04BF8E0000000000AF +:04BF8F0000000000AE +:04BF900000000000AD +:04BF910000000000AC +:04BF920000000000AB +:04BF930000000000AA +:04BF940000000000A9 +:04BF950000000000A8 +:04BF960000000000A7 +:04BF970000000000A6 +:04BF980000000000A5 +:04BF990000000000A4 +:04BF9A0000000000A3 +:04BF9B0000000000A2 +:04BF9C0000000000A1 +:04BF9D0000000000A0 +:04BF9E00000000009F +:04BF9F00000000009E +:04BFA000000000009D +:04BFA100000000009C +:04BFA200000000009B +:04BFA300000000009A +:04BFA4000000000099 +:04BFA5000000000098 +:04BFA6000000000097 +:04BFA7000000000096 +:04BFA8000000000095 +:04BFA9000000000094 +:04BFAA000000000093 +:04BFAB000000000092 +:04BFAC000000000091 +:04BFAD000000000090 +:04BFAE00000000008F +:04BFAF00000000008E +:04BFB000000000008D +:04BFB100000000008C +:04BFB200000000008B +:04BFB300000000008A +:04BFB4000000000089 +:04BFB5000000000088 +:04BFB6000000000087 +:04BFB7000000000086 +:04BFB8000000000085 +:04BFB9000000000084 +:04BFBA000000000083 +:04BFBB000000000082 +:04BFBC000000000081 +:04BFBD000000000080 +:04BFBE00000000007F +:04BFBF00000000007E +:04BFC000000000007D +:04BFC100000000007C +:04BFC200000000007B +:04BFC300000000007A +:04BFC4000000000079 +:04BFC5000000000078 +:04BFC6000000000077 +:04BFC7000000000076 +:04BFC8000000000075 +:04BFC9000000000074 +:04BFCA000000000073 +:04BFCB000000000072 +:04BFCC000000000071 +:04BFCD000000000070 +:04BFCE00000000006F +:04BFCF00000000006E +:04BFD000000000006D +:04BFD100000000006C +:04BFD200000000006B +:04BFD300000000006A +:04BFD4000000000069 +:04BFD5000000000068 +:04BFD6000000000067 +:04BFD7000000000066 +:04BFD8000000000065 +:04BFD9000000000064 +:04BFDA000000000063 +:04BFDB000000000062 +:04BFDC000000000061 +:04BFDD000000000060 +:04BFDE00000000005F +:04BFDF00000000005E +:04BFE000000000005D +:04BFE100000000005C +:04BFE200000000005B +:04BFE300000000005A +:04BFE4000000000059 +:04BFE5000000000058 +:04BFE6000000000057 +:04BFE7000000000056 +:04BFE8000000000055 +:04BFE9000000000054 +:04BFEA000000000053 +:04BFEB000000000052 +:04BFEC000000000051 +:04BFED000000000050 +:04BFEE00000000004F +:04BFEF00000000004E +:04BFF000000000004D +:04BFF100000000004C +:04BFF200000000004B +:04BFF300000000004A +:04BFF4000000000049 +:04BFF5000000000048 +:04BFF6000000000047 +:04BFF7000000000046 +:04BFF8000000000045 +:04BFF9000000000044 +:04BFFA000000000043 +:04BFFB000000000042 +:04BFFC000000000041 +:04BFFD000000000040 +:04BFFE00000000003F +:04BFFF00000000003E +:04C00000000000003C +:04C00100000000003B +:04C00200000000003A +:04C003000000000039 +:04C004000000000038 +:04C005000000000037 +:04C006000000000036 +:04C007000000000035 +:04C008000000000034 +:04C009000000000033 +:04C00A000000000032 +:04C00B000000000031 +:04C00C000000000030 +:04C00D00000000002F +:04C00E00000000002E +:04C00F00000000002D +:04C01000000000002C +:04C01100000000002B +:04C01200000000002A +:04C013000000000029 +:04C014000000000028 +:04C015000000000027 +:04C016000000000026 +:04C017000000000025 +:04C018000000000024 +:04C019000000000023 +:04C01A000000000022 +:04C01B000000000021 +:04C01C000000000020 +:04C01D00000000001F +:04C01E00000000001E +:04C01F00000000001D +:04C02000000000001C +:04C02100000000001B +:04C02200000000001A +:04C023000000000019 +:04C024000000000018 +:04C025000000000017 +:04C026000000000016 +:04C027000000000015 +:04C028000000000014 +:04C029000000000013 +:04C02A000000000012 +:04C02B000000000011 +:04C02C000000000010 +:04C02D00000000000F +:04C02E00000000000E +:04C02F00000000000D +:04C03000000000000C +:04C03100000000000B +:04C03200000000000A +:04C033000000000009 +:04C034000000000008 +:04C035000000000007 +:04C036000000000006 +:04C037000000000005 +:04C038000000000004 +:04C039000000000003 +:04C03A000000000002 +:04C03B000000000001 +:04C03C000000000000 +:04C03D0000000000FF +:04C03E0000000000FE +:04C03F0000000000FD +:04C0400000000000FC +:04C0410000000000FB +:04C0420000000000FA +:04C0430000000000F9 +:04C0440000000000F8 +:04C0450000000000F7 +:04C0460000000000F6 +:04C0470000000000F5 +:04C0480000000000F4 +:04C0490000000000F3 +:04C04A0000000000F2 +:04C04B0000000000F1 +:04C04C0000000000F0 +:04C04D0000000000EF +:04C04E0000000000EE +:04C04F0000000000ED +:04C0500000000000EC +:04C0510000000000EB +:04C0520000000000EA +:04C0530000000000E9 +:04C0540000000000E8 +:04C0550000000000E7 +:04C0560000000000E6 +:04C0570000000000E5 +:04C0580000000000E4 +:04C0590000000000E3 +:04C05A0000000000E2 +:04C05B0000000000E1 +:04C05C0000000000E0 +:04C05D0000000000DF +:04C05E0000000000DE +:04C05F0000000000DD +:04C0600000000000DC +:04C0610000000000DB +:04C0620000000000DA +:04C0630000000000D9 +:04C0640000000000D8 +:04C0650000000000D7 +:04C0660000000000D6 +:04C0670000000000D5 +:04C0680000000000D4 +:04C0690000000000D3 +:04C06A0000000000D2 +:04C06B0000000000D1 +:04C06C0000000000D0 +:04C06D0000000000CF +:04C06E0000000000CE +:04C06F0000000000CD +:04C0700000000000CC +:04C0710000000000CB +:04C0720000000000CA +:04C0730000000000C9 +:04C0740000000000C8 +:04C0750000000000C7 +:04C0760000000000C6 +:04C0770000000000C5 +:04C0780000000000C4 +:04C0790000000000C3 +:04C07A0000000000C2 +:04C07B0000000000C1 +:04C07C0000000000C0 +:04C07D0000000000BF +:04C07E0000000000BE +:04C07F0000000000BD +:04C0800000000000BC +:04C0810000000000BB +:04C0820000000000BA +:04C0830000000000B9 +:04C0840000000000B8 +:04C0850000000000B7 +:04C0860000000000B6 +:04C0870000000000B5 +:04C0880000000000B4 +:04C0890000000000B3 +:04C08A0000000000B2 +:04C08B0000000000B1 +:04C08C0000000000B0 +:04C08D0000000000AF +:04C08E0000000000AE +:04C08F0000000000AD +:04C0900000000000AC +:04C0910000000000AB +:04C0920000000000AA +:04C0930000000000A9 +:04C0940000000000A8 +:04C0950000000000A7 +:04C0960000000000A6 +:04C0970000000000A5 +:04C0980000000000A4 +:04C0990000000000A3 +:04C09A0000000000A2 +:04C09B0000000000A1 +:04C09C0000000000A0 +:04C09D00000000009F +:04C09E00000000009E +:04C09F00000000009D +:04C0A000000000009C +:04C0A100000000009B +:04C0A200000000009A +:04C0A3000000000099 +:04C0A4000000000098 +:04C0A5000000000097 +:04C0A6000000000096 +:04C0A7000000000095 +:04C0A8000000000094 +:04C0A9000000000093 +:04C0AA000000000092 +:04C0AB000000000091 +:04C0AC000000000090 +:04C0AD00000000008F +:04C0AE00000000008E +:04C0AF00000000008D +:04C0B000000000008C +:04C0B100000000008B +:04C0B200000000008A +:04C0B3000000000089 +:04C0B4000000000088 +:04C0B5000000000087 +:04C0B6000000000086 +:04C0B7000000000085 +:04C0B8000000000084 +:04C0B9000000000083 +:04C0BA000000000082 +:04C0BB000000000081 +:04C0BC000000000080 +:04C0BD00000000007F +:04C0BE00000000007E +:04C0BF00000000007D +:04C0C000000000007C +:04C0C100000000007B +:04C0C200000000007A +:04C0C3000000000079 +:04C0C4000000000078 +:04C0C5000000000077 +:04C0C6000000000076 +:04C0C7000000000075 +:04C0C8000000000074 +:04C0C9000000000073 +:04C0CA000000000072 +:04C0CB000000000071 +:04C0CC000000000070 +:04C0CD00000000006F +:04C0CE00000000006E +:04C0CF00000000006D +:04C0D000000000006C +:04C0D100000000006B +:04C0D200000000006A +:04C0D3000000000069 +:04C0D4000000000068 +:04C0D5000000000067 +:04C0D6000000000066 +:04C0D7000000000065 +:04C0D8000000000064 +:04C0D9000000000063 +:04C0DA000000000062 +:04C0DB000000000061 +:04C0DC000000000060 +:04C0DD00000000005F +:04C0DE00000000005E +:04C0DF00000000005D +:04C0E000000000005C +:04C0E100000000005B +:04C0E200000000005A +:04C0E3000000000059 +:04C0E4000000000058 +:04C0E5000000000057 +:04C0E6000000000056 +:04C0E7000000000055 +:04C0E8000000000054 +:04C0E9000000000053 +:04C0EA000000000052 +:04C0EB000000000051 +:04C0EC000000000050 +:04C0ED00000000004F +:04C0EE00000000004E +:04C0EF00000000004D +:04C0F000000000004C +:04C0F100000000004B +:04C0F200000000004A +:04C0F3000000000049 +:04C0F4000000000048 +:04C0F5000000000047 +:04C0F6000000000046 +:04C0F7000000000045 +:04C0F8000000000044 +:04C0F9000000000043 +:04C0FA000000000042 +:04C0FB000000000041 +:04C0FC000000000040 +:04C0FD00000000003F +:04C0FE00000000003E +:04C0FF00000000003D +:04C10000000000003B +:04C10100000000003A +:04C102000000000039 +:04C103000000000038 +:04C104000000000037 +:04C105000000000036 +:04C106000000000035 +:04C107000000000034 +:04C108000000000033 +:04C109000000000032 +:04C10A000000000031 +:04C10B000000000030 +:04C10C00000000002F +:04C10D00000000002E +:04C10E00000000002D +:04C10F00000000002C +:04C11000000000002B +:04C11100000000002A +:04C112000000000029 +:04C113000000000028 +:04C114000000000027 +:04C115000000000026 +:04C116000000000025 +:04C117000000000024 +:04C118000000000023 +:04C119000000000022 +:04C11A000000000021 +:04C11B000000000020 +:04C11C00000000001F +:04C11D00000000001E +:04C11E00000000001D +:04C11F00000000001C +:04C12000000000001B +:04C12100000000001A +:04C122000000000019 +:04C123000000000018 +:04C124000000000017 +:04C125000000000016 +:04C126000000000015 +:04C127000000000014 +:04C128000000000013 +:04C129000000000012 +:04C12A000000000011 +:04C12B000000000010 +:04C12C00000000000F +:04C12D00000000000E +:04C12E00000000000D +:04C12F00000000000C +:04C13000000000000B +:04C13100000000000A +:04C132000000000009 +:04C133000000000008 +:04C134000000000007 +:04C135000000000006 +:04C136000000000005 +:04C137000000000004 +:04C138000000000003 +:04C139000000000002 +:04C13A000000000001 +:04C13B000000000000 +:04C13C0000000000FF +:04C13D0000000000FE +:04C13E0000000000FD +:04C13F0000000000FC +:04C1400000000000FB +:04C1410000000000FA +:04C1420000000000F9 +:04C1430000000000F8 +:04C1440000000000F7 +:04C1450000000000F6 +:04C1460000000000F5 +:04C1470000000000F4 +:04C1480000000000F3 +:04C1490000000000F2 +:04C14A0000000000F1 +:04C14B0000000000F0 +:04C14C0000000000EF +:04C14D0000000000EE +:04C14E0000000000ED +:04C14F0000000000EC +:04C1500000000000EB +:04C1510000000000EA +:04C1520000000000E9 +:04C1530000000000E8 +:04C1540000000000E7 +:04C1550000000000E6 +:04C1560000000000E5 +:04C1570000000000E4 +:04C1580000000000E3 +:04C1590000000000E2 +:04C15A0000000000E1 +:04C15B0000000000E0 +:04C15C0000000000DF +:04C15D0000000000DE +:04C15E0000000000DD +:04C15F0000000000DC +:04C1600000000000DB +:04C1610000000000DA +:04C1620000000000D9 +:04C1630000000000D8 +:04C1640000000000D7 +:04C1650000000000D6 +:04C1660000000000D5 +:04C1670000000000D4 +:04C1680000000000D3 +:04C1690000000000D2 +:04C16A0000000000D1 +:04C16B0000000000D0 +:04C16C0000000000CF +:04C16D0000000000CE +:04C16E0000000000CD +:04C16F0000000000CC +:04C1700000000000CB +:04C1710000000000CA +:04C1720000000000C9 +:04C1730000000000C8 +:04C1740000000000C7 +:04C1750000000000C6 +:04C1760000000000C5 +:04C1770000000000C4 +:04C1780000000000C3 +:04C1790000000000C2 +:04C17A0000000000C1 +:04C17B0000000000C0 +:04C17C0000000000BF +:04C17D0000000000BE +:04C17E0000000000BD +:04C17F0000000000BC +:04C1800000000000BB +:04C1810000000000BA +:04C1820000000000B9 +:04C1830000000000B8 +:04C1840000000000B7 +:04C1850000000000B6 +:04C1860000000000B5 +:04C1870000000000B4 +:04C1880000000000B3 +:04C1890000000000B2 +:04C18A0000000000B1 +:04C18B0000000000B0 +:04C18C0000000000AF +:04C18D0000000000AE +:04C18E0000000000AD +:04C18F0000000000AC +:04C1900000000000AB +:04C1910000000000AA +:04C1920000000000A9 +:04C1930000000000A8 +:04C1940000000000A7 +:04C1950000000000A6 +:04C1960000000000A5 +:04C1970000000000A4 +:04C1980000000000A3 +:04C1990000000000A2 +:04C19A0000000000A1 +:04C19B0000000000A0 +:04C19C00000000009F +:04C19D00000000009E +:04C19E00000000009D +:04C19F00000000009C +:04C1A000000000009B +:04C1A100000000009A +:04C1A2000000000099 +:04C1A3000000000098 +:04C1A4000000000097 +:04C1A5000000000096 +:04C1A6000000000095 +:04C1A7000000000094 +:04C1A8000000000093 +:04C1A9000000000092 +:04C1AA000000000091 +:04C1AB000000000090 +:04C1AC00000000008F +:04C1AD00000000008E +:04C1AE00000000008D +:04C1AF00000000008C +:04C1B000000000008B +:04C1B100000000008A +:04C1B2000000000089 +:04C1B3000000000088 +:04C1B4000000000087 +:04C1B5000000000086 +:04C1B6000000000085 +:04C1B7000000000084 +:04C1B8000000000083 +:04C1B9000000000082 +:04C1BA000000000081 +:04C1BB000000000080 +:04C1BC00000000007F +:04C1BD00000000007E +:04C1BE00000000007D +:04C1BF00000000007C +:04C1C000000000007B +:04C1C100000000007A +:04C1C2000000000079 +:04C1C3000000000078 +:04C1C4000000000077 +:04C1C5000000000076 +:04C1C6000000000075 +:04C1C7000000000074 +:04C1C8000000000073 +:04C1C9000000000072 +:04C1CA000000000071 +:04C1CB000000000070 +:04C1CC00000000006F +:04C1CD00000000006E +:04C1CE00000000006D +:04C1CF00000000006C +:04C1D000000000006B +:04C1D100000000006A +:04C1D2000000000069 +:04C1D3000000000068 +:04C1D4000000000067 +:04C1D5000000000066 +:04C1D6000000000065 +:04C1D7000000000064 +:04C1D8000000000063 +:04C1D9000000000062 +:04C1DA000000000061 +:04C1DB000000000060 +:04C1DC00000000005F +:04C1DD00000000005E +:04C1DE00000000005D +:04C1DF00000000005C +:04C1E000000000005B +:04C1E100000000005A +:04C1E2000000000059 +:04C1E3000000000058 +:04C1E4000000000057 +:04C1E5000000000056 +:04C1E6000000000055 +:04C1E7000000000054 +:04C1E8000000000053 +:04C1E9000000000052 +:04C1EA000000000051 +:04C1EB000000000050 +:04C1EC00000000004F +:04C1ED00000000004E +:04C1EE00000000004D +:04C1EF00000000004C +:04C1F000000000004B +:04C1F100000000004A +:04C1F2000000000049 +:04C1F3000000000048 +:04C1F4000000000047 +:04C1F5000000000046 +:04C1F6000000000045 +:04C1F7000000000044 +:04C1F8000000000043 +:04C1F9000000000042 +:04C1FA000000000041 +:04C1FB000000000040 +:04C1FC00000000003F +:04C1FD00000000003E +:04C1FE00000000003D +:04C1FF00000000003C +:04C20000000000003A +:04C201000000000039 +:04C202000000000038 +:04C203000000000037 +:04C204000000000036 +:04C205000000000035 +:04C206000000000034 +:04C207000000000033 +:04C208000000000032 +:04C209000000000031 +:04C20A000000000030 +:04C20B00000000002F +:04C20C00000000002E +:04C20D00000000002D +:04C20E00000000002C +:04C20F00000000002B +:04C21000000000002A +:04C211000000000029 +:04C212000000000028 +:04C213000000000027 +:04C214000000000026 +:04C215000000000025 +:04C216000000000024 +:04C217000000000023 +:04C218000000000022 +:04C219000000000021 +:04C21A000000000020 +:04C21B00000000001F +:04C21C00000000001E +:04C21D00000000001D +:04C21E00000000001C +:04C21F00000000001B +:04C22000000000001A +:04C221000000000019 +:04C222000000000018 +:04C223000000000017 +:04C224000000000016 +:04C225000000000015 +:04C226000000000014 +:04C227000000000013 +:04C228000000000012 +:04C229000000000011 +:04C22A000000000010 +:04C22B00000000000F +:04C22C00000000000E +:04C22D00000000000D +:04C22E00000000000C +:04C22F00000000000B +:04C23000000000000A +:04C231000000000009 +:04C232000000000008 +:04C233000000000007 +:04C234000000000006 +:04C235000000000005 +:04C236000000000004 +:04C237000000000003 +:04C238000000000002 +:04C239000000000001 +:04C23A000000000000 +:04C23B0000000000FF +:04C23C0000000000FE +:04C23D0000000000FD +:04C23E0000000000FC +:04C23F0000000000FB +:04C2400000000000FA +:04C2410000000000F9 +:04C2420000000000F8 +:04C2430000000000F7 +:04C2440000000000F6 +:04C2450000000000F5 +:04C2460000000000F4 +:04C2470000000000F3 +:04C2480000000000F2 +:04C2490000000000F1 +:04C24A0000000000F0 +:04C24B0000000000EF +:04C24C0000000000EE +:04C24D0000000000ED +:04C24E0000000000EC +:04C24F0000000000EB +:04C2500000000000EA +:04C2510000000000E9 +:04C2520000000000E8 +:04C2530000000000E7 +:04C2540000000000E6 +:04C2550000000000E5 +:04C2560000000000E4 +:04C2570000000000E3 +:04C2580000000000E2 +:04C2590000000000E1 +:04C25A0000000000E0 +:04C25B0000000000DF +:04C25C0000000000DE +:04C25D0000000000DD +:04C25E0000000000DC +:04C25F0000000000DB +:04C2600000000000DA +:04C2610000000000D9 +:04C2620000000000D8 +:04C2630000000000D7 +:04C2640000000000D6 +:04C2650000000000D5 +:04C2660000000000D4 +:04C2670000000000D3 +:04C2680000000000D2 +:04C2690000000000D1 +:04C26A0000000000D0 +:04C26B0000000000CF +:04C26C0000000000CE +:04C26D0000000000CD +:04C26E0000000000CC +:04C26F0000000000CB +:04C2700000000000CA +:04C2710000000000C9 +:04C2720000000000C8 +:04C2730000000000C7 +:04C2740000000000C6 +:04C2750000000000C5 +:04C2760000000000C4 +:04C2770000000000C3 +:04C2780000000000C2 +:04C2790000000000C1 +:04C27A0000000000C0 +:04C27B0000000000BF +:04C27C0000000000BE +:04C27D0000000000BD +:04C27E0000000000BC +:04C27F0000000000BB +:04C2800000000000BA +:04C2810000000000B9 +:04C2820000000000B8 +:04C2830000000000B7 +:04C2840000000000B6 +:04C2850000000000B5 +:04C2860000000000B4 +:04C2870000000000B3 +:04C2880000000000B2 +:04C2890000000000B1 +:04C28A0000000000B0 +:04C28B0000000000AF +:04C28C0000000000AE +:04C28D0000000000AD +:04C28E0000000000AC +:04C28F0000000000AB +:04C2900000000000AA +:04C2910000000000A9 +:04C2920000000000A8 +:04C2930000000000A7 +:04C2940000000000A6 +:04C2950000000000A5 +:04C2960000000000A4 +:04C2970000000000A3 +:04C2980000000000A2 +:04C2990000000000A1 +:04C29A0000000000A0 +:04C29B00000000009F +:04C29C00000000009E +:04C29D00000000009D +:04C29E00000000009C +:04C29F00000000009B +:04C2A000000000009A +:04C2A1000000000099 +:04C2A2000000000098 +:04C2A3000000000097 +:04C2A4000000000096 +:04C2A5000000000095 +:04C2A6000000000094 +:04C2A7000000000093 +:04C2A8000000000092 +:04C2A9000000000091 +:04C2AA000000000090 +:04C2AB00000000008F +:04C2AC00000000008E +:04C2AD00000000008D +:04C2AE00000000008C +:04C2AF00000000008B +:04C2B000000000008A +:04C2B1000000000089 +:04C2B2000000000088 +:04C2B3000000000087 +:04C2B4000000000086 +:04C2B5000000000085 +:04C2B6000000000084 +:04C2B7000000000083 +:04C2B8000000000082 +:04C2B9000000000081 +:04C2BA000000000080 +:04C2BB00000000007F +:04C2BC00000000007E +:04C2BD00000000007D +:04C2BE00000000007C +:04C2BF00000000007B +:04C2C000000000007A +:04C2C1000000000079 +:04C2C2000000000078 +:04C2C3000000000077 +:04C2C4000000000076 +:04C2C5000000000075 +:04C2C6000000000074 +:04C2C7000000000073 +:04C2C8000000000072 +:04C2C9000000000071 +:04C2CA000000000070 +:04C2CB00000000006F +:04C2CC00000000006E +:04C2CD00000000006D +:04C2CE00000000006C +:04C2CF00000000006B +:04C2D000000000006A +:04C2D1000000000069 +:04C2D2000000000068 +:04C2D3000000000067 +:04C2D4000000000066 +:04C2D5000000000065 +:04C2D6000000000064 +:04C2D7000000000063 +:04C2D8000000000062 +:04C2D9000000000061 +:04C2DA000000000060 +:04C2DB00000000005F +:04C2DC00000000005E +:04C2DD00000000005D +:04C2DE00000000005C +:04C2DF00000000005B +:04C2E000000000005A +:04C2E1000000000059 +:04C2E2000000000058 +:04C2E3000000000057 +:04C2E4000000000056 +:04C2E5000000000055 +:04C2E6000000000054 +:04C2E7000000000053 +:04C2E8000000000052 +:04C2E9000000000051 +:04C2EA000000000050 +:04C2EB00000000004F +:04C2EC00000000004E +:04C2ED00000000004D +:04C2EE00000000004C +:04C2EF00000000004B +:04C2F000000000004A +:04C2F1000000000049 +:04C2F2000000000048 +:04C2F3000000000047 +:04C2F4000000000046 +:04C2F5000000000045 +:04C2F6000000000044 +:04C2F7000000000043 +:04C2F8000000000042 +:04C2F9000000000041 +:04C2FA000000000040 +:04C2FB00000000003F +:04C2FC00000000003E +:04C2FD00000000003D +:04C2FE00000000003C +:04C2FF00000000003B +:04C300000000000039 +:04C301000000000038 +:04C302000000000037 +:04C303000000000036 +:04C304000000000035 +:04C305000000000034 +:04C306000000000033 +:04C307000000000032 +:04C308000000000031 +:04C309000000000030 +:04C30A00000000002F +:04C30B00000000002E +:04C30C00000000002D +:04C30D00000000002C +:04C30E00000000002B +:04C30F00000000002A +:04C310000000000029 +:04C311000000000028 +:04C312000000000027 +:04C313000000000026 +:04C314000000000025 +:04C315000000000024 +:04C316000000000023 +:04C317000000000022 +:04C318000000000021 +:04C319000000000020 +:04C31A00000000001F +:04C31B00000000001E +:04C31C00000000001D +:04C31D00000000001C +:04C31E00000000001B +:04C31F00000000001A +:04C320000000000019 +:04C321000000000018 +:04C322000000000017 +:04C323000000000016 +:04C324000000000015 +:04C325000000000014 +:04C326000000000013 +:04C327000000000012 +:04C328000000000011 +:04C329000000000010 +:04C32A00000000000F +:04C32B00000000000E +:04C32C00000000000D +:04C32D00000000000C +:04C32E00000000000B +:04C32F00000000000A +:04C330000000000009 +:04C331000000000008 +:04C332000000000007 +:04C333000000000006 +:04C334000000000005 +:04C335000000000004 +:04C336000000000003 +:04C337000000000002 +:04C338000000000001 +:04C339000000000000 +:04C33A0000000000FF +:04C33B0000000000FE +:04C33C0000000000FD +:04C33D0000000000FC +:04C33E0000000000FB +:04C33F0000000000FA +:04C3400000000000F9 +:04C3410000000000F8 +:04C3420000000000F7 +:04C3430000000000F6 +:04C3440000000000F5 +:04C3450000000000F4 +:04C3460000000000F3 +:04C3470000000000F2 +:04C3480000000000F1 +:04C3490000000000F0 +:04C34A0000000000EF +:04C34B0000000000EE +:04C34C0000000000ED +:04C34D0000000000EC +:04C34E0000000000EB +:04C34F0000000000EA +:04C3500000000000E9 +:04C3510000000000E8 +:04C3520000000000E7 +:04C3530000000000E6 +:04C3540000000000E5 +:04C3550000000000E4 +:04C3560000000000E3 +:04C3570000000000E2 +:04C3580000000000E1 +:04C3590000000000E0 +:04C35A0000000000DF +:04C35B0000000000DE +:04C35C0000000000DD +:04C35D0000000000DC +:04C35E0000000000DB +:04C35F0000000000DA +:04C3600000000000D9 +:04C3610000000000D8 +:04C3620000000000D7 +:04C3630000000000D6 +:04C3640000000000D5 +:04C3650000000000D4 +:04C3660000000000D3 +:04C3670000000000D2 +:04C3680000000000D1 +:04C3690000000000D0 +:04C36A0000000000CF +:04C36B0000000000CE +:04C36C0000000000CD +:04C36D0000000000CC +:04C36E0000000000CB +:04C36F0000000000CA +:04C3700000000000C9 +:04C3710000000000C8 +:04C3720000000000C7 +:04C3730000000000C6 +:04C3740000000000C5 +:04C3750000000000C4 +:04C3760000000000C3 +:04C3770000000000C2 +:04C3780000000000C1 +:04C3790000000000C0 +:04C37A0000000000BF +:04C37B0000000000BE +:04C37C0000000000BD +:04C37D0000000000BC +:04C37E0000000000BB +:04C37F0000000000BA +:04C3800000000000B9 +:04C3810000000000B8 +:04C3820000000000B7 +:04C3830000000000B6 +:04C3840000000000B5 +:04C3850000000000B4 +:04C3860000000000B3 +:04C3870000000000B2 +:04C3880000000000B1 +:04C3890000000000B0 +:04C38A0000000000AF +:04C38B0000000000AE +:04C38C0000000000AD +:04C38D0000000000AC +:04C38E0000000000AB +:04C38F0000000000AA +:04C3900000000000A9 +:04C3910000000000A8 +:04C3920000000000A7 +:04C3930000000000A6 +:04C3940000000000A5 +:04C3950000000000A4 +:04C3960000000000A3 +:04C3970000000000A2 +:04C3980000000000A1 +:04C3990000000000A0 +:04C39A00000000009F +:04C39B00000000009E +:04C39C00000000009D +:04C39D00000000009C +:04C39E00000000009B +:04C39F00000000009A +:04C3A0000000000099 +:04C3A1000000000098 +:04C3A2000000000097 +:04C3A3000000000096 +:04C3A4000000000095 +:04C3A5000000000094 +:04C3A6000000000093 +:04C3A7000000000092 +:04C3A8000000000091 +:04C3A9000000000090 +:04C3AA00000000008F +:04C3AB00000000008E +:04C3AC00000000008D +:04C3AD00000000008C +:04C3AE00000000008B +:04C3AF00000000008A +:04C3B0000000000089 +:04C3B1000000000088 +:04C3B2000000000087 +:04C3B3000000000086 +:04C3B4000000000085 +:04C3B5000000000084 +:04C3B6000000000083 +:04C3B7000000000082 +:04C3B8000000000081 +:04C3B9000000000080 +:04C3BA00000000007F +:04C3BB00000000007E +:04C3BC00000000007D +:04C3BD00000000007C +:04C3BE00000000007B +:04C3BF00000000007A +:04C3C0000000000079 +:04C3C1000000000078 +:04C3C2000000000077 +:04C3C3000000000076 +:04C3C4000000000075 +:04C3C5000000000074 +:04C3C6000000000073 +:04C3C7000000000072 +:04C3C8000000000071 +:04C3C9000000000070 +:04C3CA00000000006F +:04C3CB00000000006E +:04C3CC00000000006D +:04C3CD00000000006C +:04C3CE00000000006B +:04C3CF00000000006A +:04C3D0000000000069 +:04C3D1000000000068 +:04C3D2000000000067 +:04C3D3000000000066 +:04C3D4000000000065 +:04C3D5000000000064 +:04C3D6000000000063 +:04C3D7000000000062 +:04C3D8000000000061 +:04C3D9000000000060 +:04C3DA00000000005F +:04C3DB00000000005E +:04C3DC00000000005D +:04C3DD00000000005C +:04C3DE00000000005B +:04C3DF00000000005A +:04C3E0000000000059 +:04C3E1000000000058 +:04C3E2000000000057 +:04C3E3000000000056 +:04C3E4000000000055 +:04C3E5000000000054 +:04C3E6000000000053 +:04C3E7000000000052 +:04C3E8000000000051 +:04C3E9000000000050 +:04C3EA00000000004F +:04C3EB00000000004E +:04C3EC00000000004D +:04C3ED00000000004C +:04C3EE00000000004B +:04C3EF00000000004A +:04C3F0000000000049 +:04C3F1000000000048 +:04C3F2000000000047 +:04C3F3000000000046 +:04C3F4000000000045 +:04C3F5000000000044 +:04C3F6000000000043 +:04C3F7000000000042 +:04C3F8000000000041 +:04C3F9000000000040 +:04C3FA00000000003F +:04C3FB00000000003E +:04C3FC00000000003D +:04C3FD00000000003C +:04C3FE00000000003B +:04C3FF00000000003A +:04C400000000000038 +:04C401000000000037 +:04C402000000000036 +:04C403000000000035 +:04C404000000000034 +:04C405000000000033 +:04C406000000000032 +:04C407000000000031 +:04C408000000000030 +:04C40900000000002F +:04C40A00000000002E +:04C40B00000000002D +:04C40C00000000002C +:04C40D00000000002B +:04C40E00000000002A +:04C40F000000000029 +:04C410000000000028 +:04C411000000000027 +:04C412000000000026 +:04C413000000000025 +:04C414000000000024 +:04C415000000000023 +:04C416000000000022 +:04C417000000000021 +:04C418000000000020 +:04C41900000000001F +:04C41A00000000001E +:04C41B00000000001D +:04C41C00000000001C +:04C41D00000000001B +:04C41E00000000001A +:04C41F000000000019 +:04C420000000000018 +:04C421000000000017 +:04C422000000000016 +:04C423000000000015 +:04C424000000000014 +:04C425000000000013 +:04C426000000000012 +:04C427000000000011 +:04C428000000000010 +:04C42900000000000F +:04C42A00000000000E +:04C42B00000000000D +:04C42C00000000000C +:04C42D00000000000B +:04C42E00000000000A +:04C42F000000000009 +:04C430000000000008 +:04C431000000000007 +:04C432000000000006 +:04C433000000000005 +:04C434000000000004 +:04C435000000000003 +:04C436000000000002 +:04C437000000000001 +:04C438000000000000 +:04C4390000000000FF +:04C43A0000000000FE +:04C43B0000000000FD +:04C43C0000000000FC +:04C43D0000000000FB +:04C43E0000000000FA +:04C43F0000000000F9 +:04C4400000000000F8 +:04C4410000000000F7 +:04C4420000000000F6 +:04C4430000000000F5 +:04C4440000000000F4 +:04C4450000000000F3 +:04C4460000000000F2 +:04C4470000000000F1 +:04C4480000000000F0 +:04C4490000000000EF +:04C44A0000000000EE +:04C44B0000000000ED +:04C44C0000000000EC +:04C44D0000000000EB +:04C44E0000000000EA +:04C44F0000000000E9 +:04C4500000000000E8 +:04C4510000000000E7 +:04C4520000000000E6 +:04C4530000000000E5 +:04C4540000000000E4 +:04C4550000000000E3 +:04C4560000000000E2 +:04C4570000000000E1 +:04C4580000000000E0 +:04C4590000000000DF +:04C45A0000000000DE +:04C45B0000000000DD +:04C45C0000000000DC +:04C45D0000000000DB +:04C45E0000000000DA +:04C45F0000000000D9 +:04C4600000000000D8 +:04C4610000000000D7 +:04C4620000000000D6 +:04C4630000000000D5 +:04C4640000000000D4 +:04C4650000000000D3 +:04C4660000000000D2 +:04C4670000000000D1 +:04C4680000000000D0 +:04C4690000000000CF +:04C46A0000000000CE +:04C46B0000000000CD +:04C46C0000000000CC +:04C46D0000000000CB +:04C46E0000000000CA +:04C46F0000000000C9 +:04C4700000000000C8 +:04C4710000000000C7 +:04C4720000000000C6 +:04C4730000000000C5 +:04C4740000000000C4 +:04C4750000000000C3 +:04C4760000000000C2 +:04C4770000000000C1 +:04C4780000000000C0 +:04C4790000000000BF +:04C47A0000000000BE +:04C47B0000000000BD +:04C47C0000000000BC +:04C47D0000000000BB +:04C47E0000000000BA +:04C47F0000000000B9 +:04C4800000000000B8 +:04C4810000000000B7 +:04C4820000000000B6 +:04C4830000000000B5 +:04C4840000000000B4 +:04C4850000000000B3 +:04C4860000000000B2 +:04C4870000000000B1 +:04C4880000000000B0 +:04C4890000000000AF +:04C48A0000000000AE +:04C48B0000000000AD +:04C48C0000000000AC +:04C48D0000000000AB +:04C48E0000000000AA +:04C48F0000000000A9 +:04C4900000000000A8 +:04C4910000000000A7 +:04C4920000000000A6 +:04C4930000000000A5 +:04C4940000000000A4 +:04C4950000000000A3 +:04C4960000000000A2 +:04C4970000000000A1 +:04C4980000000000A0 +:04C49900000000009F +:04C49A00000000009E +:04C49B00000000009D +:04C49C00000000009C +:04C49D00000000009B +:04C49E00000000009A +:04C49F000000000099 +:04C4A0000000000098 +:04C4A1000000000097 +:04C4A2000000000096 +:04C4A3000000000095 +:04C4A4000000000094 +:04C4A5000000000093 +:04C4A6000000000092 +:04C4A7000000000091 +:04C4A8000000000090 +:04C4A900000000008F +:04C4AA00000000008E +:04C4AB00000000008D +:04C4AC00000000008C +:04C4AD00000000008B +:04C4AE00000000008A +:04C4AF000000000089 +:04C4B0000000000088 +:04C4B1000000000087 +:04C4B2000000000086 +:04C4B3000000000085 +:04C4B4000000000084 +:04C4B5000000000083 +:04C4B6000000000082 +:04C4B7000000000081 +:04C4B8000000000080 +:04C4B900000000007F +:04C4BA00000000007E +:04C4BB00000000007D +:04C4BC00000000007C +:04C4BD00000000007B +:04C4BE00000000007A +:04C4BF000000000079 +:04C4C0000000000078 +:04C4C1000000000077 +:04C4C2000000000076 +:04C4C3000000000075 +:04C4C4000000000074 +:04C4C5000000000073 +:04C4C6000000000072 +:04C4C7000000000071 +:04C4C8000000000070 +:04C4C900000000006F +:04C4CA00000000006E +:04C4CB00000000006D +:04C4CC00000000006C +:04C4CD00000000006B +:04C4CE00000000006A +:04C4CF000000000069 +:04C4D0000000000068 +:04C4D1000000000067 +:04C4D2000000000066 +:04C4D3000000000065 +:04C4D4000000000064 +:04C4D5000000000063 +:04C4D6000000000062 +:04C4D7000000000061 +:04C4D8000000000060 +:04C4D900000000005F +:04C4DA00000000005E +:04C4DB00000000005D +:04C4DC00000000005C +:04C4DD00000000005B +:04C4DE00000000005A +:04C4DF000000000059 +:04C4E0000000000058 +:04C4E1000000000057 +:04C4E2000000000056 +:04C4E3000000000055 +:04C4E4000000000054 +:04C4E5000000000053 +:04C4E6000000000052 +:04C4E7000000000051 +:04C4E8000000000050 +:04C4E900000000004F +:04C4EA00000000004E +:04C4EB00000000004D +:04C4EC00000000004C +:04C4ED00000000004B +:04C4EE00000000004A +:04C4EF000000000049 +:04C4F0000000000048 +:04C4F1000000000047 +:04C4F2000000000046 +:04C4F3000000000045 +:04C4F4000000000044 +:04C4F5000000000043 +:04C4F6000000000042 +:04C4F7000000000041 +:04C4F8000000000040 +:04C4F900000000003F +:04C4FA00000000003E +:04C4FB00000000003D +:04C4FC00000000003C +:04C4FD00000000003B +:04C4FE00000000003A +:04C4FF000000000039 +:04C500000000000037 +:04C501000000000036 +:04C502000000000035 +:04C503000000000034 +:04C504000000000033 +:04C505000000000032 +:04C506000000000031 +:04C507000000000030 +:04C50800000000002F +:04C50900000000002E +:04C50A00000000002D +:04C50B00000000002C +:04C50C00000000002B +:04C50D00000000002A +:04C50E000000000029 +:04C50F000000000028 +:04C510000000000027 +:04C511000000000026 +:04C512000000000025 +:04C513000000000024 +:04C514000000000023 +:04C515000000000022 +:04C516000000000021 +:04C517000000000020 +:04C51800000000001F +:04C51900000000001E +:04C51A00000000001D +:04C51B00000000001C +:04C51C00000000001B +:04C51D00000000001A +:04C51E000000000019 +:04C51F000000000018 +:04C520000000000017 +:04C521000000000016 +:04C522000000000015 +:04C523000000000014 +:04C524000000000013 +:04C525000000000012 +:04C526000000000011 +:04C527000000000010 +:04C52800000000000F +:04C52900000000000E +:04C52A00000000000D +:04C52B00000000000C +:04C52C00000000000B +:04C52D00000000000A +:04C52E000000000009 +:04C52F000000000008 +:04C530000000000007 +:04C531000000000006 +:04C532000000000005 +:04C533000000000004 +:04C534000000000003 +:04C535000000000002 +:04C536000000000001 +:04C537000000000000 +:04C5380000000000FF +:04C5390000000000FE +:04C53A0000000000FD +:04C53B0000000000FC +:04C53C0000000000FB +:04C53D0000000000FA +:04C53E0000000000F9 +:04C53F0000000000F8 +:04C5400000000000F7 +:04C5410000000000F6 +:04C5420000000000F5 +:04C5430000000000F4 +:04C5440000000000F3 +:04C5450000000000F2 +:04C5460000000000F1 +:04C5470000000000F0 +:04C5480000000000EF +:04C5490000000000EE +:04C54A0000000000ED +:04C54B0000000000EC +:04C54C0000000000EB +:04C54D0000000000EA +:04C54E0000000000E9 +:04C54F0000000000E8 +:04C5500000000000E7 +:04C5510000000000E6 +:04C5520000000000E5 +:04C5530000000000E4 +:04C5540000000000E3 +:04C5550000000000E2 +:04C5560000000000E1 +:04C5570000000000E0 +:04C5580000000000DF +:04C5590000000000DE +:04C55A0000000000DD +:04C55B0000000000DC +:04C55C0000000000DB +:04C55D0000000000DA +:04C55E0000000000D9 +:04C55F0000000000D8 +:04C5600000000000D7 +:04C5610000000000D6 +:04C5620000000000D5 +:04C5630000000000D4 +:04C5640000000000D3 +:04C5650000000000D2 +:04C5660000000000D1 +:04C5670000000000D0 +:04C5680000000000CF +:04C5690000000000CE +:04C56A0000000000CD +:04C56B0000000000CC +:04C56C0000000000CB +:04C56D0000000000CA +:04C56E0000000000C9 +:04C56F0000000000C8 +:04C5700000000000C7 +:04C5710000000000C6 +:04C5720000000000C5 +:04C5730000000000C4 +:04C5740000000000C3 +:04C5750000000000C2 +:04C5760000000000C1 +:04C5770000000000C0 +:04C5780000000000BF +:04C5790000000000BE +:04C57A0000000000BD +:04C57B0000000000BC +:04C57C0000000000BB +:04C57D0000000000BA +:04C57E0000000000B9 +:04C57F0000000000B8 +:04C5800000000000B7 +:04C5810000000000B6 +:04C5820000000000B5 +:04C5830000000000B4 +:04C5840000000000B3 +:04C5850000000000B2 +:04C5860000000000B1 +:04C5870000000000B0 +:04C5880000000000AF +:04C5890000000000AE +:04C58A0000000000AD +:04C58B0000000000AC +:04C58C0000000000AB +:04C58D0000000000AA +:04C58E0000000000A9 +:04C58F0000000000A8 +:04C5900000000000A7 +:04C5910000000000A6 +:04C5920000000000A5 +:04C5930000000000A4 +:04C5940000000000A3 +:04C5950000000000A2 +:04C5960000000000A1 +:04C5970000000000A0 +:04C59800000000009F +:04C59900000000009E +:04C59A00000000009D +:04C59B00000000009C +:04C59C00000000009B +:04C59D00000000009A +:04C59E000000000099 +:04C59F000000000098 +:04C5A0000000000097 +:04C5A1000000000096 +:04C5A2000000000095 +:04C5A3000000000094 +:04C5A4000000000093 +:04C5A5000000000092 +:04C5A6000000000091 +:04C5A7000000000090 +:04C5A800000000008F +:04C5A900000000008E +:04C5AA00000000008D +:04C5AB00000000008C +:04C5AC00000000008B +:04C5AD00000000008A +:04C5AE000000000089 +:04C5AF000000000088 +:04C5B0000000000087 +:04C5B1000000000086 +:04C5B2000000000085 +:04C5B3000000000084 +:04C5B4000000000083 +:04C5B5000000000082 +:04C5B6000000000081 +:04C5B7000000000080 +:04C5B800000000007F +:04C5B900000000007E +:04C5BA00000000007D +:04C5BB00000000007C +:04C5BC00000000007B +:04C5BD00000000007A +:04C5BE000000000079 +:04C5BF000000000078 +:04C5C0000000000077 +:04C5C1000000000076 +:04C5C2000000000075 +:04C5C3000000000074 +:04C5C4000000000073 +:04C5C5000000000072 +:04C5C6000000000071 +:04C5C7000000000070 +:04C5C800000000006F +:04C5C900000000006E +:04C5CA00000000006D +:04C5CB00000000006C +:04C5CC00000000006B +:04C5CD00000000006A +:04C5CE000000000069 +:04C5CF000000000068 +:04C5D0000000000067 +:04C5D1000000000066 +:04C5D2000000000065 +:04C5D3000000000064 +:04C5D4000000000063 +:04C5D5000000000062 +:04C5D6000000000061 +:04C5D7000000000060 +:04C5D800000000005F +:04C5D900000000005E +:04C5DA00000000005D +:04C5DB00000000005C +:04C5DC00000000005B +:04C5DD00000000005A +:04C5DE000000000059 +:04C5DF000000000058 +:04C5E0000000000057 +:04C5E1000000000056 +:04C5E2000000000055 +:04C5E3000000000054 +:04C5E4000000000053 +:04C5E5000000000052 +:04C5E6000000000051 +:04C5E7000000000050 +:04C5E800000000004F +:04C5E900000000004E +:04C5EA00000000004D +:04C5EB00000000004C +:04C5EC00000000004B +:04C5ED00000000004A +:04C5EE000000000049 +:04C5EF000000000048 +:04C5F0000000000047 +:04C5F1000000000046 +:04C5F2000000000045 +:04C5F3000000000044 +:04C5F4000000000043 +:04C5F5000000000042 +:04C5F6000000000041 +:04C5F7000000000040 +:04C5F800000000003F +:04C5F900000000003E +:04C5FA00000000003D +:04C5FB00000000003C +:04C5FC00000000003B +:04C5FD00000000003A +:04C5FE000000000039 +:04C5FF000000000038 +:04C600000000000036 +:04C601000000000035 +:04C602000000000034 +:04C603000000000033 +:04C604000000000032 +:04C605000000000031 +:04C606000000000030 +:04C60700000000002F +:04C60800000000002E +:04C60900000000002D +:04C60A00000000002C +:04C60B00000000002B +:04C60C00000000002A +:04C60D000000000029 +:04C60E000000000028 +:04C60F000000000027 +:04C610000000000026 +:04C611000000000025 +:04C612000000000024 +:04C613000000000023 +:04C614000000000022 +:04C615000000000021 +:04C616000000000020 +:04C61700000000001F +:04C61800000000001E +:04C61900000000001D +:04C61A00000000001C +:04C61B00000000001B +:04C61C00000000001A +:04C61D000000000019 +:04C61E000000000018 +:04C61F000000000017 +:04C620000000000016 +:04C621000000000015 +:04C622000000000014 +:04C623000000000013 +:04C624000000000012 +:04C625000000000011 +:04C626000000000010 +:04C62700000000000F +:04C62800000000000E +:04C62900000000000D +:04C62A00000000000C +:04C62B00000000000B +:04C62C00000000000A +:04C62D000000000009 +:04C62E000000000008 +:04C62F000000000007 +:04C630000000000006 +:04C631000000000005 +:04C632000000000004 +:04C633000000000003 +:04C634000000000002 +:04C635000000000001 +:04C636000000000000 +:04C6370000000000FF +:04C6380000000000FE +:04C6390000000000FD +:04C63A0000000000FC +:04C63B0000000000FB +:04C63C0000000000FA +:04C63D0000000000F9 +:04C63E0000000000F8 +:04C63F0000000000F7 +:04C6400000000000F6 +:04C6410000000000F5 +:04C6420000000000F4 +:04C6430000000000F3 +:04C6440000000000F2 +:04C6450000000000F1 +:04C6460000000000F0 +:04C6470000000000EF +:04C6480000000000EE +:04C6490000000000ED +:04C64A0000000000EC +:04C64B0000000000EB +:04C64C0000000000EA +:04C64D0000000000E9 +:04C64E0000000000E8 +:04C64F0000000000E7 +:04C6500000000000E6 +:04C6510000000000E5 +:04C6520000000000E4 +:04C6530000000000E3 +:04C6540000000000E2 +:04C6550000000000E1 +:04C6560000000000E0 +:04C6570000000000DF +:04C6580000000000DE +:04C6590000000000DD +:04C65A0000000000DC +:04C65B0000000000DB +:04C65C0000000000DA +:04C65D0000000000D9 +:04C65E0000000000D8 +:04C65F0000000000D7 +:04C6600000000000D6 +:04C6610000000000D5 +:04C6620000000000D4 +:04C6630000000000D3 +:04C6640000000000D2 +:04C6650000000000D1 +:04C6660000000000D0 +:04C6670000000000CF +:04C6680000000000CE +:04C6690000000000CD +:04C66A0000000000CC +:04C66B0000000000CB +:04C66C0000000000CA +:04C66D0000000000C9 +:04C66E0000000000C8 +:04C66F0000000000C7 +:04C6700000000000C6 +:04C6710000000000C5 +:04C6720000000000C4 +:04C6730000000000C3 +:04C6740000000000C2 +:04C6750000000000C1 +:04C6760000000000C0 +:04C6770000000000BF +:04C6780000000000BE +:04C6790000000000BD +:04C67A0000000000BC +:04C67B0000000000BB +:04C67C0000000000BA +:04C67D0000000000B9 +:04C67E0000000000B8 +:04C67F0000000000B7 +:04C6800000000000B6 +:04C6810000000000B5 +:04C6820000000000B4 +:04C6830000000000B3 +:04C6840000000000B2 +:04C6850000000000B1 +:04C6860000000000B0 +:04C6870000000000AF +:04C6880000000000AE +:04C6890000000000AD +:04C68A0000000000AC +:04C68B0000000000AB +:04C68C0000000000AA +:04C68D0000000000A9 +:04C68E0000000000A8 +:04C68F0000000000A7 +:04C6900000000000A6 +:04C6910000000000A5 +:04C6920000000000A4 +:04C6930000000000A3 +:04C6940000000000A2 +:04C6950000000000A1 +:04C6960000000000A0 +:04C69700000000009F +:04C69800000000009E +:04C69900000000009D +:04C69A00000000009C +:04C69B00000000009B +:04C69C00000000009A +:04C69D000000000099 +:04C69E000000000098 +:04C69F000000000097 +:04C6A0000000000096 +:04C6A1000000000095 +:04C6A2000000000094 +:04C6A3000000000093 +:04C6A4000000000092 +:04C6A5000000000091 +:04C6A6000000000090 +:04C6A700000000008F +:04C6A800000000008E +:04C6A900000000008D +:04C6AA00000000008C +:04C6AB00000000008B +:04C6AC00000000008A +:04C6AD000000000089 +:04C6AE000000000088 +:04C6AF000000000087 +:04C6B0000000000086 +:04C6B1000000000085 +:04C6B2000000000084 +:04C6B3000000000083 +:04C6B4000000000082 +:04C6B5000000000081 +:04C6B6000000000080 +:04C6B700000000007F +:04C6B800000000007E +:04C6B900000000007D +:04C6BA00000000007C +:04C6BB00000000007B +:04C6BC00000000007A +:04C6BD000000000079 +:04C6BE000000000078 +:04C6BF000000000077 +:04C6C0000000000076 +:04C6C1000000000075 +:04C6C2000000000074 +:04C6C3000000000073 +:04C6C4000000000072 +:04C6C5000000000071 +:04C6C6000000000070 +:04C6C700000000006F +:04C6C800000000006E +:04C6C900000000006D +:04C6CA00000000006C +:04C6CB00000000006B +:04C6CC00000000006A +:04C6CD000000000069 +:04C6CE000000000068 +:04C6CF000000000067 +:04C6D0000000000066 +:04C6D1000000000065 +:04C6D2000000000064 +:04C6D3000000000063 +:04C6D4000000000062 +:04C6D5000000000061 +:04C6D6000000000060 +:04C6D700000000005F +:04C6D800000000005E +:04C6D900000000005D +:04C6DA00000000005C +:04C6DB00000000005B +:04C6DC00000000005A +:04C6DD000000000059 +:04C6DE000000000058 +:04C6DF000000000057 +:04C6E0000000000056 +:04C6E1000000000055 +:04C6E2000000000054 +:04C6E3000000000053 +:04C6E4000000000052 +:04C6E5000000000051 +:04C6E6000000000050 +:04C6E700000000004F +:04C6E800000000004E +:04C6E900000000004D +:04C6EA00000000004C +:04C6EB00000000004B +:04C6EC00000000004A +:04C6ED000000000049 +:04C6EE000000000048 +:04C6EF000000000047 +:04C6F0000000000046 +:04C6F1000000000045 +:04C6F2000000000044 +:04C6F3000000000043 +:04C6F4000000000042 +:04C6F5000000000041 +:04C6F6000000000040 +:04C6F700000000003F +:04C6F800000000003E +:04C6F900000000003D +:04C6FA00000000003C +:04C6FB00000000003B +:04C6FC00000000003A +:04C6FD000000000039 +:04C6FE000000000038 +:04C6FF000000000037 +:04C700000000000035 +:04C701000000000034 +:04C702000000000033 +:04C703000000000032 +:04C704000000000031 +:04C705000000000030 +:04C70600000000002F +:04C70700000000002E +:04C70800000000002D +:04C70900000000002C +:04C70A00000000002B +:04C70B00000000002A +:04C70C000000000029 +:04C70D000000000028 +:04C70E000000000027 +:04C70F000000000026 +:04C710000000000025 +:04C711000000000024 +:04C712000000000023 +:04C713000000000022 +:04C714000000000021 +:04C715000000000020 +:04C71600000000001F +:04C71700000000001E +:04C71800000000001D +:04C71900000000001C +:04C71A00000000001B +:04C71B00000000001A +:04C71C000000000019 +:04C71D000000000018 +:04C71E000000000017 +:04C71F000000000016 +:04C720000000000015 +:04C721000000000014 +:04C722000000000013 +:04C723000000000012 +:04C724000000000011 +:04C725000000000010 +:04C72600000000000F +:04C72700000000000E +:04C72800000000000D +:04C72900000000000C +:04C72A00000000000B +:04C72B00000000000A +:04C72C000000000009 +:04C72D000000000008 +:04C72E000000000007 +:04C72F000000000006 +:04C730000000000005 +:04C731000000000004 +:04C732000000000003 +:04C733000000000002 +:04C734000000000001 +:04C735000000000000 +:04C7360000000000FF +:04C7370000000000FE +:04C7380000000000FD +:04C7390000000000FC +:04C73A0000000000FB +:04C73B0000000000FA +:04C73C0000000000F9 +:04C73D0000000000F8 +:04C73E0000000000F7 +:04C73F0000000000F6 +:04C7400000000000F5 +:04C7410000000000F4 +:04C7420000000000F3 +:04C7430000000000F2 +:04C7440000000000F1 +:04C7450000000000F0 +:04C7460000000000EF +:04C7470000000000EE +:04C7480000000000ED +:04C7490000000000EC +:04C74A0000000000EB +:04C74B0000000000EA +:04C74C0000000000E9 +:04C74D0000000000E8 +:04C74E0000000000E7 +:04C74F0000000000E6 +:04C7500000000000E5 +:04C7510000000000E4 +:04C7520000000000E3 +:04C7530000000000E2 +:04C7540000000000E1 +:04C7550000000000E0 +:04C7560000000000DF +:04C7570000000000DE +:04C7580000000000DD +:04C7590000000000DC +:04C75A0000000000DB +:04C75B0000000000DA +:04C75C0000000000D9 +:04C75D0000000000D8 +:04C75E0000000000D7 +:04C75F0000000000D6 +:04C7600000000000D5 +:04C7610000000000D4 +:04C7620000000000D3 +:04C7630000000000D2 +:04C7640000000000D1 +:04C7650000000000D0 +:04C7660000000000CF +:04C7670000000000CE +:04C7680000000000CD +:04C7690000000000CC +:04C76A0000000000CB +:04C76B0000000000CA +:04C76C0000000000C9 +:04C76D0000000000C8 +:04C76E0000000000C7 +:04C76F0000000000C6 +:04C7700000000000C5 +:04C7710000000000C4 +:04C7720000000000C3 +:04C7730000000000C2 +:04C7740000000000C1 +:04C7750000000000C0 +:04C7760000000000BF +:04C7770000000000BE +:04C7780000000000BD +:04C7790000000000BC +:04C77A0000000000BB +:04C77B0000000000BA +:04C77C0000000000B9 +:04C77D0000000000B8 +:04C77E0000000000B7 +:04C77F0000000000B6 +:04C7800000000000B5 +:04C7810000000000B4 +:04C7820000000000B3 +:04C7830000000000B2 +:04C7840000000000B1 +:04C7850000000000B0 +:04C7860000000000AF +:04C7870000000000AE +:04C7880000000000AD +:04C7890000000000AC +:04C78A0000000000AB +:04C78B0000000000AA +:04C78C0000000000A9 +:04C78D0000000000A8 +:04C78E0000000000A7 +:04C78F0000000000A6 +:04C7900000000000A5 +:04C7910000000000A4 +:04C7920000000000A3 +:04C7930000000000A2 +:04C7940000000000A1 +:04C7950000000000A0 +:04C79600000000009F +:04C79700000000009E +:04C79800000000009D +:04C79900000000009C +:04C79A00000000009B +:04C79B00000000009A +:04C79C000000000099 +:04C79D000000000098 +:04C79E000000000097 +:04C79F000000000096 +:04C7A0000000000095 +:04C7A1000000000094 +:04C7A2000000000093 +:04C7A3000000000092 +:04C7A4000000000091 +:04C7A5000000000090 +:04C7A600000000008F +:04C7A700000000008E +:04C7A800000000008D +:04C7A900000000008C +:04C7AA00000000008B +:04C7AB00000000008A +:04C7AC000000000089 +:04C7AD000000000088 +:04C7AE000000000087 +:04C7AF000000000086 +:04C7B0000000000085 +:04C7B1000000000084 +:04C7B2000000000083 +:04C7B3000000000082 +:04C7B4000000000081 +:04C7B5000000000080 +:04C7B600000000007F +:04C7B700000000007E +:04C7B800000000007D +:04C7B900000000007C +:04C7BA00000000007B +:04C7BB00000000007A +:04C7BC000000000079 +:04C7BD000000000078 +:04C7BE000000000077 +:04C7BF000000000076 +:04C7C0000000000075 +:04C7C1000000000074 +:04C7C2000000000073 +:04C7C3000000000072 +:04C7C4000000000071 +:04C7C5000000000070 +:04C7C600000000006F +:04C7C700000000006E +:04C7C800000000006D +:04C7C900000000006C +:04C7CA00000000006B +:04C7CB00000000006A +:04C7CC000000000069 +:04C7CD000000000068 +:04C7CE000000000067 +:04C7CF000000000066 +:04C7D0000000000065 +:04C7D1000000000064 +:04C7D2000000000063 +:04C7D3000000000062 +:04C7D4000000000061 +:04C7D5000000000060 +:04C7D600000000005F +:04C7D700000000005E +:04C7D800000000005D +:04C7D900000000005C +:04C7DA00000000005B +:04C7DB00000000005A +:04C7DC000000000059 +:04C7DD000000000058 +:04C7DE000000000057 +:04C7DF000000000056 +:04C7E0000000000055 +:04C7E1000000000054 +:04C7E2000000000053 +:04C7E3000000000052 +:04C7E4000000000051 +:04C7E5000000000050 +:04C7E600000000004F +:04C7E700000000004E +:04C7E800000000004D +:04C7E900000000004C +:04C7EA00000000004B +:04C7EB00000000004A +:04C7EC000000000049 +:04C7ED000000000048 +:04C7EE000000000047 +:04C7EF000000000046 +:04C7F0000000000045 +:04C7F1000000000044 +:04C7F2000000000043 +:04C7F3000000000042 +:04C7F4000000000041 +:04C7F5000000000040 +:04C7F600000000003F +:04C7F700000000003E +:04C7F800000000003D +:04C7F900000000003C +:04C7FA00000000003B +:04C7FB00000000003A +:04C7FC000000000039 +:04C7FD000000000038 +:04C7FE000000000037 +:04C7FF000000000036 +:00000001ff diff --git a/db/ip/nios_system/submodules/nios_system_onchip_memory.v b/db/ip/nios_system/submodules/nios_system_onchip_memory.v new file mode 100644 index 0000000..9b07e72 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_onchip_memory.v @@ -0,0 +1,85 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_onchip_memory ( + // inputs: + address, + byteenable, + chipselect, + clk, + clken, + reset, + reset_req, + write, + writedata, + + // outputs: + readdata + ) +; + + parameter INIT_FILE = "nios_system_onchip_memory.hex"; + + + output [ 31: 0] readdata; + input [ 15: 0] address; + input [ 3: 0] byteenable; + input chipselect; + input clk; + input clken; + input reset; + input reset_req; + input write; + input [ 31: 0] writedata; + + wire clocken0; + wire [ 31: 0] readdata; + wire wren; + assign wren = chipselect & write; + assign clocken0 = clken & ~reset_req; + altsyncram the_altsyncram + ( + .address_a (address), + .byteena_a (byteenable), + .clock0 (clk), + .clocken0 (clocken0), + .data_a (writedata), + .q_a (readdata), + .wren_a (wren) + ); + + defparam the_altsyncram.byte_size = 8, + the_altsyncram.init_file = INIT_FILE, + the_altsyncram.lpm_type = "altsyncram", + the_altsyncram.maximum_depth = 51200, + the_altsyncram.numwords_a = 51200, + the_altsyncram.operation_mode = "SINGLE_PORT", + the_altsyncram.outdata_reg_a = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", + the_altsyncram.width_a = 32, + the_altsyncram.width_byteena_a = 4, + the_altsyncram.widthad_a = 16; + + //s1, which is an e_avalon_slave + //s2, which is an e_avalon_slave + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_pio_0.v b/db/ip/nios_system/submodules/nios_system_pio_0.v new file mode 100644 index 0000000..9cd2e61 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_pio_0.v @@ -0,0 +1,58 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_pio_0 ( + // inputs: + address, + clk, + in_port, + reset_n, + + // outputs: + readdata + ) +; + + output [ 31: 0] readdata; + input [ 1: 0] address; + input clk; + input [ 17: 0] in_port; + input reset_n; + + wire clk_en; + wire [ 17: 0] data_in; + wire [ 17: 0] read_mux_out; + reg [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {18 {(address == 0)}} & data_in; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + readdata <= 0; + else if (clk_en) + readdata <= {32'b0 | read_mux_out}; + end + + + assign data_in = in_port; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_push_switches.v b/db/ip/nios_system/submodules/nios_system_push_switches.v new file mode 100644 index 0000000..0d16e4b --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_push_switches.v @@ -0,0 +1,58 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_push_switches ( + // inputs: + address, + clk, + in_port, + reset_n, + + // outputs: + readdata + ) +; + + output [ 31: 0] readdata; + input [ 1: 0] address; + input clk; + input [ 2: 0] in_port; + input reset_n; + + wire clk_en; + wire [ 2: 0] data_in; + wire [ 2: 0] read_mux_out; + reg [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {3 {(address == 0)}} & data_in; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + readdata <= 0; + else if (clk_en) + readdata <= {32'b0 | read_mux_out}; + end + + + assign data_in = in_port; + +endmodule + diff --git a/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux.sv b/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux.sv new file mode 100644 index 0000000..44c4d45 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux.sv @@ -0,0 +1,116 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_demux +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 2 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_rsp_xbar_demux +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + output reg src1_valid, + output reg [96-1 : 0] src1_data, // ST_DATA_W=96 + output reg [18-1 : 0] src1_channel, // ST_CHANNEL_W=18 + output reg src1_startofpacket, + output reg src1_endofpacket, + input src1_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 2; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + src1_data = sink_data; + src1_startofpacket = sink_startofpacket; + src1_endofpacket = sink_endofpacket; + src1_channel = sink_channel >> NUM_OUTPUTS; + + src1_valid = sink_channel[1] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + assign ready_vector[1] = src1_ready; + + assign sink_ready = |(sink_channel & {{16{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux_002.sv b/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux_002.sv new file mode 100644 index 0000000..52411df --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux_002.sv @@ -0,0 +1,101 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_demux_002 +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 1 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_rsp_xbar_demux_002 +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 1; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + + assign sink_ready = |(sink_channel & {{17{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux_003.sv b/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux_003.sv new file mode 100644 index 0000000..755ac9e --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_rsp_xbar_demux_003.sv @@ -0,0 +1,101 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_demux_003 +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 1 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_rsp_xbar_demux_003 +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 1; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + + assign sink_ready = |(sink_channel & {{17{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/db/ip/nios_system/submodules/nios_system_rsp_xbar_mux.sv b/db/ip/nios_system/submodules/nios_system_rsp_xbar_mux.sv new file mode 100644 index 0000000..6f30bfb --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_rsp_xbar_mux.sv @@ -0,0 +1,331 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Multiplexer +// ------------------------------------------ + +`timescale 1 ns / 1 ns + + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_mux +// NUM_INPUTS: 2 +// ARBITRATION_SHARES: 1 1 +// ARBITRATION_SCHEME "no-arb" +// PIPELINE_ARB: 0 +// PKT_TRANS_LOCK: 59 (arbitration locking enabled) +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// ------------------------------------------ + +module nios_system_rsp_xbar_mux +( + // ---------------------- + // Sinks + // ---------------------- + input sink0_valid, + input [96-1 : 0] sink0_data, + input [18-1: 0] sink0_channel, + input sink0_startofpacket, + input sink0_endofpacket, + output sink0_ready, + + input sink1_valid, + input [96-1 : 0] sink1_data, + input [18-1: 0] sink1_channel, + input sink1_startofpacket, + input sink1_endofpacket, + output sink1_ready, + + + // ---------------------- + // Source + // ---------------------- + output src_valid, + output [96-1 : 0] src_data, + output [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready, + + // ---------------------- + // Clock & Reset + // ---------------------- + input clk, + input reset +); + localparam PAYLOAD_W = 96 + 18 + 2; + localparam NUM_INPUTS = 2; + localparam SHARE_COUNTER_W = 1; + localparam PIPELINE_ARB = 0; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam PKT_TRANS_LOCK = 59; + + // ------------------------------------------ + // Signals + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] request; + wire [NUM_INPUTS - 1 : 0] valid; + wire [NUM_INPUTS - 1 : 0] grant; + wire [NUM_INPUTS - 1 : 0] next_grant; + reg [NUM_INPUTS - 1 : 0] saved_grant; + reg [PAYLOAD_W - 1 : 0] src_payload; + wire last_cycle; + reg packet_in_progress; + reg update_grant; + + wire [PAYLOAD_W - 1 : 0] sink0_payload; + wire [PAYLOAD_W - 1 : 0] sink1_payload; + + assign valid[0] = sink0_valid; + assign valid[1] = sink1_valid; + + + // ------------------------------------------ + // ------------------------------------------ + // Grant Logic & Updates + // ------------------------------------------ + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] lock; + always @* begin + lock[0] = sink0_data[59]; + lock[1] = sink1_data[59]; + end + + assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); + + // ------------------------------------------ + // We're working on a packet at any time valid is high, except + // when this is the endofpacket. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + packet_in_progress <= 1'b0; + end + else begin + if (src_valid) + packet_in_progress <= 1'b1; + if (last_cycle) + packet_in_progress <= 1'b0; + end + end + + + // ------------------------------------------ + // Shares + // + // Special case: all-equal shares _should_ be optimized into assigning a + // constant to next_grant_share. + // Special case: all-1's shares _should_ result in the share counter + // being optimized away. + // ------------------------------------------ + // Input | arb shares | counter load value + // 0 | 1 | 0 + // 1 | 1 | 0 + wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; + + // ------------------------------------------ + // Choose the share value corresponding to the grant. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] next_grant_share; + always @* begin + next_grant_share = + share_0 & { SHARE_COUNTER_W {next_grant[0]} } | + share_1 & { SHARE_COUNTER_W {next_grant[1]} }; + end + + // ------------------------------------------ + // Flag to indicate first packet of an arb sequence. + // ------------------------------------------ + wire grant_changed = ~packet_in_progress && !(saved_grant & valid); + reg first_packet_r; + wire first_packet = grant_changed | first_packet_r; + always @(posedge clk or posedge reset) begin + if (reset) begin + first_packet_r <= 1'b0; + end + else begin + if (update_grant) + first_packet_r <= 1'b1; + else if (last_cycle) + first_packet_r <= 1'b0; + else if (grant_changed) + first_packet_r <= 1'b1; + end + end + + // ------------------------------------------ + // Compute the next share-count value. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] p1_share_count; + reg [SHARE_COUNTER_W - 1 : 0] share_count; + reg share_count_zero_flag; + + always @* begin + if (first_packet) begin + p1_share_count = next_grant_share; + end + else begin + // Update the counter, but don't decrement below 0. + p1_share_count = share_count_zero_flag ? '0 : share_count - 1'b1; + end + end + + // ------------------------------------------ + // Update the share counter and share-counter=zero flag. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + share_count <= '0; + share_count_zero_flag <= 1'b1; + end + else begin + if (last_cycle) begin + share_count <= p1_share_count; + share_count_zero_flag <= (p1_share_count == '0); + end + end + end + + // ------------------------------------------ + // For each input, maintain a final_packet signal which goes active for the + // last packet of a full-share packet sequence. Example: if I have 4 + // shares and I'm continuously requesting, final_packet is active in the + // 4th packet. + // ------------------------------------------ + wire final_packet_0 = 1'b1; + + wire final_packet_1 = 1'b1; + + + // ------------------------------------------ + // Concatenate all final_packet signals (wire or reg) into a handy vector. + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] final_packet = { + final_packet_1, + final_packet_0 + }; + + // ------------------------------------------ + // ------------------------------------------ + wire p1_done = |(final_packet & grant); + + // ------------------------------------------ + // Flag for the first cycle of packets within an + // arb sequence + // ------------------------------------------ + reg first_cycle; + always @(posedge clk, posedge reset) begin + if (reset) + first_cycle <= 0; + else + first_cycle <= last_cycle && ~p1_done; + end + + + always @* begin + update_grant = 0; + + // ------------------------------------------ + // No arbitration pipeline, update grant whenever + // the current arb winner has consumed all shares, + // or all requests are low + // ------------------------------------------ + update_grant = (last_cycle && p1_done) || (first_cycle && !valid); + update_grant = last_cycle; + end + + wire save_grant; + assign save_grant = 1; + assign grant = next_grant; + + always @(posedge clk, posedge reset) begin + if (reset) + saved_grant <= '0; + else if (save_grant) + saved_grant <= next_grant; + end + + // ------------------------------------------ + // ------------------------------------------ + // Arbitrator + // ------------------------------------------ + // ------------------------------------------ + + // ------------------------------------------ + // Create a request vector that stays high during + // the packet for unpipelined arbitration. + // + // The pipelined arbitration scheme does not require + // request to be held high during the packet. + // ------------------------------------------ + assign request = valid; + + + altera_merlin_arbitrator + #( + .NUM_REQUESTERS(NUM_INPUTS), + .SCHEME ("no-arb"), + .PIPELINE (0) + ) arb ( + .clk (clk), + .reset (reset), + .request (request), + .grant (next_grant), + .save_top_priority (src_valid), + .increment_top_priority (update_grant) + ); + + // ------------------------------------------ + // ------------------------------------------ + // Mux + // + // Implemented as a sum of products. + // ------------------------------------------ + // ------------------------------------------ + + assign sink0_ready = src_ready && grant[0]; + assign sink1_ready = src_ready && grant[1]; + + assign src_valid = |(grant & valid); + + always @* begin + src_payload = + sink0_payload & {PAYLOAD_W {grant[0]} } | + sink1_payload & {PAYLOAD_W {grant[1]} }; + end + + // ------------------------------------------ + // Mux Payload Mapping + // ------------------------------------------ + + assign sink0_payload = {sink0_channel,sink0_data, + sink0_startofpacket,sink0_endofpacket}; + assign sink1_payload = {sink1_channel,sink1_data, + sink1_startofpacket,sink1_endofpacket}; + + assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; + +endmodule + + + diff --git a/db/ip/nios_system/submodules/nios_system_rsp_xbar_mux_001.sv b/db/ip/nios_system/submodules/nios_system_rsp_xbar_mux_001.sv new file mode 100644 index 0000000..ce9f7a1 --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_rsp_xbar_mux_001.sv @@ -0,0 +1,651 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Multiplexer +// ------------------------------------------ + +`timescale 1 ns / 1 ns + + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_mux_001 +// NUM_INPUTS: 18 +// ARBITRATION_SHARES: 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 +// ARBITRATION_SCHEME "no-arb" +// PIPELINE_ARB: 0 +// PKT_TRANS_LOCK: 59 (arbitration locking enabled) +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// ------------------------------------------ + +module nios_system_rsp_xbar_mux_001 +( + // ---------------------- + // Sinks + // ---------------------- + input sink0_valid, + input [96-1 : 0] sink0_data, + input [18-1: 0] sink0_channel, + input sink0_startofpacket, + input sink0_endofpacket, + output sink0_ready, + + input sink1_valid, + input [96-1 : 0] sink1_data, + input [18-1: 0] sink1_channel, + input sink1_startofpacket, + input sink1_endofpacket, + output sink1_ready, + + input sink2_valid, + input [96-1 : 0] sink2_data, + input [18-1: 0] sink2_channel, + input sink2_startofpacket, + input sink2_endofpacket, + output sink2_ready, + + input sink3_valid, + input [96-1 : 0] sink3_data, + input [18-1: 0] sink3_channel, + input sink3_startofpacket, + input sink3_endofpacket, + output sink3_ready, + + input sink4_valid, + input [96-1 : 0] sink4_data, + input [18-1: 0] sink4_channel, + input sink4_startofpacket, + input sink4_endofpacket, + output sink4_ready, + + input sink5_valid, + input [96-1 : 0] sink5_data, + input [18-1: 0] sink5_channel, + input sink5_startofpacket, + input sink5_endofpacket, + output sink5_ready, + + input sink6_valid, + input [96-1 : 0] sink6_data, + input [18-1: 0] sink6_channel, + input sink6_startofpacket, + input sink6_endofpacket, + output sink6_ready, + + input sink7_valid, + input [96-1 : 0] sink7_data, + input [18-1: 0] sink7_channel, + input sink7_startofpacket, + input sink7_endofpacket, + output sink7_ready, + + input sink8_valid, + input [96-1 : 0] sink8_data, + input [18-1: 0] sink8_channel, + input sink8_startofpacket, + input sink8_endofpacket, + output sink8_ready, + + input sink9_valid, + input [96-1 : 0] sink9_data, + input [18-1: 0] sink9_channel, + input sink9_startofpacket, + input sink9_endofpacket, + output sink9_ready, + + input sink10_valid, + input [96-1 : 0] sink10_data, + input [18-1: 0] sink10_channel, + input sink10_startofpacket, + input sink10_endofpacket, + output sink10_ready, + + input sink11_valid, + input [96-1 : 0] sink11_data, + input [18-1: 0] sink11_channel, + input sink11_startofpacket, + input sink11_endofpacket, + output sink11_ready, + + input sink12_valid, + input [96-1 : 0] sink12_data, + input [18-1: 0] sink12_channel, + input sink12_startofpacket, + input sink12_endofpacket, + output sink12_ready, + + input sink13_valid, + input [96-1 : 0] sink13_data, + input [18-1: 0] sink13_channel, + input sink13_startofpacket, + input sink13_endofpacket, + output sink13_ready, + + input sink14_valid, + input [96-1 : 0] sink14_data, + input [18-1: 0] sink14_channel, + input sink14_startofpacket, + input sink14_endofpacket, + output sink14_ready, + + input sink15_valid, + input [96-1 : 0] sink15_data, + input [18-1: 0] sink15_channel, + input sink15_startofpacket, + input sink15_endofpacket, + output sink15_ready, + + input sink16_valid, + input [96-1 : 0] sink16_data, + input [18-1: 0] sink16_channel, + input sink16_startofpacket, + input sink16_endofpacket, + output sink16_ready, + + input sink17_valid, + input [96-1 : 0] sink17_data, + input [18-1: 0] sink17_channel, + input sink17_startofpacket, + input sink17_endofpacket, + output sink17_ready, + + + // ---------------------- + // Source + // ---------------------- + output src_valid, + output [96-1 : 0] src_data, + output [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready, + + // ---------------------- + // Clock & Reset + // ---------------------- + input clk, + input reset +); + localparam PAYLOAD_W = 96 + 18 + 2; + localparam NUM_INPUTS = 18; + localparam SHARE_COUNTER_W = 1; + localparam PIPELINE_ARB = 0; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam PKT_TRANS_LOCK = 59; + + // ------------------------------------------ + // Signals + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] request; + wire [NUM_INPUTS - 1 : 0] valid; + wire [NUM_INPUTS - 1 : 0] grant; + wire [NUM_INPUTS - 1 : 0] next_grant; + reg [NUM_INPUTS - 1 : 0] saved_grant; + reg [PAYLOAD_W - 1 : 0] src_payload; + wire last_cycle; + reg packet_in_progress; + reg update_grant; + + wire [PAYLOAD_W - 1 : 0] sink0_payload; + wire [PAYLOAD_W - 1 : 0] sink1_payload; + wire [PAYLOAD_W - 1 : 0] sink2_payload; + wire [PAYLOAD_W - 1 : 0] sink3_payload; + wire [PAYLOAD_W - 1 : 0] sink4_payload; + wire [PAYLOAD_W - 1 : 0] sink5_payload; + wire [PAYLOAD_W - 1 : 0] sink6_payload; + wire [PAYLOAD_W - 1 : 0] sink7_payload; + wire [PAYLOAD_W - 1 : 0] sink8_payload; + wire [PAYLOAD_W - 1 : 0] sink9_payload; + wire [PAYLOAD_W - 1 : 0] sink10_payload; + wire [PAYLOAD_W - 1 : 0] sink11_payload; + wire [PAYLOAD_W - 1 : 0] sink12_payload; + wire [PAYLOAD_W - 1 : 0] sink13_payload; + wire [PAYLOAD_W - 1 : 0] sink14_payload; + wire [PAYLOAD_W - 1 : 0] sink15_payload; + wire [PAYLOAD_W - 1 : 0] sink16_payload; + wire [PAYLOAD_W - 1 : 0] sink17_payload; + + assign valid[0] = sink0_valid; + assign valid[1] = sink1_valid; + assign valid[2] = sink2_valid; + assign valid[3] = sink3_valid; + assign valid[4] = sink4_valid; + assign valid[5] = sink5_valid; + assign valid[6] = sink6_valid; + assign valid[7] = sink7_valid; + assign valid[8] = sink8_valid; + assign valid[9] = sink9_valid; + assign valid[10] = sink10_valid; + assign valid[11] = sink11_valid; + assign valid[12] = sink12_valid; + assign valid[13] = sink13_valid; + assign valid[14] = sink14_valid; + assign valid[15] = sink15_valid; + assign valid[16] = sink16_valid; + assign valid[17] = sink17_valid; + + + // ------------------------------------------ + // ------------------------------------------ + // Grant Logic & Updates + // ------------------------------------------ + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] lock; + always @* begin + lock[0] = sink0_data[59]; + lock[1] = sink1_data[59]; + lock[2] = sink2_data[59]; + lock[3] = sink3_data[59]; + lock[4] = sink4_data[59]; + lock[5] = sink5_data[59]; + lock[6] = sink6_data[59]; + lock[7] = sink7_data[59]; + lock[8] = sink8_data[59]; + lock[9] = sink9_data[59]; + lock[10] = sink10_data[59]; + lock[11] = sink11_data[59]; + lock[12] = sink12_data[59]; + lock[13] = sink13_data[59]; + lock[14] = sink14_data[59]; + lock[15] = sink15_data[59]; + lock[16] = sink16_data[59]; + lock[17] = sink17_data[59]; + end + + assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); + + // ------------------------------------------ + // We're working on a packet at any time valid is high, except + // when this is the endofpacket. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + packet_in_progress <= 1'b0; + end + else begin + if (src_valid) + packet_in_progress <= 1'b1; + if (last_cycle) + packet_in_progress <= 1'b0; + end + end + + + // ------------------------------------------ + // Shares + // + // Special case: all-equal shares _should_ be optimized into assigning a + // constant to next_grant_share. + // Special case: all-1's shares _should_ result in the share counter + // being optimized away. + // ------------------------------------------ + // Input | arb shares | counter load value + // 0 | 1 | 0 + // 1 | 1 | 0 + // 2 | 1 | 0 + // 3 | 1 | 0 + // 4 | 1 | 0 + // 5 | 1 | 0 + // 6 | 1 | 0 + // 7 | 1 | 0 + // 8 | 1 | 0 + // 9 | 1 | 0 + // 10 | 1 | 0 + // 11 | 1 | 0 + // 12 | 1 | 0 + // 13 | 1 | 0 + // 14 | 1 | 0 + // 15 | 1 | 0 + // 16 | 1 | 0 + // 17 | 1 | 0 + wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_2 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_3 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_4 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_5 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_6 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_7 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_8 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_9 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_10 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_11 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_12 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_13 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_14 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_15 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_16 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_17 = 1'd0; + + // ------------------------------------------ + // Choose the share value corresponding to the grant. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] next_grant_share; + always @* begin + next_grant_share = + share_0 & { SHARE_COUNTER_W {next_grant[0]} } | + share_1 & { SHARE_COUNTER_W {next_grant[1]} } | + share_2 & { SHARE_COUNTER_W {next_grant[2]} } | + share_3 & { SHARE_COUNTER_W {next_grant[3]} } | + share_4 & { SHARE_COUNTER_W {next_grant[4]} } | + share_5 & { SHARE_COUNTER_W {next_grant[5]} } | + share_6 & { SHARE_COUNTER_W {next_grant[6]} } | + share_7 & { SHARE_COUNTER_W {next_grant[7]} } | + share_8 & { SHARE_COUNTER_W {next_grant[8]} } | + share_9 & { SHARE_COUNTER_W {next_grant[9]} } | + share_10 & { SHARE_COUNTER_W {next_grant[10]} } | + share_11 & { SHARE_COUNTER_W {next_grant[11]} } | + share_12 & { SHARE_COUNTER_W {next_grant[12]} } | + share_13 & { SHARE_COUNTER_W {next_grant[13]} } | + share_14 & { SHARE_COUNTER_W {next_grant[14]} } | + share_15 & { SHARE_COUNTER_W {next_grant[15]} } | + share_16 & { SHARE_COUNTER_W {next_grant[16]} } | + share_17 & { SHARE_COUNTER_W {next_grant[17]} }; + end + + // ------------------------------------------ + // Flag to indicate first packet of an arb sequence. + // ------------------------------------------ + wire grant_changed = ~packet_in_progress && !(saved_grant & valid); + reg first_packet_r; + wire first_packet = grant_changed | first_packet_r; + always @(posedge clk or posedge reset) begin + if (reset) begin + first_packet_r <= 1'b0; + end + else begin + if (update_grant) + first_packet_r <= 1'b1; + else if (last_cycle) + first_packet_r <= 1'b0; + else if (grant_changed) + first_packet_r <= 1'b1; + end + end + + // ------------------------------------------ + // Compute the next share-count value. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] p1_share_count; + reg [SHARE_COUNTER_W - 1 : 0] share_count; + reg share_count_zero_flag; + + always @* begin + if (first_packet) begin + p1_share_count = next_grant_share; + end + else begin + // Update the counter, but don't decrement below 0. + p1_share_count = share_count_zero_flag ? '0 : share_count - 1'b1; + end + end + + // ------------------------------------------ + // Update the share counter and share-counter=zero flag. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + share_count <= '0; + share_count_zero_flag <= 1'b1; + end + else begin + if (last_cycle) begin + share_count <= p1_share_count; + share_count_zero_flag <= (p1_share_count == '0); + end + end + end + + // ------------------------------------------ + // For each input, maintain a final_packet signal which goes active for the + // last packet of a full-share packet sequence. Example: if I have 4 + // shares and I'm continuously requesting, final_packet is active in the + // 4th packet. + // ------------------------------------------ + wire final_packet_0 = 1'b1; + + wire final_packet_1 = 1'b1; + + wire final_packet_2 = 1'b1; + + wire final_packet_3 = 1'b1; + + wire final_packet_4 = 1'b1; + + wire final_packet_5 = 1'b1; + + wire final_packet_6 = 1'b1; + + wire final_packet_7 = 1'b1; + + wire final_packet_8 = 1'b1; + + wire final_packet_9 = 1'b1; + + wire final_packet_10 = 1'b1; + + wire final_packet_11 = 1'b1; + + wire final_packet_12 = 1'b1; + + wire final_packet_13 = 1'b1; + + wire final_packet_14 = 1'b1; + + wire final_packet_15 = 1'b1; + + wire final_packet_16 = 1'b1; + + wire final_packet_17 = 1'b1; + + + // ------------------------------------------ + // Concatenate all final_packet signals (wire or reg) into a handy vector. + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] final_packet = { + final_packet_17, + final_packet_16, + final_packet_15, + final_packet_14, + final_packet_13, + final_packet_12, + final_packet_11, + final_packet_10, + final_packet_9, + final_packet_8, + final_packet_7, + final_packet_6, + final_packet_5, + final_packet_4, + final_packet_3, + final_packet_2, + final_packet_1, + final_packet_0 + }; + + // ------------------------------------------ + // ------------------------------------------ + wire p1_done = |(final_packet & grant); + + // ------------------------------------------ + // Flag for the first cycle of packets within an + // arb sequence + // ------------------------------------------ + reg first_cycle; + always @(posedge clk, posedge reset) begin + if (reset) + first_cycle <= 0; + else + first_cycle <= last_cycle && ~p1_done; + end + + + always @* begin + update_grant = 0; + + // ------------------------------------------ + // No arbitration pipeline, update grant whenever + // the current arb winner has consumed all shares, + // or all requests are low + // ------------------------------------------ + update_grant = (last_cycle && p1_done) || (first_cycle && !valid); + update_grant = last_cycle; + end + + wire save_grant; + assign save_grant = 1; + assign grant = next_grant; + + always @(posedge clk, posedge reset) begin + if (reset) + saved_grant <= '0; + else if (save_grant) + saved_grant <= next_grant; + end + + // ------------------------------------------ + // ------------------------------------------ + // Arbitrator + // ------------------------------------------ + // ------------------------------------------ + + // ------------------------------------------ + // Create a request vector that stays high during + // the packet for unpipelined arbitration. + // + // The pipelined arbitration scheme does not require + // request to be held high during the packet. + // ------------------------------------------ + assign request = valid; + + + altera_merlin_arbitrator + #( + .NUM_REQUESTERS(NUM_INPUTS), + .SCHEME ("no-arb"), + .PIPELINE (0) + ) arb ( + .clk (clk), + .reset (reset), + .request (request), + .grant (next_grant), + .save_top_priority (src_valid), + .increment_top_priority (update_grant) + ); + + // ------------------------------------------ + // ------------------------------------------ + // Mux + // + // Implemented as a sum of products. + // ------------------------------------------ + // ------------------------------------------ + + assign sink0_ready = src_ready && grant[0]; + assign sink1_ready = src_ready && grant[1]; + assign sink2_ready = src_ready && grant[2]; + assign sink3_ready = src_ready && grant[3]; + assign sink4_ready = src_ready && grant[4]; + assign sink5_ready = src_ready && grant[5]; + assign sink6_ready = src_ready && grant[6]; + assign sink7_ready = src_ready && grant[7]; + assign sink8_ready = src_ready && grant[8]; + assign sink9_ready = src_ready && grant[9]; + assign sink10_ready = src_ready && grant[10]; + assign sink11_ready = src_ready && grant[11]; + assign sink12_ready = src_ready && grant[12]; + assign sink13_ready = src_ready && grant[13]; + assign sink14_ready = src_ready && grant[14]; + assign sink15_ready = src_ready && grant[15]; + assign sink16_ready = src_ready && grant[16]; + assign sink17_ready = src_ready && grant[17]; + + assign src_valid = |(grant & valid); + + always @* begin + src_payload = + sink0_payload & {PAYLOAD_W {grant[0]} } | + sink1_payload & {PAYLOAD_W {grant[1]} } | + sink2_payload & {PAYLOAD_W {grant[2]} } | + sink3_payload & {PAYLOAD_W {grant[3]} } | + sink4_payload & {PAYLOAD_W {grant[4]} } | + sink5_payload & {PAYLOAD_W {grant[5]} } | + sink6_payload & {PAYLOAD_W {grant[6]} } | + sink7_payload & {PAYLOAD_W {grant[7]} } | + sink8_payload & {PAYLOAD_W {grant[8]} } | + sink9_payload & {PAYLOAD_W {grant[9]} } | + sink10_payload & {PAYLOAD_W {grant[10]} } | + sink11_payload & {PAYLOAD_W {grant[11]} } | + sink12_payload & {PAYLOAD_W {grant[12]} } | + sink13_payload & {PAYLOAD_W {grant[13]} } | + sink14_payload & {PAYLOAD_W {grant[14]} } | + sink15_payload & {PAYLOAD_W {grant[15]} } | + sink16_payload & {PAYLOAD_W {grant[16]} } | + sink17_payload & {PAYLOAD_W {grant[17]} }; + end + + // ------------------------------------------ + // Mux Payload Mapping + // ------------------------------------------ + + assign sink0_payload = {sink0_channel,sink0_data, + sink0_startofpacket,sink0_endofpacket}; + assign sink1_payload = {sink1_channel,sink1_data, + sink1_startofpacket,sink1_endofpacket}; + assign sink2_payload = {sink2_channel,sink2_data, + sink2_startofpacket,sink2_endofpacket}; + assign sink3_payload = {sink3_channel,sink3_data, + sink3_startofpacket,sink3_endofpacket}; + assign sink4_payload = {sink4_channel,sink4_data, + sink4_startofpacket,sink4_endofpacket}; + assign sink5_payload = {sink5_channel,sink5_data, + sink5_startofpacket,sink5_endofpacket}; + assign sink6_payload = {sink6_channel,sink6_data, + sink6_startofpacket,sink6_endofpacket}; + assign sink7_payload = {sink7_channel,sink7_data, + sink7_startofpacket,sink7_endofpacket}; + assign sink8_payload = {sink8_channel,sink8_data, + sink8_startofpacket,sink8_endofpacket}; + assign sink9_payload = {sink9_channel,sink9_data, + sink9_startofpacket,sink9_endofpacket}; + assign sink10_payload = {sink10_channel,sink10_data, + sink10_startofpacket,sink10_endofpacket}; + assign sink11_payload = {sink11_channel,sink11_data, + sink11_startofpacket,sink11_endofpacket}; + assign sink12_payload = {sink12_channel,sink12_data, + sink12_startofpacket,sink12_endofpacket}; + assign sink13_payload = {sink13_channel,sink13_data, + sink13_startofpacket,sink13_endofpacket}; + assign sink14_payload = {sink14_channel,sink14_data, + sink14_startofpacket,sink14_endofpacket}; + assign sink15_payload = {sink15_channel,sink15_data, + sink15_startofpacket,sink15_endofpacket}; + assign sink16_payload = {sink16_channel,sink16_data, + sink16_startofpacket,sink16_endofpacket}; + assign sink17_payload = {sink17_channel,sink17_data, + sink17_startofpacket,sink17_endofpacket}; + + assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; + +endmodule + + + diff --git a/db/ip/nios_system/submodules/nios_system_switches.v b/db/ip/nios_system/submodules/nios_system_switches.v new file mode 100644 index 0000000..29545ab --- /dev/null +++ b/db/ip/nios_system/submodules/nios_system_switches.v @@ -0,0 +1,58 @@ +//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_switches ( + // inputs: + address, + clk, + in_port, + reset_n, + + // outputs: + readdata + ) +; + + output [ 31: 0] readdata; + input [ 1: 0] address; + input clk; + input [ 17: 0] in_port; + input reset_n; + + wire clk_en; + wire [ 17: 0] data_in; + wire [ 17: 0] read_mux_out; + reg [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {18 {(address == 0)}} & data_in; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + readdata <= 0; + else if (clk_en) + readdata <= {32'b0 | read_mux_out}; + end + + + assign data_in = in_port; + +endmodule + diff --git a/db/lights.db_info b/db/lights.db_info new file mode 100644 index 0000000..7418b82 --- /dev/null +++ b/db/lights.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Sat Jan 28 15:11:38 2017 diff --git a/db/lights.ipinfo b/db/lights.ipinfo new file mode 100644 index 0000000..fa2304d --- /dev/null +++ b/db/lights.ipinfo Binary files differ diff --git a/db/lights.sld_design_entry.sci b/db/lights.sld_design_entry.sci new file mode 100644 index 0000000..1d6d60f --- /dev/null +++ b/db/lights.sld_design_entry.sci Binary files differ diff --git a/db/logic_util_heursitic.dat b/db/logic_util_heursitic.dat new file mode 100644 index 0000000..c4ac3b4 --- /dev/null +++ b/db/logic_util_heursitic.dat Binary files differ diff --git a/db/mux_nob.tdf b/db/mux_nob.tdf new file mode 100644 index 0000000..f31664f --- /dev/null +++ b/db/mux_nob.tdf @@ -0,0 +1,295 @@ +--lpm_mux CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_SIZE=7 LPM_WIDTH=32 LPM_WIDTHS=3 data result sel +--VERSION_BEGIN 13.0 cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + + +--synthesis_resources = lut 160 +SUBDESIGN mux_nob +( + data[223..0] : input; + result[31..0] : output; + sel[2..0] : input; +) +VARIABLE + result_node[31..0] : WIRE; + sel_ffs_wire[2..0] : WIRE; + sel_node[2..0] : WIRE; + w_data1945w[7..0] : WIRE; + w_data1967w[3..0] : WIRE; + w_data1968w[3..0] : WIRE; + w_data2016w[7..0] : WIRE; + w_data2038w[3..0] : WIRE; + w_data2039w[3..0] : WIRE; + w_data2085w[7..0] : WIRE; + w_data2107w[3..0] : WIRE; + w_data2108w[3..0] : WIRE; + w_data2154w[7..0] : WIRE; + w_data2176w[3..0] : WIRE; + w_data2177w[3..0] : WIRE; + w_data2223w[7..0] : WIRE; + w_data2245w[3..0] : WIRE; + w_data2246w[3..0] : WIRE; + w_data2292w[7..0] : WIRE; + w_data2314w[3..0] : WIRE; + w_data2315w[3..0] : WIRE; + w_data2361w[7..0] : WIRE; + w_data2383w[3..0] : WIRE; + w_data2384w[3..0] : WIRE; + w_data2430w[7..0] : WIRE; + w_data2452w[3..0] : WIRE; + w_data2453w[3..0] : WIRE; + w_data2499w[7..0] : WIRE; + w_data2521w[3..0] : WIRE; + w_data2522w[3..0] : WIRE; + w_data2568w[7..0] : WIRE; + w_data2590w[3..0] : WIRE; + w_data2591w[3..0] : WIRE; + w_data2637w[7..0] : WIRE; + w_data2659w[3..0] : WIRE; + w_data2660w[3..0] : WIRE; + w_data2706w[7..0] : WIRE; + w_data2728w[3..0] : WIRE; + w_data2729w[3..0] : WIRE; + w_data2775w[7..0] : WIRE; + w_data2797w[3..0] : WIRE; + w_data2798w[3..0] : WIRE; + w_data2844w[7..0] : WIRE; + w_data2866w[3..0] : WIRE; + w_data2867w[3..0] : WIRE; + w_data2913w[7..0] : WIRE; + w_data2935w[3..0] : WIRE; + w_data2936w[3..0] : WIRE; + w_data2982w[7..0] : WIRE; + w_data3004w[3..0] : WIRE; + w_data3005w[3..0] : WIRE; + w_data3051w[7..0] : WIRE; + w_data3073w[3..0] : WIRE; + w_data3074w[3..0] : WIRE; + w_data3120w[7..0] : WIRE; + w_data3142w[3..0] : WIRE; + w_data3143w[3..0] : WIRE; + w_data3189w[7..0] : WIRE; + w_data3211w[3..0] : WIRE; + w_data3212w[3..0] : WIRE; + w_data3258w[7..0] : WIRE; + w_data3280w[3..0] : WIRE; + w_data3281w[3..0] : WIRE; + w_data3327w[7..0] : WIRE; + w_data3349w[3..0] : WIRE; + w_data3350w[3..0] : WIRE; + w_data3396w[7..0] : WIRE; + w_data3418w[3..0] : WIRE; + w_data3419w[3..0] : WIRE; + w_data3465w[7..0] : WIRE; + w_data3487w[3..0] : WIRE; + w_data3488w[3..0] : WIRE; + w_data3534w[7..0] : WIRE; + w_data3556w[3..0] : WIRE; + w_data3557w[3..0] : WIRE; + w_data3603w[7..0] : WIRE; + w_data3625w[3..0] : WIRE; + w_data3626w[3..0] : WIRE; + w_data3672w[7..0] : WIRE; + w_data3694w[3..0] : WIRE; + w_data3695w[3..0] : WIRE; + w_data3741w[7..0] : WIRE; + w_data3763w[3..0] : WIRE; + w_data3764w[3..0] : WIRE; + w_data3810w[7..0] : WIRE; + w_data3832w[3..0] : WIRE; + w_data3833w[3..0] : WIRE; + w_data3879w[7..0] : WIRE; + w_data3901w[3..0] : WIRE; + w_data3902w[3..0] : WIRE; + w_data3948w[7..0] : WIRE; + w_data3970w[3..0] : WIRE; + w_data3971w[3..0] : WIRE; + w_data4017w[7..0] : WIRE; + w_data4039w[3..0] : WIRE; + w_data4040w[3..0] : WIRE; + w_data4086w[7..0] : WIRE; + w_data4108w[3..0] : WIRE; + w_data4109w[3..0] : WIRE; + w_sel1969w[1..0] : WIRE; + w_sel2040w[1..0] : WIRE; + w_sel2109w[1..0] : WIRE; + w_sel2178w[1..0] : WIRE; + w_sel2247w[1..0] : WIRE; + w_sel2316w[1..0] : WIRE; + w_sel2385w[1..0] : WIRE; + w_sel2454w[1..0] : WIRE; + w_sel2523w[1..0] : WIRE; + w_sel2592w[1..0] : WIRE; + w_sel2661w[1..0] : WIRE; + w_sel2730w[1..0] : WIRE; + w_sel2799w[1..0] : WIRE; + w_sel2868w[1..0] : WIRE; + w_sel2937w[1..0] : WIRE; + w_sel3006w[1..0] : WIRE; + w_sel3075w[1..0] : WIRE; + w_sel3144w[1..0] : WIRE; + w_sel3213w[1..0] : WIRE; + w_sel3282w[1..0] : WIRE; + w_sel3351w[1..0] : WIRE; + w_sel3420w[1..0] : WIRE; + w_sel3489w[1..0] : WIRE; + w_sel3558w[1..0] : WIRE; + w_sel3627w[1..0] : WIRE; + w_sel3696w[1..0] : WIRE; + w_sel3765w[1..0] : WIRE; + w_sel3834w[1..0] : WIRE; + w_sel3903w[1..0] : WIRE; + w_sel3972w[1..0] : WIRE; + w_sel4041w[1..0] : WIRE; + w_sel4110w[1..0] : WIRE; + +BEGIN + result[] = result_node[]; + result_node[] = ( ((sel_node[2..2] & (((w_data4109w[1..1] & w_sel4110w[0..0]) & (! (((w_data4109w[0..0] & (! w_sel4110w[1..1])) & (! w_sel4110w[0..0])) # (w_sel4110w[1..1] & (w_sel4110w[0..0] # w_data4109w[2..2]))))) # ((((w_data4109w[0..0] & (! w_sel4110w[1..1])) & (! w_sel4110w[0..0])) # (w_sel4110w[1..1] & (w_sel4110w[0..0] # w_data4109w[2..2]))) & (w_data4109w[3..3] # (! w_sel4110w[0..0]))))) # ((! sel_node[2..2]) & (((w_data4108w[1..1] & w_sel4110w[0..0]) & (! (((w_data4108w[0..0] & (! w_sel4110w[1..1])) & (! w_sel4110w[0..0])) # (w_sel4110w[1..1] & (w_sel4110w[0..0] # w_data4108w[2..2]))))) # ((((w_data4108w[0..0] & (! w_sel4110w[1..1])) & (! w_sel4110w[0..0])) # (w_sel4110w[1..1] & (w_sel4110w[0..0] # w_data4108w[2..2]))) & (w_data4108w[3..3] # (! w_sel4110w[0..0])))))), ((sel_node[2..2] & (((w_data4040w[1..1] & w_sel4041w[0..0]) & (! (((w_data4040w[0..0] & (! w_sel4041w[1..1])) & (! w_sel4041w[0..0])) # (w_sel4041w[1..1] & (w_sel4041w[0..0] # w_data4040w[2..2]))))) # ((((w_data4040w[0..0] & (! w_sel4041w[1..1])) & (! w_sel4041w[0..0])) # (w_sel4041w[1..1] & (w_sel4041w[0..0] # w_data4040w[2..2]))) & (w_data4040w[3..3] # (! w_sel4041w[0..0]))))) # ((! sel_node[2..2]) & (((w_data4039w[1..1] & w_sel4041w[0..0]) & (! (((w_data4039w[0..0] & (! w_sel4041w[1..1])) & (! w_sel4041w[0..0])) # (w_sel4041w[1..1] & (w_sel4041w[0..0] # w_data4039w[2..2]))))) # ((((w_data4039w[0..0] & (! w_sel4041w[1..1])) & (! w_sel4041w[0..0])) # (w_sel4041w[1..1] & (w_sel4041w[0..0] # w_data4039w[2..2]))) & (w_data4039w[3..3] # (! w_sel4041w[0..0])))))), ((sel_node[2..2] & (((w_data3971w[1..1] & w_sel3972w[0..0]) & (! (((w_data3971w[0..0] & (! w_sel3972w[1..1])) & (! w_sel3972w[0..0])) # (w_sel3972w[1..1] & (w_sel3972w[0..0] # w_data3971w[2..2]))))) # ((((w_data3971w[0..0] & (! w_sel3972w[1..1])) & (! w_sel3972w[0..0])) # (w_sel3972w[1..1] & (w_sel3972w[0..0] # w_data3971w[2..2]))) & (w_data3971w[3..3] # (! w_sel3972w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3970w[1..1] & w_sel3972w[0..0]) & (! (((w_data3970w[0..0] & (! w_sel3972w[1..1])) & (! w_sel3972w[0..0])) # (w_sel3972w[1..1] & (w_sel3972w[0..0] # w_data3970w[2..2]))))) # ((((w_data3970w[0..0] & (! w_sel3972w[1..1])) & (! w_sel3972w[0..0])) # (w_sel3972w[1..1] & (w_sel3972w[0..0] # w_data3970w[2..2]))) & (w_data3970w[3..3] # (! w_sel3972w[0..0])))))), ((sel_node[2..2] & (((w_data3902w[1..1] & w_sel3903w[0..0]) & (! (((w_data3902w[0..0] & (! w_sel3903w[1..1])) & (! w_sel3903w[0..0])) # (w_sel3903w[1..1] & (w_sel3903w[0..0] # w_data3902w[2..2]))))) # ((((w_data3902w[0..0] & (! w_sel3903w[1..1])) & (! w_sel3903w[0..0])) # (w_sel3903w[1..1] & (w_sel3903w[0..0] # w_data3902w[2..2]))) & (w_data3902w[3..3] # (! w_sel3903w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3901w[1..1] & w_sel3903w[0..0]) & (! (((w_data3901w[0..0] & (! w_sel3903w[1..1])) & (! w_sel3903w[0..0])) # (w_sel3903w[1..1] & (w_sel3903w[0..0] # w_data3901w[2..2]))))) # ((((w_data3901w[0..0] & (! w_sel3903w[1..1])) & (! w_sel3903w[0..0])) # (w_sel3903w[1..1] & (w_sel3903w[0..0] # w_data3901w[2..2]))) & (w_data3901w[3..3] # (! w_sel3903w[0..0])))))), ((sel_node[2..2] & (((w_data3833w[1..1] & w_sel3834w[0..0]) & (! (((w_data3833w[0..0] & (! w_sel3834w[1..1])) & (! w_sel3834w[0..0])) # (w_sel3834w[1..1] & (w_sel3834w[0..0] # w_data3833w[2..2]))))) # ((((w_data3833w[0..0] & (! w_sel3834w[1..1])) & (! w_sel3834w[0..0])) # (w_sel3834w[1..1] & (w_sel3834w[0..0] # w_data3833w[2..2]))) & (w_data3833w[3..3] # (! w_sel3834w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3832w[1..1] & w_sel3834w[0..0]) & (! (((w_data3832w[0..0] & (! w_sel3834w[1..1])) & (! w_sel3834w[0..0])) # (w_sel3834w[1..1] & (w_sel3834w[0..0] # w_data3832w[2..2]))))) # ((((w_data3832w[0..0] & (! w_sel3834w[1..1])) & (! w_sel3834w[0..0])) # (w_sel3834w[1..1] & (w_sel3834w[0..0] # w_data3832w[2..2]))) & (w_data3832w[3..3] # (! w_sel3834w[0..0])))))), ((sel_node[2..2] & (((w_data3764w[1..1] & w_sel3765w[0..0]) & (! (((w_data3764w[0..0] & (! w_sel3765w[1..1])) & (! w_sel3765w[0..0])) # (w_sel3765w[1..1] & (w_sel3765w[0..0] # w_data3764w[2..2]))))) # ((((w_data3764w[0..0] & (! w_sel3765w[1..1])) & (! w_sel3765w[0..0])) # (w_sel3765w[1..1] & (w_sel3765w[0..0] # w_data3764w[2..2]))) & (w_data3764w[3..3] # (! w_sel3765w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3763w[1..1] & w_sel3765w[0..0]) & (! (((w_data3763w[0..0] & (! w_sel3765w[1..1])) & (! w_sel3765w[0..0])) # (w_sel3765w[1..1] & (w_sel3765w[0..0] # w_data3763w[2..2]))))) # ((((w_data3763w[0..0] & (! w_sel3765w[1..1])) & (! w_sel3765w[0..0])) # (w_sel3765w[1..1] & (w_sel3765w[0..0] # w_data3763w[2..2]))) & (w_data3763w[3..3] # (! w_sel3765w[0..0])))))), ((sel_node[2..2] & (((w_data3695w[1..1] & w_sel3696w[0..0]) & (! (((w_data3695w[0..0] & (! w_sel3696w[1..1])) & (! w_sel3696w[0..0])) # (w_sel3696w[1..1] & (w_sel3696w[0..0] # w_data3695w[2..2]))))) # ((((w_data3695w[0..0] & (! w_sel3696w[1..1])) & (! w_sel3696w[0..0])) # (w_sel3696w[1..1] & (w_sel3696w[0..0] # w_data3695w[2..2]))) & (w_data3695w[3..3] # (! w_sel3696w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3694w[1..1] & w_sel3696w[0..0]) & (! (((w_data3694w[0..0] & (! w_sel3696w[1..1])) & (! w_sel3696w[0..0])) # (w_sel3696w[1..1] & (w_sel3696w[0..0] # w_data3694w[2..2]))))) # ((((w_data3694w[0..0] & (! w_sel3696w[1..1])) & (! w_sel3696w[0..0])) # (w_sel3696w[1..1] & (w_sel3696w[0..0] # w_data3694w[2..2]))) & (w_data3694w[3..3] # (! w_sel3696w[0..0])))))), ((sel_node[2..2] & (((w_data3626w[1..1] & w_sel3627w[0..0]) & (! (((w_data3626w[0..0] & (! w_sel3627w[1..1])) & (! w_sel3627w[0..0])) # (w_sel3627w[1..1] & (w_sel3627w[0..0] # w_data3626w[2..2]))))) # ((((w_data3626w[0..0] & (! w_sel3627w[1..1])) & (! w_sel3627w[0..0])) # (w_sel3627w[1..1] & (w_sel3627w[0..0] # w_data3626w[2..2]))) & (w_data3626w[3..3] # (! w_sel3627w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3625w[1..1] & w_sel3627w[0..0]) & (! (((w_data3625w[0..0] & (! w_sel3627w[1..1])) & (! w_sel3627w[0..0])) # (w_sel3627w[1..1] & (w_sel3627w[0..0] # w_data3625w[2..2]))))) # ((((w_data3625w[0..0] & (! w_sel3627w[1..1])) & (! w_sel3627w[0..0])) # (w_sel3627w[1..1] & (w_sel3627w[0..0] # w_data3625w[2..2]))) & (w_data3625w[3..3] # (! w_sel3627w[0..0])))))), ((sel_node[2..2] & (((w_data3557w[1..1] & w_sel3558w[0..0]) & (! (((w_data3557w[0..0] & (! w_sel3558w[1..1])) & (! w_sel3558w[0..0])) # (w_sel3558w[1..1] & (w_sel3558w[0..0] # w_data3557w[2..2]))))) # ((((w_data3557w[0..0] & (! w_sel3558w[1..1])) & (! w_sel3558w[0..0])) # (w_sel3558w[1..1] & (w_sel3558w[0..0] # w_data3557w[2..2]))) & (w_data3557w[3..3] # (! w_sel3558w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3556w[1..1] & w_sel3558w[0..0]) & (! (((w_data3556w[0..0] & (! w_sel3558w[1..1])) & (! w_sel3558w[0..0])) # (w_sel3558w[1..1] & (w_sel3558w[0..0] # w_data3556w[2..2]))))) # ((((w_data3556w[0..0] & (! w_sel3558w[1..1])) & (! w_sel3558w[0..0])) # (w_sel3558w[1..1] & (w_sel3558w[0..0] # w_data3556w[2..2]))) & (w_data3556w[3..3] # (! w_sel3558w[0..0])))))), ((sel_node[2..2] & (((w_data3488w[1..1] & w_sel3489w[0..0]) & (! (((w_data3488w[0..0] & (! w_sel3489w[1..1])) & (! w_sel3489w[0..0])) # (w_sel3489w[1..1] & (w_sel3489w[0..0] # w_data3488w[2..2]))))) # ((((w_data3488w[0..0] & (! w_sel3489w[1..1])) & (! w_sel3489w[0..0])) # (w_sel3489w[1..1] & (w_sel3489w[0..0] # w_data3488w[2..2]))) & (w_data3488w[3..3] # (! w_sel3489w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3487w[1..1] & w_sel3489w[0..0]) & (! (((w_data3487w[0..0] & (! w_sel3489w[1..1])) & (! w_sel3489w[0..0])) # (w_sel3489w[1..1] & (w_sel3489w[0..0] # w_data3487w[2..2]))))) # ((((w_data3487w[0..0] & (! w_sel3489w[1..1])) & (! w_sel3489w[0..0])) # (w_sel3489w[1..1] & (w_sel3489w[0..0] # w_data3487w[2..2]))) & (w_data3487w[3..3] # (! w_sel3489w[0..0])))))), ((sel_node[2..2] & (((w_data3419w[1..1] & w_sel3420w[0..0]) & (! (((w_data3419w[0..0] & (! w_sel3420w[1..1])) & (! w_sel3420w[0..0])) # (w_sel3420w[1..1] & (w_sel3420w[0..0] # w_data3419w[2..2]))))) # ((((w_data3419w[0..0] & (! w_sel3420w[1..1])) & (! w_sel3420w[0..0])) # (w_sel3420w[1..1] & (w_sel3420w[0..0] # w_data3419w[2..2]))) & (w_data3419w[3..3] # (! w_sel3420w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3418w[1..1] & w_sel3420w[0..0]) & (! (((w_data3418w[0..0] & (! w_sel3420w[1..1])) & (! w_sel3420w[0..0])) # (w_sel3420w[1..1] & (w_sel3420w[0..0] # w_data3418w[2..2]))))) # ((((w_data3418w[0..0] & (! w_sel3420w[1..1])) & (! w_sel3420w[0..0])) # (w_sel3420w[1..1] & (w_sel3420w[0..0] # w_data3418w[2..2]))) & (w_data3418w[3..3] # (! w_sel3420w[0..0])))))), ((sel_node[2..2] & (((w_data3350w[1..1] & w_sel3351w[0..0]) & (! (((w_data3350w[0..0] & (! w_sel3351w[1..1])) & (! w_sel3351w[0..0])) # (w_sel3351w[1..1] & (w_sel3351w[0..0] # w_data3350w[2..2]))))) # ((((w_data3350w[0..0] & (! w_sel3351w[1..1])) & (! w_sel3351w[0..0])) # (w_sel3351w[1..1] & (w_sel3351w[0..0] # w_data3350w[2..2]))) & (w_data3350w[3..3] # (! w_sel3351w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3349w[1..1] & w_sel3351w[0..0]) & (! (((w_data3349w[0..0] & (! w_sel3351w[1..1])) & (! w_sel3351w[0..0])) # (w_sel3351w[1..1] & (w_sel3351w[0..0] # w_data3349w[2..2]))))) # ((((w_data3349w[0..0] & (! w_sel3351w[1..1])) & (! w_sel3351w[0..0])) # (w_sel3351w[1..1] & (w_sel3351w[0..0] # w_data3349w[2..2]))) & (w_data3349w[3..3] # (! w_sel3351w[0..0])))))), ((sel_node[2..2] & (((w_data3281w[1..1] & w_sel3282w[0..0]) & (! (((w_data3281w[0..0] & (! w_sel3282w[1..1])) & (! w_sel3282w[0..0])) # (w_sel3282w[1..1] & (w_sel3282w[0..0] # w_data3281w[2..2]))))) # ((((w_data3281w[0..0] & (! w_sel3282w[1..1])) & (! w_sel3282w[0..0])) # (w_sel3282w[1..1] & (w_sel3282w[0..0] # w_data3281w[2..2]))) & (w_data3281w[3..3] # (! w_sel3282w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3280w[1..1] & w_sel3282w[0..0]) & (! (((w_data3280w[0..0] & (! w_sel3282w[1..1])) & (! w_sel3282w[0..0])) # (w_sel3282w[1..1] & (w_sel3282w[0..0] # w_data3280w[2..2]))))) # ((((w_data3280w[0..0] & (! w_sel3282w[1..1])) & (! w_sel3282w[0..0])) # (w_sel3282w[1..1] & (w_sel3282w[0..0] # w_data3280w[2..2]))) & (w_data3280w[3..3] # (! w_sel3282w[0..0])))))), ((sel_node[2..2] & (((w_data3212w[1..1] & w_sel3213w[0..0]) & (! (((w_data3212w[0..0] & (! w_sel3213w[1..1])) & (! w_sel3213w[0..0])) # (w_sel3213w[1..1] & (w_sel3213w[0..0] # w_data3212w[2..2]))))) # ((((w_data3212w[0..0] & (! w_sel3213w[1..1])) & (! w_sel3213w[0..0])) # (w_sel3213w[1..1] & (w_sel3213w[0..0] # w_data3212w[2..2]))) & (w_data3212w[3..3] # (! w_sel3213w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3211w[1..1] & w_sel3213w[0..0]) & (! (((w_data3211w[0..0] & (! w_sel3213w[1..1])) & (! w_sel3213w[0..0])) # (w_sel3213w[1..1] & (w_sel3213w[0..0] # w_data3211w[2..2]))))) # ((((w_data3211w[0..0] & (! w_sel3213w[1..1])) & (! w_sel3213w[0..0])) # (w_sel3213w[1..1] & (w_sel3213w[0..0] # w_data3211w[2..2]))) & (w_data3211w[3..3] # (! w_sel3213w[0..0])))))), ((sel_node[2..2] & (((w_data3143w[1..1] & w_sel3144w[0..0]) & (! (((w_data3143w[0..0] & (! w_sel3144w[1..1])) & (! w_sel3144w[0..0])) # (w_sel3144w[1..1] & (w_sel3144w[0..0] # w_data3143w[2..2]))))) # ((((w_data3143w[0..0] & (! w_sel3144w[1..1])) & (! w_sel3144w[0..0])) # (w_sel3144w[1..1] & (w_sel3144w[0..0] # w_data3143w[2..2]))) & (w_data3143w[3..3] # (! w_sel3144w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3142w[1..1] & w_sel3144w[0..0]) & (! (((w_data3142w[0..0] & (! w_sel3144w[1..1])) & (! w_sel3144w[0..0])) # (w_sel3144w[1..1] & (w_sel3144w[0..0] # w_data3142w[2..2]))))) # ((((w_data3142w[0..0] & (! w_sel3144w[1..1])) & (! w_sel3144w[0..0])) # (w_sel3144w[1..1] & (w_sel3144w[0..0] # w_data3142w[2..2]))) & (w_data3142w[3..3] # (! w_sel3144w[0..0])))))), ((sel_node[2..2] & (((w_data3074w[1..1] & w_sel3075w[0..0]) & (! (((w_data3074w[0..0] & (! w_sel3075w[1..1])) & (! w_sel3075w[0..0])) # (w_sel3075w[1..1] & (w_sel3075w[0..0] # w_data3074w[2..2]))))) # ((((w_data3074w[0..0] & (! w_sel3075w[1..1])) & (! w_sel3075w[0..0])) # (w_sel3075w[1..1] & (w_sel3075w[0..0] # w_data3074w[2..2]))) & (w_data3074w[3..3] # (! w_sel3075w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3073w[1..1] & w_sel3075w[0..0]) & (! (((w_data3073w[0..0] & (! w_sel3075w[1..1])) & (! w_sel3075w[0..0])) # (w_sel3075w[1..1] & (w_sel3075w[0..0] # w_data3073w[2..2]))))) # ((((w_data3073w[0..0] & (! w_sel3075w[1..1])) & (! w_sel3075w[0..0])) # (w_sel3075w[1..1] & (w_sel3075w[0..0] # w_data3073w[2..2]))) & (w_data3073w[3..3] # (! w_sel3075w[0..0])))))), ((sel_node[2..2] & (((w_data3005w[1..1] & w_sel3006w[0..0]) & (! (((w_data3005w[0..0] & (! w_sel3006w[1..1])) & (! w_sel3006w[0..0])) # (w_sel3006w[1..1] & (w_sel3006w[0..0] # w_data3005w[2..2]))))) # ((((w_data3005w[0..0] & (! w_sel3006w[1..1])) & (! w_sel3006w[0..0])) # (w_sel3006w[1..1] & (w_sel3006w[0..0] # w_data3005w[2..2]))) & (w_data3005w[3..3] # (! w_sel3006w[0..0]))))) # ((! sel_node[2..2]) & (((w_data3004w[1..1] & w_sel3006w[0..0]) & (! (((w_data3004w[0..0] & (! w_sel3006w[1..1])) & (! w_sel3006w[0..0])) # (w_sel3006w[1..1] & (w_sel3006w[0..0] # w_data3004w[2..2]))))) # ((((w_data3004w[0..0] & (! w_sel3006w[1..1])) & (! w_sel3006w[0..0])) # (w_sel3006w[1..1] & (w_sel3006w[0..0] # w_data3004w[2..2]))) & (w_data3004w[3..3] # (! w_sel3006w[0..0])))))), ((sel_node[2..2] & (((w_data2936w[1..1] & w_sel2937w[0..0]) & (! (((w_data2936w[0..0] & (! w_sel2937w[1..1])) & (! w_sel2937w[0..0])) # (w_sel2937w[1..1] & (w_sel2937w[0..0] # w_data2936w[2..2]))))) # ((((w_data2936w[0..0] & (! w_sel2937w[1..1])) & (! w_sel2937w[0..0])) # (w_sel2937w[1..1] & (w_sel2937w[0..0] # w_data2936w[2..2]))) & (w_data2936w[3..3] # (! w_sel2937w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2935w[1..1] & w_sel2937w[0..0]) & (! (((w_data2935w[0..0] & (! w_sel2937w[1..1])) & (! w_sel2937w[0..0])) # (w_sel2937w[1..1] & (w_sel2937w[0..0] # w_data2935w[2..2]))))) # ((((w_data2935w[0..0] & (! w_sel2937w[1..1])) & (! w_sel2937w[0..0])) # (w_sel2937w[1..1] & (w_sel2937w[0..0] # w_data2935w[2..2]))) & (w_data2935w[3..3] # (! w_sel2937w[0..0])))))), ((sel_node[2..2] & (((w_data2867w[1..1] & w_sel2868w[0..0]) & (! (((w_data2867w[0..0] & (! w_sel2868w[1..1])) & (! w_sel2868w[0..0])) # (w_sel2868w[1..1] & (w_sel2868w[0..0] # w_data2867w[2..2]))))) # ((((w_data2867w[0..0] & (! w_sel2868w[1..1])) & (! w_sel2868w[0..0])) # (w_sel2868w[1..1] & (w_sel2868w[0..0] # w_data2867w[2..2]))) & (w_data2867w[3..3] # (! w_sel2868w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2866w[1..1] & w_sel2868w[0..0]) & (! (((w_data2866w[0..0] & (! w_sel2868w[1..1])) & (! w_sel2868w[0..0])) # (w_sel2868w[1..1] & (w_sel2868w[0..0] # w_data2866w[2..2]))))) # ((((w_data2866w[0..0] & (! w_sel2868w[1..1])) & (! w_sel2868w[0..0])) # (w_sel2868w[1..1] & (w_sel2868w[0..0] # w_data2866w[2..2]))) & (w_data2866w[3..3] # (! w_sel2868w[0..0])))))), ((sel_node[2..2] & (((w_data2798w[1..1] & w_sel2799w[0..0]) & (! (((w_data2798w[0..0] & (! w_sel2799w[1..1])) & (! w_sel2799w[0..0])) # (w_sel2799w[1..1] & (w_sel2799w[0..0] # w_data2798w[2..2]))))) # ((((w_data2798w[0..0] & (! w_sel2799w[1..1])) & (! w_sel2799w[0..0])) # (w_sel2799w[1..1] & (w_sel2799w[0..0] # w_data2798w[2..2]))) & (w_data2798w[3..3] # (! w_sel2799w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2797w[1..1] & w_sel2799w[0..0]) & (! (((w_data2797w[0..0] & (! w_sel2799w[1..1])) & (! w_sel2799w[0..0])) # (w_sel2799w[1..1] & (w_sel2799w[0..0] # w_data2797w[2..2]))))) # ((((w_data2797w[0..0] & (! w_sel2799w[1..1])) & (! w_sel2799w[0..0])) # (w_sel2799w[1..1] & (w_sel2799w[0..0] # w_data2797w[2..2]))) & (w_data2797w[3..3] # (! w_sel2799w[0..0])))))), ((sel_node[2..2] & (((w_data2729w[1..1] & w_sel2730w[0..0]) & (! (((w_data2729w[0..0] & (! w_sel2730w[1..1])) & (! w_sel2730w[0..0])) # (w_sel2730w[1..1] & (w_sel2730w[0..0] # w_data2729w[2..2]))))) # ((((w_data2729w[0..0] & (! w_sel2730w[1..1])) & (! w_sel2730w[0..0])) # (w_sel2730w[1..1] & (w_sel2730w[0..0] # w_data2729w[2..2]))) & (w_data2729w[3..3] # (! w_sel2730w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2728w[1..1] & w_sel2730w[0..0]) & (! (((w_data2728w[0..0] & (! w_sel2730w[1..1])) & (! w_sel2730w[0..0])) # (w_sel2730w[1..1] & (w_sel2730w[0..0] # w_data2728w[2..2]))))) # ((((w_data2728w[0..0] & (! w_sel2730w[1..1])) & (! w_sel2730w[0..0])) # (w_sel2730w[1..1] & (w_sel2730w[0..0] # w_data2728w[2..2]))) & (w_data2728w[3..3] # (! w_sel2730w[0..0])))))), ((sel_node[2..2] & (((w_data2660w[1..1] & w_sel2661w[0..0]) & (! (((w_data2660w[0..0] & (! w_sel2661w[1..1])) & (! w_sel2661w[0..0])) # (w_sel2661w[1..1] & (w_sel2661w[0..0] # w_data2660w[2..2]))))) # ((((w_data2660w[0..0] & (! w_sel2661w[1..1])) & (! w_sel2661w[0..0])) # (w_sel2661w[1..1] & (w_sel2661w[0..0] # w_data2660w[2..2]))) & (w_data2660w[3..3] # (! w_sel2661w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2659w[1..1] & w_sel2661w[0..0]) & (! (((w_data2659w[0..0] & (! w_sel2661w[1..1])) & (! w_sel2661w[0..0])) # (w_sel2661w[1..1] & (w_sel2661w[0..0] # w_data2659w[2..2]))))) # ((((w_data2659w[0..0] & (! w_sel2661w[1..1])) & (! w_sel2661w[0..0])) # (w_sel2661w[1..1] & (w_sel2661w[0..0] # w_data2659w[2..2]))) & (w_data2659w[3..3] # (! w_sel2661w[0..0])))))), ((sel_node[2..2] & (((w_data2591w[1..1] & w_sel2592w[0..0]) & (! (((w_data2591w[0..0] & (! w_sel2592w[1..1])) & (! w_sel2592w[0..0])) # (w_sel2592w[1..1] & (w_sel2592w[0..0] # w_data2591w[2..2]))))) # ((((w_data2591w[0..0] & (! w_sel2592w[1..1])) & (! w_sel2592w[0..0])) # (w_sel2592w[1..1] & (w_sel2592w[0..0] # w_data2591w[2..2]))) & (w_data2591w[3..3] # (! w_sel2592w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2590w[1..1] & w_sel2592w[0..0]) & (! (((w_data2590w[0..0] & (! w_sel2592w[1..1])) & (! w_sel2592w[0..0])) # (w_sel2592w[1..1] & (w_sel2592w[0..0] # w_data2590w[2..2]))))) # ((((w_data2590w[0..0] & (! w_sel2592w[1..1])) & (! w_sel2592w[0..0])) # (w_sel2592w[1..1] & (w_sel2592w[0..0] # w_data2590w[2..2]))) & (w_data2590w[3..3] # (! w_sel2592w[0..0])))))), ((sel_node[2..2] & (((w_data2522w[1..1] & w_sel2523w[0..0]) & (! (((w_data2522w[0..0] & (! w_sel2523w[1..1])) & (! w_sel2523w[0..0])) # (w_sel2523w[1..1] & (w_sel2523w[0..0] # w_data2522w[2..2]))))) # ((((w_data2522w[0..0] & (! w_sel2523w[1..1])) & (! w_sel2523w[0..0])) # (w_sel2523w[1..1] & (w_sel2523w[0..0] # w_data2522w[2..2]))) & (w_data2522w[3..3] # (! w_sel2523w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2521w[1..1] & w_sel2523w[0..0]) & (! (((w_data2521w[0..0] & (! w_sel2523w[1..1])) & (! w_sel2523w[0..0])) # (w_sel2523w[1..1] & (w_sel2523w[0..0] # w_data2521w[2..2]))))) # ((((w_data2521w[0..0] & (! w_sel2523w[1..1])) & (! w_sel2523w[0..0])) # (w_sel2523w[1..1] & (w_sel2523w[0..0] # w_data2521w[2..2]))) & (w_data2521w[3..3] # (! w_sel2523w[0..0])))))), ((sel_node[2..2] & (((w_data2453w[1..1] & w_sel2454w[0..0]) & (! (((w_data2453w[0..0] & (! w_sel2454w[1..1])) & (! w_sel2454w[0..0])) # (w_sel2454w[1..1] & (w_sel2454w[0..0] # w_data2453w[2..2]))))) # ((((w_data2453w[0..0] & (! w_sel2454w[1..1])) & (! w_sel2454w[0..0])) # (w_sel2454w[1..1] & (w_sel2454w[0..0] # w_data2453w[2..2]))) & (w_data2453w[3..3] # (! w_sel2454w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2452w[1..1] & w_sel2454w[0..0]) & (! (((w_data2452w[0..0] & (! w_sel2454w[1..1])) & (! w_sel2454w[0..0])) # (w_sel2454w[1..1] & (w_sel2454w[0..0] # w_data2452w[2..2]))))) # ((((w_data2452w[0..0] & (! w_sel2454w[1..1])) & (! w_sel2454w[0..0])) # (w_sel2454w[1..1] & (w_sel2454w[0..0] # w_data2452w[2..2]))) & (w_data2452w[3..3] # (! w_sel2454w[0..0])))))), ((sel_node[2..2] & (((w_data2384w[1..1] & w_sel2385w[0..0]) & (! (((w_data2384w[0..0] & (! w_sel2385w[1..1])) & (! w_sel2385w[0..0])) # (w_sel2385w[1..1] & (w_sel2385w[0..0] # w_data2384w[2..2]))))) # ((((w_data2384w[0..0] & (! w_sel2385w[1..1])) & (! w_sel2385w[0..0])) # (w_sel2385w[1..1] & (w_sel2385w[0..0] # w_data2384w[2..2]))) & (w_data2384w[3..3] # (! w_sel2385w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2383w[1..1] & w_sel2385w[0..0]) & (! (((w_data2383w[0..0] & (! w_sel2385w[1..1])) & (! w_sel2385w[0..0])) # (w_sel2385w[1..1] & (w_sel2385w[0..0] # w_data2383w[2..2]))))) # ((((w_data2383w[0..0] & (! w_sel2385w[1..1])) & (! w_sel2385w[0..0])) # (w_sel2385w[1..1] & (w_sel2385w[0..0] # w_data2383w[2..2]))) & (w_data2383w[3..3] # (! w_sel2385w[0..0])))))), ((sel_node[2..2] & (((w_data2315w[1..1] & w_sel2316w[0..0]) & (! (((w_data2315w[0..0] & (! w_sel2316w[1..1])) & (! w_sel2316w[0..0])) # (w_sel2316w[1..1] & (w_sel2316w[0..0] # w_data2315w[2..2]))))) # ((((w_data2315w[0..0] & (! w_sel2316w[1..1])) & (! w_sel2316w[0..0])) # (w_sel2316w[1..1] & (w_sel2316w[0..0] # w_data2315w[2..2]))) & (w_data2315w[3..3] # (! w_sel2316w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2314w[1..1] & w_sel2316w[0..0]) & (! (((w_data2314w[0..0] & (! w_sel2316w[1..1])) & (! w_sel2316w[0..0])) # (w_sel2316w[1..1] & (w_sel2316w[0..0] # w_data2314w[2..2]))))) # ((((w_data2314w[0..0] & (! w_sel2316w[1..1])) & (! w_sel2316w[0..0])) # (w_sel2316w[1..1] & (w_sel2316w[0..0] # w_data2314w[2..2]))) & (w_data2314w[3..3] # (! w_sel2316w[0..0])))))), ((sel_node[2..2] & (((w_data2246w[1..1] & w_sel2247w[0..0]) & (! (((w_data2246w[0..0] & (! w_sel2247w[1..1])) & (! w_sel2247w[0..0])) # (w_sel2247w[1..1] & (w_sel2247w[0..0] # w_data2246w[2..2]))))) # ((((w_data2246w[0..0] & (! w_sel2247w[1..1])) & (! w_sel2247w[0..0])) # (w_sel2247w[1..1] & (w_sel2247w[0..0] # w_data2246w[2..2]))) & (w_data2246w[3..3] # (! w_sel2247w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2245w[1..1] & w_sel2247w[0..0]) & (! (((w_data2245w[0..0] & (! w_sel2247w[1..1])) & (! w_sel2247w[0..0])) # (w_sel2247w[1..1] & (w_sel2247w[0..0] # w_data2245w[2..2]))))) # ((((w_data2245w[0..0] & (! w_sel2247w[1..1])) & (! w_sel2247w[0..0])) # (w_sel2247w[1..1] & (w_sel2247w[0..0] # w_data2245w[2..2]))) & (w_data2245w[3..3] # (! w_sel2247w[0..0])))))), ((sel_node[2..2] & (((w_data2177w[1..1] & w_sel2178w[0..0]) & (! (((w_data2177w[0..0] & (! w_sel2178w[1..1])) & (! w_sel2178w[0..0])) # (w_sel2178w[1..1] & (w_sel2178w[0..0] # w_data2177w[2..2]))))) # ((((w_data2177w[0..0] & (! w_sel2178w[1..1])) & (! w_sel2178w[0..0])) # (w_sel2178w[1..1] & (w_sel2178w[0..0] # w_data2177w[2..2]))) & (w_data2177w[3..3] # (! w_sel2178w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2176w[1..1] & w_sel2178w[0..0]) & (! (((w_data2176w[0..0] & (! w_sel2178w[1..1])) & (! w_sel2178w[0..0])) # (w_sel2178w[1..1] & (w_sel2178w[0..0] # w_data2176w[2..2]))))) # ((((w_data2176w[0..0] & (! w_sel2178w[1..1])) & (! w_sel2178w[0..0])) # (w_sel2178w[1..1] & (w_sel2178w[0..0] # w_data2176w[2..2]))) & (w_data2176w[3..3] # (! w_sel2178w[0..0])))))), ((sel_node[2..2] & (((w_data2108w[1..1] & w_sel2109w[0..0]) & (! (((w_data2108w[0..0] & (! w_sel2109w[1..1])) & (! w_sel2109w[0..0])) # (w_sel2109w[1..1] & (w_sel2109w[0..0] # w_data2108w[2..2]))))) # ((((w_data2108w[0..0] & (! w_sel2109w[1..1])) & (! w_sel2109w[0..0])) # (w_sel2109w[1..1] & (w_sel2109w[0..0] # w_data2108w[2..2]))) & (w_data2108w[3..3] # (! w_sel2109w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2107w[1..1] & w_sel2109w[0..0]) & (! (((w_data2107w[0..0] & (! w_sel2109w[1..1])) & (! w_sel2109w[0..0])) # (w_sel2109w[1..1] & (w_sel2109w[0..0] # w_data2107w[2..2]))))) # ((((w_data2107w[0..0] & (! w_sel2109w[1..1])) & (! w_sel2109w[0..0])) # (w_sel2109w[1..1] & (w_sel2109w[0..0] # w_data2107w[2..2]))) & (w_data2107w[3..3] # (! w_sel2109w[0..0])))))), ((sel_node[2..2] & (((w_data2039w[1..1] & w_sel2040w[0..0]) & (! (((w_data2039w[0..0] & (! w_sel2040w[1..1])) & (! w_sel2040w[0..0])) # (w_sel2040w[1..1] & (w_sel2040w[0..0] # w_data2039w[2..2]))))) # ((((w_data2039w[0..0] & (! w_sel2040w[1..1])) & (! w_sel2040w[0..0])) # (w_sel2040w[1..1] & (w_sel2040w[0..0] # w_data2039w[2..2]))) & (w_data2039w[3..3] # (! w_sel2040w[0..0]))))) # ((! sel_node[2..2]) & (((w_data2038w[1..1] & w_sel2040w[0..0]) & (! (((w_data2038w[0..0] & (! w_sel2040w[1..1])) & (! w_sel2040w[0..0])) # (w_sel2040w[1..1] & (w_sel2040w[0..0] # w_data2038w[2..2]))))) # ((((w_data2038w[0..0] & (! w_sel2040w[1..1])) & (! w_sel2040w[0..0])) # (w_sel2040w[1..1] & (w_sel2040w[0..0] # w_data2038w[2..2]))) & (w_data2038w[3..3] # (! w_sel2040w[0..0])))))), ((sel_node[2..2] & (((w_data1968w[1..1] & w_sel1969w[0..0]) & (! (((w_data1968w[0..0] & (! w_sel1969w[1..1])) & (! w_sel1969w[0..0])) # (w_sel1969w[1..1] & (w_sel1969w[0..0] # w_data1968w[2..2]))))) # ((((w_data1968w[0..0] & (! w_sel1969w[1..1])) & (! w_sel1969w[0..0])) # (w_sel1969w[1..1] & (w_sel1969w[0..0] # w_data1968w[2..2]))) & (w_data1968w[3..3] # (! w_sel1969w[0..0]))))) # ((! sel_node[2..2]) & (((w_data1967w[1..1] & w_sel1969w[0..0]) & (! (((w_data1967w[0..0] & (! w_sel1969w[1..1])) & (! w_sel1969w[0..0])) # (w_sel1969w[1..1] & (w_sel1969w[0..0] # w_data1967w[2..2]))))) # ((((w_data1967w[0..0] & (! w_sel1969w[1..1])) & (! w_sel1969w[0..0])) # (w_sel1969w[1..1] & (w_sel1969w[0..0] # w_data1967w[2..2]))) & (w_data1967w[3..3] # (! w_sel1969w[0..0]))))))); + sel_ffs_wire[] = ( sel[2..0]); + sel_node[] = ( sel_ffs_wire[2..2], sel[1..0]); + w_data1945w[] = ( B"0", data[192..192], data[160..160], data[128..128], data[96..96], data[64..64], data[32..32], data[0..0]); + w_data1967w[3..0] = w_data1945w[3..0]; + w_data1968w[3..0] = w_data1945w[7..4]; + w_data2016w[] = ( B"0", data[193..193], data[161..161], data[129..129], data[97..97], data[65..65], data[33..33], data[1..1]); + w_data2038w[3..0] = w_data2016w[3..0]; + w_data2039w[3..0] = w_data2016w[7..4]; + w_data2085w[] = ( B"0", data[194..194], data[162..162], data[130..130], data[98..98], data[66..66], data[34..34], data[2..2]); + w_data2107w[3..0] = w_data2085w[3..0]; + w_data2108w[3..0] = w_data2085w[7..4]; + w_data2154w[] = ( B"0", data[195..195], data[163..163], data[131..131], data[99..99], data[67..67], data[35..35], data[3..3]); + w_data2176w[3..0] = w_data2154w[3..0]; + w_data2177w[3..0] = w_data2154w[7..4]; + w_data2223w[] = ( B"0", data[196..196], data[164..164], data[132..132], data[100..100], data[68..68], data[36..36], data[4..4]); + w_data2245w[3..0] = w_data2223w[3..0]; + w_data2246w[3..0] = w_data2223w[7..4]; + w_data2292w[] = ( B"0", data[197..197], data[165..165], data[133..133], data[101..101], data[69..69], data[37..37], data[5..5]); + w_data2314w[3..0] = w_data2292w[3..0]; + w_data2315w[3..0] = w_data2292w[7..4]; + w_data2361w[] = ( B"0", data[198..198], data[166..166], data[134..134], data[102..102], data[70..70], data[38..38], data[6..6]); + w_data2383w[3..0] = w_data2361w[3..0]; + w_data2384w[3..0] = w_data2361w[7..4]; + w_data2430w[] = ( B"0", data[199..199], data[167..167], data[135..135], data[103..103], data[71..71], data[39..39], data[7..7]); + w_data2452w[3..0] = w_data2430w[3..0]; + w_data2453w[3..0] = w_data2430w[7..4]; + w_data2499w[] = ( B"0", data[200..200], data[168..168], data[136..136], data[104..104], data[72..72], data[40..40], data[8..8]); + w_data2521w[3..0] = w_data2499w[3..0]; + w_data2522w[3..0] = w_data2499w[7..4]; + w_data2568w[] = ( B"0", data[201..201], data[169..169], data[137..137], data[105..105], data[73..73], data[41..41], data[9..9]); + w_data2590w[3..0] = w_data2568w[3..0]; + w_data2591w[3..0] = w_data2568w[7..4]; + w_data2637w[] = ( B"0", data[202..202], data[170..170], data[138..138], data[106..106], data[74..74], data[42..42], data[10..10]); + w_data2659w[3..0] = w_data2637w[3..0]; + w_data2660w[3..0] = w_data2637w[7..4]; + w_data2706w[] = ( B"0", data[203..203], data[171..171], data[139..139], data[107..107], data[75..75], data[43..43], data[11..11]); + w_data2728w[3..0] = w_data2706w[3..0]; + w_data2729w[3..0] = w_data2706w[7..4]; + w_data2775w[] = ( B"0", data[204..204], data[172..172], data[140..140], data[108..108], data[76..76], data[44..44], data[12..12]); + w_data2797w[3..0] = w_data2775w[3..0]; + w_data2798w[3..0] = w_data2775w[7..4]; + w_data2844w[] = ( B"0", data[205..205], data[173..173], data[141..141], data[109..109], data[77..77], data[45..45], data[13..13]); + w_data2866w[3..0] = w_data2844w[3..0]; + w_data2867w[3..0] = w_data2844w[7..4]; + w_data2913w[] = ( B"0", data[206..206], data[174..174], data[142..142], data[110..110], data[78..78], data[46..46], data[14..14]); + w_data2935w[3..0] = w_data2913w[3..0]; + w_data2936w[3..0] = w_data2913w[7..4]; + w_data2982w[] = ( B"0", data[207..207], data[175..175], data[143..143], data[111..111], data[79..79], data[47..47], data[15..15]); + w_data3004w[3..0] = w_data2982w[3..0]; + w_data3005w[3..0] = w_data2982w[7..4]; + w_data3051w[] = ( B"0", data[208..208], data[176..176], data[144..144], data[112..112], data[80..80], data[48..48], data[16..16]); + w_data3073w[3..0] = w_data3051w[3..0]; + w_data3074w[3..0] = w_data3051w[7..4]; + w_data3120w[] = ( B"0", data[209..209], data[177..177], data[145..145], data[113..113], data[81..81], data[49..49], data[17..17]); + w_data3142w[3..0] = w_data3120w[3..0]; + w_data3143w[3..0] = w_data3120w[7..4]; + w_data3189w[] = ( B"0", data[210..210], data[178..178], data[146..146], data[114..114], data[82..82], data[50..50], data[18..18]); + w_data3211w[3..0] = w_data3189w[3..0]; + w_data3212w[3..0] = w_data3189w[7..4]; + w_data3258w[] = ( B"0", data[211..211], data[179..179], data[147..147], data[115..115], data[83..83], data[51..51], data[19..19]); + w_data3280w[3..0] = w_data3258w[3..0]; + w_data3281w[3..0] = w_data3258w[7..4]; + w_data3327w[] = ( B"0", data[212..212], data[180..180], data[148..148], data[116..116], data[84..84], data[52..52], data[20..20]); + w_data3349w[3..0] = w_data3327w[3..0]; + w_data3350w[3..0] = w_data3327w[7..4]; + w_data3396w[] = ( B"0", data[213..213], data[181..181], data[149..149], data[117..117], data[85..85], data[53..53], data[21..21]); + w_data3418w[3..0] = w_data3396w[3..0]; + w_data3419w[3..0] = w_data3396w[7..4]; + w_data3465w[] = ( B"0", data[214..214], data[182..182], data[150..150], data[118..118], data[86..86], data[54..54], data[22..22]); + w_data3487w[3..0] = w_data3465w[3..0]; + w_data3488w[3..0] = w_data3465w[7..4]; + w_data3534w[] = ( B"0", data[215..215], data[183..183], data[151..151], data[119..119], data[87..87], data[55..55], data[23..23]); + w_data3556w[3..0] = w_data3534w[3..0]; + w_data3557w[3..0] = w_data3534w[7..4]; + w_data3603w[] = ( B"0", data[216..216], data[184..184], data[152..152], data[120..120], data[88..88], data[56..56], data[24..24]); + w_data3625w[3..0] = w_data3603w[3..0]; + w_data3626w[3..0] = w_data3603w[7..4]; + w_data3672w[] = ( B"0", data[217..217], data[185..185], data[153..153], data[121..121], data[89..89], data[57..57], data[25..25]); + w_data3694w[3..0] = w_data3672w[3..0]; + w_data3695w[3..0] = w_data3672w[7..4]; + w_data3741w[] = ( B"0", data[218..218], data[186..186], data[154..154], data[122..122], data[90..90], data[58..58], data[26..26]); + w_data3763w[3..0] = w_data3741w[3..0]; + w_data3764w[3..0] = w_data3741w[7..4]; + w_data3810w[] = ( B"0", data[219..219], data[187..187], data[155..155], data[123..123], data[91..91], data[59..59], data[27..27]); + w_data3832w[3..0] = w_data3810w[3..0]; + w_data3833w[3..0] = w_data3810w[7..4]; + w_data3879w[] = ( B"0", data[220..220], data[188..188], data[156..156], data[124..124], data[92..92], data[60..60], data[28..28]); + w_data3901w[3..0] = w_data3879w[3..0]; + w_data3902w[3..0] = w_data3879w[7..4]; + w_data3948w[] = ( B"0", data[221..221], data[189..189], data[157..157], data[125..125], data[93..93], data[61..61], data[29..29]); + w_data3970w[3..0] = w_data3948w[3..0]; + w_data3971w[3..0] = w_data3948w[7..4]; + w_data4017w[] = ( B"0", data[222..222], data[190..190], data[158..158], data[126..126], data[94..94], data[62..62], data[30..30]); + w_data4039w[3..0] = w_data4017w[3..0]; + w_data4040w[3..0] = w_data4017w[7..4]; + w_data4086w[] = ( B"0", data[223..223], data[191..191], data[159..159], data[127..127], data[95..95], data[63..63], data[31..31]); + w_data4108w[3..0] = w_data4086w[3..0]; + w_data4109w[3..0] = w_data4086w[7..4]; + w_sel1969w[1..0] = sel_node[1..0]; + w_sel2040w[1..0] = sel_node[1..0]; + w_sel2109w[1..0] = sel_node[1..0]; + w_sel2178w[1..0] = sel_node[1..0]; + w_sel2247w[1..0] = sel_node[1..0]; + w_sel2316w[1..0] = sel_node[1..0]; + w_sel2385w[1..0] = sel_node[1..0]; + w_sel2454w[1..0] = sel_node[1..0]; + w_sel2523w[1..0] = sel_node[1..0]; + w_sel2592w[1..0] = sel_node[1..0]; + w_sel2661w[1..0] = sel_node[1..0]; + w_sel2730w[1..0] = sel_node[1..0]; + w_sel2799w[1..0] = sel_node[1..0]; + w_sel2868w[1..0] = sel_node[1..0]; + w_sel2937w[1..0] = sel_node[1..0]; + w_sel3006w[1..0] = sel_node[1..0]; + w_sel3075w[1..0] = sel_node[1..0]; + w_sel3144w[1..0] = sel_node[1..0]; + w_sel3213w[1..0] = sel_node[1..0]; + w_sel3282w[1..0] = sel_node[1..0]; + w_sel3351w[1..0] = sel_node[1..0]; + w_sel3420w[1..0] = sel_node[1..0]; + w_sel3489w[1..0] = sel_node[1..0]; + w_sel3558w[1..0] = sel_node[1..0]; + w_sel3627w[1..0] = sel_node[1..0]; + w_sel3696w[1..0] = sel_node[1..0]; + w_sel3765w[1..0] = sel_node[1..0]; + w_sel3834w[1..0] = sel_node[1..0]; + w_sel3903w[1..0] = sel_node[1..0]; + w_sel3972w[1..0] = sel_node[1..0]; + w_sel4041w[1..0] = sel_node[1..0]; + w_sel4110w[1..0] = sel_node[1..0]; +END; +--VALID FILE diff --git a/db/prev_cmp_lights.qmsg b/db/prev_cmp_lights.qmsg new file mode 100644 index 0000000..b612175 --- /dev/null +++ b/db/prev_cmp_lights.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1485396318570 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "MIF/HEX Update Quartus II 64-Bit " "Running Quartus II 64-Bit MIF/HEX Update" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1485396318570 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 26 11:05:18 2017 " "Processing started: Thu Jan 26 11:05:18 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1485396318570 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1485396318570 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_cdb lights -c lights --update_mif " "Command: quartus_cdb lights -c lights --update_mif" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1485396318570 ""} +{ "Warning" "WQATM_MIF_NOT_FOUND" "nios_system_nios2_processor_rf_ram_a.mif " "Can't find Memory Initialization File nios_system_nios2_processor_rf_ram_a.mif -- skipped updates for this file" { } { } 0 39023 "Can't find Memory Initialization File %1!s! -- skipped updates for this file" 0 0 "Quartus II" 0 -1 1485396319617 ""} +{ "Warning" "WQATM_MIF_NOT_FOUND" "nios_system_nios2_processor_rf_ram_b.mif " "Can't find Memory Initialization File nios_system_nios2_processor_rf_ram_b.mif -- skipped updates for this file" { } { } 0 39023 "Can't find Memory Initialization File %1!s! -- skipped updates for this file" 0 0 "Quartus II" 0 -1 1485396319617 ""} +{ "Warning" "WQATM_MIF_NOT_FOUND" "nios_system_nios2_processor_ociram_default_contents.mif " "Can't find Memory Initialization File nios_system_nios2_processor_ociram_default_contents.mif -- skipped updates for this file" { } { } 0 39023 "Can't find Memory Initialization File %1!s! -- skipped updates for this file" 0 0 "Quartus II" 0 -1 1485396319617 ""} +{ "Warning" "WMIO_MIO_HEX_DATA_WRAPPING_HEAD" "nios_system_onchip_memory.hex 6400 10 " "Width of data items in \"nios_system_onchip_memory.hex\" is greater than the memory width. Wrapping data items to subsequent addresses. Found 6400 warnings, reporting 10" { { "Warning" "WMIO_MIO_DATA_WRAPPING" "2 nios_system_onchip_memory.hex " "Data at line (2) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 2 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "3 nios_system_onchip_memory.hex " "Data at line (3) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 3 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "4 nios_system_onchip_memory.hex " "Data at line (4) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 4 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "5 nios_system_onchip_memory.hex " "Data at line (5) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 5 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "6 nios_system_onchip_memory.hex " "Data at line (6) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 6 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "7 nios_system_onchip_memory.hex " "Data at line (7) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 7 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "8 nios_system_onchip_memory.hex " "Data at line (8) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 8 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "9 nios_system_onchip_memory.hex " "Data at line (9) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 9 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "10 nios_system_onchip_memory.hex " "Data at line (10) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 10 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "11 nios_system_onchip_memory.hex " "Data at line (11) of memory initialization file \"nios_system_onchip_memory.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 11 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1485396319977 ""} } { { "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 1 -1 0 } } } 0 113015 "Width of data items in \"%1!s!\" is greater than the memory width. Wrapping data items to subsequent addresses. Found %2!u! warnings, reporting %3!u!" 0 0 "Quartus II" 0 -1 1485396319977 ""} +{ "Info" "IQATM_MIFS_PROCESSED" "" "Processed the following Memory Initialization File(s)" { { "Info" "IQATM_PROCESSED_MIF_CONTENT" "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex " "Processed Memory Initialization File C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" { } { { "nios_system_onchip_memory.hex" "" { Text "C:/Users/takayun/Documents/DE2-115/qsys_tutorial/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex" 0 0 0 } } } 0 39025 "Processed Memory Initialization File %1!s!" 0 0 "Quartus II" 0 -1 1485396323180 ""} } { } 0 39024 "Processed the following Memory Initialization File(s)" 0 0 "Quartus II" 0 -1 1485396323180 ""} +{ "Info" "IQEXE_ERROR_COUNT" "MIF/HEX Update 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit MIF/HEX Update was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "551 " "Peak virtual memory: 551 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1485396323321 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 26 11:05:23 2017 " "Processing ended: Thu Jan 26 11:05:23 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1485396323321 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1485396323321 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1485396323321 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1485396323321 ""} diff --git a/db/scfifo_jr21.tdf b/db/scfifo_jr21.tdf new file mode 100644 index 0000000..3e1d114 --- /dev/null +++ b/db/scfifo_jr21.tdf @@ -0,0 +1,53 @@ +--scfifo DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=64 LPM_SHOWAHEAD="OFF" LPM_WIDTH=8 LPM_WIDTHU=6 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="OFF" UNDERFLOW_CHECKING="OFF" USE_EAB="ON" aclr clock data empty full q rdreq usedw wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" lpm_hint="RAM_BLOCK_TYPE=AUTO" RAM_BLOCK_TYPE="AUTO" +--VERSION_BEGIN 13.0 cbx_altdpram 2013:06:12:18:03:43:SJ cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_fifo_common 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_scfifo 2013:06:12:18:03:43:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION a_dpfifo_q131 (aclr, clock, data[7..0], rreq, sclr, wreq) +RETURNS ( empty, full, q[7..0], usedw[5..0]); + +--synthesis_resources = lut 18 M9K 1 reg 20 +SUBDESIGN scfifo_jr21 +( + aclr : input; + clock : input; + data[7..0] : input; + empty : output; + full : output; + q[7..0] : output; + rdreq : input; + usedw[5..0] : output; + wrreq : input; +) +VARIABLE + dpfifo : a_dpfifo_q131; + sclr : NODE; + +BEGIN + dpfifo.aclr = aclr; + dpfifo.clock = clock; + dpfifo.data[] = data[]; + dpfifo.rreq = rdreq; + dpfifo.sclr = sclr; + dpfifo.wreq = wrreq; + empty = dpfifo.empty; + full = dpfifo.full; + q[] = dpfifo.q[]; + sclr = GND; + usedw[] = dpfifo.usedw[]; +END; +--VALID FILE diff --git a/lights.qpf b/lights.qpf new file mode 100644 index 0000000..b0e80dc --- /dev/null +++ b/lights.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 15:50:27 October 13, 2016 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "15:50:27 October 13, 2016" + +# Revisions + +PROJECT_REVISION = "lights" diff --git a/lights.qsf b/lights.qsf new file mode 100644 index 0000000..9a39622 --- /dev/null +++ b/lights.qsf @@ -0,0 +1,1109 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 15:50:27 October 13, 2016 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# lights_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE115F29C7 +set_global_assignment -name TOP_LEVEL_ENTITY lights +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:50:27 OCTOBER 13, 2016" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_D2 -to AUD_ADCDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT +set_location_assignment PIN_C2 -to AUD_ADCLRCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK +set_location_assignment PIN_F2 -to AUD_BCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK +set_location_assignment PIN_D1 -to AUD_DACDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT +set_location_assignment PIN_E3 -to AUD_DACLRCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK +set_location_assignment PIN_E1 -to AUD_XCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK +set_location_assignment PIN_AG14 -to CLOCK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50 +set_location_assignment PIN_AG15 -to CLOCK3_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50 +set_location_assignment PIN_Y2 -to CLOCK_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50 +set_location_assignment PIN_Y7 -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_location_assignment PIN_AA5 -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_location_assignment PIN_R5 -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_location_assignment PIN_Y6 -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_location_assignment PIN_Y5 -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_location_assignment PIN_AA7 -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_location_assignment PIN_W7 -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_location_assignment PIN_W8 -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_location_assignment PIN_V5 -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_location_assignment PIN_P1 -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_location_assignment PIN_U8 -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_location_assignment PIN_V8 -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_location_assignment PIN_R6 -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_location_assignment PIN_R4 -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_location_assignment PIN_U7 -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_location_assignment PIN_V7 -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_location_assignment PIN_AA6 -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_location_assignment PIN_AE5 -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_location_assignment PIN_T4 -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_location_assignment PIN_U1 -to DRAM_DQ[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31] +set_location_assignment PIN_U4 -to DRAM_DQ[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30] +set_location_assignment PIN_T3 -to DRAM_DQ[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29] +set_location_assignment PIN_R3 -to DRAM_DQ[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28] +set_location_assignment PIN_R2 -to DRAM_DQ[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27] +set_location_assignment PIN_R1 -to DRAM_DQ[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26] +set_location_assignment PIN_R7 -to DRAM_DQ[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25] +set_location_assignment PIN_U5 -to DRAM_DQ[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24] +set_location_assignment PIN_L7 -to DRAM_DQ[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23] +set_location_assignment PIN_M7 -to DRAM_DQ[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22] +set_location_assignment PIN_M4 -to DRAM_DQ[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21] +set_location_assignment PIN_N4 -to DRAM_DQ[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20] +set_location_assignment PIN_N3 -to DRAM_DQ[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19] +set_location_assignment PIN_P2 -to DRAM_DQ[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18] +set_location_assignment PIN_L8 -to DRAM_DQ[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17] +set_location_assignment PIN_M8 -to DRAM_DQ[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16] +set_location_assignment PIN_AC2 -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_location_assignment PIN_AB3 -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_location_assignment PIN_AC1 -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_location_assignment PIN_AB2 -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_location_assignment PIN_AA3 -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_location_assignment PIN_AB1 -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_location_assignment PIN_Y4 -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_location_assignment PIN_Y3 -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_location_assignment PIN_U3 -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_location_assignment PIN_V1 -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_location_assignment PIN_V2 -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_location_assignment PIN_V3 -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_location_assignment PIN_W1 -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_location_assignment PIN_V4 -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_location_assignment PIN_W2 -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_location_assignment PIN_W3 -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_location_assignment PIN_N8 -to DRAM_DQM[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[3] +set_location_assignment PIN_K8 -to DRAM_DQM[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[2] +set_location_assignment PIN_W4 -to DRAM_DQM[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1] +set_location_assignment PIN_U2 -to DRAM_DQM[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0] +set_location_assignment PIN_U6 -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_location_assignment PIN_V6 -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_D14 -to EEP_I2C_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SCLK +set_location_assignment PIN_E14 -to EEP_I2C_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SDAT +set_location_assignment PIN_A17 -to ENET0_GTX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_GTX_CLK +set_location_assignment PIN_A21 -to ENET0_INT_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_INT_N +set_location_assignment PIN_C14 -to ENET0_LINK100 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET0_LINK100 +set_location_assignment PIN_C20 -to ENET0_MDC +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDC +set_location_assignment PIN_B21 -to ENET0_MDIO +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDIO +set_location_assignment PIN_C19 -to ENET0_RST_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RST_N +set_location_assignment PIN_A15 -to ENET0_RX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CLK +set_location_assignment PIN_E15 -to ENET0_RX_COL +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_COL +set_location_assignment PIN_D15 -to ENET0_RX_CRS +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CRS +set_location_assignment PIN_C15 -to ENET0_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[3] +set_location_assignment PIN_D17 -to ENET0_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[2] +set_location_assignment PIN_D16 -to ENET0_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[1] +set_location_assignment PIN_C16 -to ENET0_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[0] +set_location_assignment PIN_C17 -to ENET0_RX_DV +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DV +set_location_assignment PIN_D18 -to ENET0_RX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_ER +set_location_assignment PIN_B17 -to ENET0_TX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_CLK +set_location_assignment PIN_B19 -to ENET0_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[3] +set_location_assignment PIN_A19 -to ENET0_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[2] +set_location_assignment PIN_D19 -to ENET0_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[1] +set_location_assignment PIN_C18 -to ENET0_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[0] +set_location_assignment PIN_A18 -to ENET0_TX_EN +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_EN +set_location_assignment PIN_B18 -to ENET0_TX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_ER +set_location_assignment PIN_C23 -to ENET1_GTX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_GTX_CLK +set_location_assignment PIN_D24 -to ENET1_INT_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_INT_N +set_location_assignment PIN_D13 -to ENET1_LINK100 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET1_LINK100 +set_location_assignment PIN_D23 -to ENET1_MDC +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDC +set_location_assignment PIN_D25 -to ENET1_MDIO +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDIO +set_location_assignment PIN_D22 -to ENET1_RST_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RST_N +set_location_assignment PIN_B15 -to ENET1_RX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CLK +set_location_assignment PIN_B22 -to ENET1_RX_COL +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_COL +set_location_assignment PIN_D20 -to ENET1_RX_CRS +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CRS +set_location_assignment PIN_D21 -to ENET1_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[3] +set_location_assignment PIN_A23 -to ENET1_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[2] +set_location_assignment PIN_C21 -to ENET1_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[1] +set_location_assignment PIN_B23 -to ENET1_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[0] +set_location_assignment PIN_A22 -to ENET1_RX_DV +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DV +set_location_assignment PIN_C24 -to ENET1_RX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_ER +set_location_assignment PIN_C22 -to ENET1_TX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_CLK +set_location_assignment PIN_C26 -to ENET1_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[3] +set_location_assignment PIN_B26 -to ENET1_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[2] +set_location_assignment PIN_A26 -to ENET1_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[1] +set_location_assignment PIN_C25 -to ENET1_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[0] +set_location_assignment PIN_B25 -to ENET1_TX_EN +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_EN +set_location_assignment PIN_A25 -to ENET1_TX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_ER +set_location_assignment PIN_A14 -to ENETCLK_25 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENETCLK_25 +set_location_assignment PIN_D9 -to EX_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6] +set_location_assignment PIN_E10 -to EX_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5] +set_location_assignment PIN_F14 -to EX_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[4] +set_location_assignment PIN_H14 -to EX_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[3] +set_location_assignment PIN_H13 -to EX_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[2] +set_location_assignment PIN_J14 -to EX_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[1] +set_location_assignment PIN_J10 -to EX_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[0] +set_location_assignment PIN_AD11 -to FL_ADDR[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[22] +set_location_assignment PIN_AD10 -to FL_ADDR[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21] +set_location_assignment PIN_AE10 -to FL_ADDR[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20] +set_location_assignment PIN_AD12 -to FL_ADDR[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19] +set_location_assignment PIN_AC12 -to FL_ADDR[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18] +set_location_assignment PIN_AH12 -to FL_ADDR[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17] +set_location_assignment PIN_AA8 -to FL_ADDR[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16] +set_location_assignment PIN_Y10 -to FL_ADDR[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15] +set_location_assignment PIN_AC8 -to FL_ADDR[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14] +set_location_assignment PIN_AD8 -to FL_ADDR[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13] +set_location_assignment PIN_AA10 -to FL_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12] +set_location_assignment PIN_AF9 -to FL_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11] +set_location_assignment PIN_AE9 -to FL_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10] +set_location_assignment PIN_AB10 -to FL_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9] +set_location_assignment PIN_AB12 -to FL_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8] +set_location_assignment PIN_AB13 -to FL_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7] +set_location_assignment PIN_AA12 -to FL_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6] +set_location_assignment PIN_AA13 -to FL_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5] +set_location_assignment PIN_Y12 -to FL_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4] +set_location_assignment PIN_Y14 -to FL_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3] +set_location_assignment PIN_Y13 -to FL_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2] +set_location_assignment PIN_AH7 -to FL_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1] +set_location_assignment PIN_AG12 -to FL_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0] +set_location_assignment PIN_AG7 -to FL_CE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N +set_location_assignment PIN_AF12 -to FL_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7] +set_location_assignment PIN_AH11 -to FL_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6] +set_location_assignment PIN_AG11 -to FL_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5] +set_location_assignment PIN_AF11 -to FL_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4] +set_location_assignment PIN_AH10 -to FL_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3] +set_location_assignment PIN_AG10 -to FL_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2] +set_location_assignment PIN_AF10 -to FL_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1] +set_location_assignment PIN_AH8 -to FL_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0] +set_location_assignment PIN_AG8 -to FL_OE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N +set_location_assignment PIN_AE11 -to FL_RST_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N +set_location_assignment PIN_Y1 -to FL_RY +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY +set_location_assignment PIN_AC10 -to FL_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N +set_location_assignment PIN_AE12 -to FL_WP_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N +set_location_assignment PIN_AG26 -to GPIO[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_AH23 -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_location_assignment PIN_AH26 -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_location_assignment PIN_AF20 -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_location_assignment PIN_AG23 -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_location_assignment PIN_AE20 -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_location_assignment PIN_AF26 -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_location_assignment PIN_AH22 -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_location_assignment PIN_AE24 -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_location_assignment PIN_AG22 -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_location_assignment PIN_AE25 -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_location_assignment PIN_AH25 -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_location_assignment PIN_AD25 -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_location_assignment PIN_AG25 -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_location_assignment PIN_AD22 -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_location_assignment PIN_AF22 -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_location_assignment PIN_AF21 -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_location_assignment PIN_AE22 -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_location_assignment PIN_AC22 -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_location_assignment PIN_AF25 -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_location_assignment PIN_AE21 -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_location_assignment PIN_AF24 -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_location_assignment PIN_AF15 -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_location_assignment PIN_AD19 -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_location_assignment PIN_AF16 -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_location_assignment PIN_AC19 -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_location_assignment PIN_AE15 -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_location_assignment PIN_AD15 -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_location_assignment PIN_AE16 -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_location_assignment PIN_AD21 -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_location_assignment PIN_Y16 -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_location_assignment PIN_AC21 -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_location_assignment PIN_Y17 -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_location_assignment PIN_AB21 -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_location_assignment PIN_AC15 -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_location_assignment PIN_AB22 -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_location_assignment PIN_H22 -to HEX0[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[6] +set_location_assignment PIN_J22 -to HEX0[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[5] +set_location_assignment PIN_L25 -to HEX0[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[4] +set_location_assignment PIN_L26 -to HEX0[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[3] +set_location_assignment PIN_E17 -to HEX0[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2] +set_location_assignment PIN_F22 -to HEX0[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1] +set_location_assignment PIN_G18 -to HEX0[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0] +set_location_assignment PIN_U24 -to HEX1[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[6] +set_location_assignment PIN_U23 -to HEX1[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[5] +set_location_assignment PIN_W25 -to HEX1[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[4] +set_location_assignment PIN_W22 -to HEX1[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[3] +set_location_assignment PIN_W21 -to HEX1[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[2] +set_location_assignment PIN_Y22 -to HEX1[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[1] +set_location_assignment PIN_M24 -to HEX1[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[0] +set_location_assignment PIN_W28 -to HEX2[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[6] +set_location_assignment PIN_W27 -to HEX2[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[5] +set_location_assignment PIN_Y26 -to HEX2[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[4] +set_location_assignment PIN_W26 -to HEX2[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[3] +set_location_assignment PIN_Y25 -to HEX2[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[2] +set_location_assignment PIN_AA26 -to HEX2[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[1] +set_location_assignment PIN_AA25 -to HEX2[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[0] +set_location_assignment PIN_Y19 -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_location_assignment PIN_AF23 -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_location_assignment PIN_AD24 -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_location_assignment PIN_AA21 -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_location_assignment PIN_AB20 -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_location_assignment PIN_U21 -to HEX3[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX3[1] +set_location_assignment PIN_V21 -to HEX3[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX3[0] +set_location_assignment PIN_AE18 -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_location_assignment PIN_AF19 -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_location_assignment PIN_AE19 -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_location_assignment PIN_AH21 -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_location_assignment PIN_AG21 -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_location_assignment PIN_AA19 -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_location_assignment PIN_AB19 -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_location_assignment PIN_AH18 -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_location_assignment PIN_AF18 -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_location_assignment PIN_AG19 -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_location_assignment PIN_AH19 -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_location_assignment PIN_AB18 -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_location_assignment PIN_AC18 -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_location_assignment PIN_AD18 -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_location_assignment PIN_AC17 -to HEX6[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6] +set_location_assignment PIN_AA15 -to HEX6[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5] +set_location_assignment PIN_AB15 -to HEX6[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4] +set_location_assignment PIN_AB17 -to HEX6[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3] +set_location_assignment PIN_AA16 -to HEX6[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2] +set_location_assignment PIN_AB16 -to HEX6[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1] +set_location_assignment PIN_AA17 -to HEX6[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0] +set_location_assignment PIN_AA14 -to HEX7[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6] +set_location_assignment PIN_AG18 -to HEX7[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5] +set_location_assignment PIN_AF17 -to HEX7[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4] +set_location_assignment PIN_AH17 -to HEX7[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3] +set_location_assignment PIN_AG17 -to HEX7[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2] +set_location_assignment PIN_AE17 -to HEX7[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1] +set_location_assignment PIN_AD17 -to HEX7[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0] +set_location_assignment PIN_AH15 -to HSMC_CLKIN0 +set_instance_assignment -name IO_STANDARD "3.0-V LVTTL" -to HSMC_CLKIN0 +set_location_assignment PIN_J27 -to HSMC_CLKIN_P1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_P1 +set_location_assignment PIN_Y27 -to HSMC_CLKIN_P2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_P2 +set_location_assignment PIN_AD28 -to HSMC_CLKOUT0 +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_CLKOUT0 +set_location_assignment PIN_G23 -to HSMC_CLKOUT_P1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_P1 +set_location_assignment PIN_V23 -to HSMC_CLKOUT_P2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_P2 +set_location_assignment PIN_AF27 -to HSMC_D[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[3] +set_location_assignment PIN_AE27 -to HSMC_D[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[2] +set_location_assignment PIN_AE28 -to HSMC_D[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[1] +set_location_assignment PIN_AE26 -to HSMC_D[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[0] +set_location_assignment PIN_T21 -to HSMC_RX_D_P[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[16] +set_location_assignment PIN_R22 -to HSMC_RX_D_P[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[15] +set_location_assignment PIN_P21 -to HSMC_RX_D_P[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[14] +set_location_assignment PIN_P25 -to HSMC_RX_D_P[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[13] +set_location_assignment PIN_N25 -to HSMC_RX_D_P[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[12] +set_location_assignment PIN_L21 -to HSMC_RX_D_P[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[11] +set_location_assignment PIN_U25 -to HSMC_RX_D_P[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[10] +set_location_assignment PIN_T25 -to HSMC_RX_D_P[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[9] +set_location_assignment PIN_R25 -to HSMC_RX_D_P[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[8] +set_location_assignment PIN_M25 -to HSMC_RX_D_P[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[7] +set_location_assignment PIN_L23 -to HSMC_RX_D_P[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[6] +set_location_assignment PIN_K25 -to HSMC_RX_D_P[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[5] +set_location_assignment PIN_H25 -to HSMC_RX_D_P[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[4] +set_location_assignment PIN_G25 -to HSMC_RX_D_P[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[3] +set_location_assignment PIN_F26 -to HSMC_RX_D_P[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[2] +set_location_assignment PIN_D26 -to HSMC_RX_D_P[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[1] +set_location_assignment PIN_F24 -to HSMC_RX_D_P[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[0] +set_location_assignment PIN_U22 -to HSMC_TX_D_P[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[16] +set_location_assignment PIN_V27 -to HSMC_TX_D_P[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[15] +set_location_assignment PIN_U27 -to HSMC_TX_D_P[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[14] +set_location_assignment PIN_R27 -to HSMC_TX_D_P[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[13] +set_location_assignment PIN_V25 -to HSMC_TX_D_P[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[12] +set_location_assignment PIN_L27 -to HSMC_TX_D_P[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[11] +set_location_assignment PIN_J25 -to HSMC_TX_D_P[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[10] +set_location_assignment PIN_P27 -to HSMC_TX_D_P[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[9] +set_location_assignment PIN_J23 -to HSMC_TX_D_P[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[8] +set_location_assignment PIN_H23 -to HSMC_TX_D_P[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[7] +set_location_assignment PIN_K21 -to HSMC_TX_D_P[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[6] +set_location_assignment PIN_M27 -to HSMC_TX_D_P[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[5] +set_location_assignment PIN_K27 -to HSMC_TX_D_P[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[4] +set_location_assignment PIN_G27 -to HSMC_TX_D_P[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[3] +set_location_assignment PIN_F27 -to HSMC_TX_D_P[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[2] +set_location_assignment PIN_E27 -to HSMC_TX_D_P[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[1] +set_location_assignment PIN_D27 -to HSMC_TX_D_P[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[0] +set_location_assignment PIN_B7 -to I2C_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK +set_location_assignment PIN_A8 -to I2C_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT +set_location_assignment PIN_Y15 -to IRDA_RXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to IRDA_RXD +set_location_assignment PIN_R24 -to KEY[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[3] +set_location_assignment PIN_N21 -to KEY[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[2] +set_location_assignment PIN_M21 -to KEY[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[1] +set_location_assignment PIN_M23 -to KEY[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[0] +set_location_assignment PIN_L6 -to LCD_BLON +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON +set_location_assignment PIN_M5 -to LCD_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7] +set_location_assignment PIN_M3 -to LCD_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6] +set_location_assignment PIN_K2 -to LCD_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5] +set_location_assignment PIN_K1 -to LCD_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4] +set_location_assignment PIN_K7 -to LCD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3] +set_location_assignment PIN_L2 -to LCD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2] +set_location_assignment PIN_L1 -to LCD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1] +set_location_assignment PIN_L3 -to LCD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0] +set_location_assignment PIN_L4 -to LCD_EN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN +set_location_assignment PIN_L5 -to LCD_ON +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_ON +set_location_assignment PIN_M2 -to LCD_RS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS +set_location_assignment PIN_M1 -to LCD_RW +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW +set_location_assignment PIN_F17 -to LEDG[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[8] +set_location_assignment PIN_G21 -to LEDG[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[7] +set_location_assignment PIN_G22 -to LEDG[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[6] +set_location_assignment PIN_G20 -to LEDG[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[5] +set_location_assignment PIN_H21 -to LEDG[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[4] +set_location_assignment PIN_E24 -to LEDG[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[3] +set_location_assignment PIN_E25 -to LEDG[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[2] +set_location_assignment PIN_E22 -to LEDG[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[1] +set_location_assignment PIN_E21 -to LEDG[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[0] +set_location_assignment PIN_H15 -to LEDR[17] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[17] +set_location_assignment PIN_G16 -to LEDR[16] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[16] +set_location_assignment PIN_G15 -to LEDR[15] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[15] +set_location_assignment PIN_F15 -to LEDR[14] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[14] +set_location_assignment PIN_H17 -to LEDR[13] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[13] +set_location_assignment PIN_J16 -to LEDR[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[12] +set_location_assignment PIN_H16 -to LEDR[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[11] +set_location_assignment PIN_J15 -to LEDR[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[10] +set_location_assignment PIN_G17 -to LEDR[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[9] +set_location_assignment PIN_J17 -to LEDR[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[8] +set_location_assignment PIN_H19 -to LEDR[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[7] +set_location_assignment PIN_J19 -to LEDR[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[6] +set_location_assignment PIN_E18 -to LEDR[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[5] +set_location_assignment PIN_F18 -to LEDR[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[4] +set_location_assignment PIN_F21 -to LEDR[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[3] +set_location_assignment PIN_E19 -to LEDR[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[2] +set_location_assignment PIN_F19 -to LEDR[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[1] +set_location_assignment PIN_G19 -to LEDR[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[0] +set_location_assignment PIN_C3 -to OTG_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[1] +set_location_assignment PIN_H7 -to OTG_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[0] +set_location_assignment PIN_A3 -to OTG_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_CS_N +set_location_assignment PIN_D4 -to OTG_DACK_N[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DACK_N[1] +set_location_assignment PIN_C4 -to OTG_DACK_N[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DACK_N[0] +set_location_assignment PIN_G4 -to OTG_DATA[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[15] +set_location_assignment PIN_F3 -to OTG_DATA[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[14] +set_location_assignment PIN_F1 -to OTG_DATA[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[13] +set_location_assignment PIN_G3 -to OTG_DATA[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[12] +set_location_assignment PIN_G2 -to OTG_DATA[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[11] +set_location_assignment PIN_G1 -to OTG_DATA[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[10] +set_location_assignment PIN_H4 -to OTG_DATA[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[9] +set_location_assignment PIN_H3 -to OTG_DATA[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[8] +set_location_assignment PIN_H6 -to OTG_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[7] +set_location_assignment PIN_J7 -to OTG_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[6] +set_location_assignment PIN_J3 -to OTG_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[5] +set_location_assignment PIN_J4 -to OTG_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[4] +set_location_assignment PIN_K3 -to OTG_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[3] +set_location_assignment PIN_J5 -to OTG_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[2] +set_location_assignment PIN_K4 -to OTG_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[1] +set_location_assignment PIN_J6 -to OTG_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[0] +set_location_assignment PIN_B4 -to OTG_DREQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DREQ[1] +set_location_assignment PIN_J1 -to OTG_DREQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DREQ[0] +set_location_assignment PIN_C6 -to OTG_FSPEED +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_FSPEED +set_location_assignment PIN_D5 -to OTG_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT[1] +set_location_assignment PIN_A6 -to OTG_INT[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT[0] +set_location_assignment PIN_B6 -to OTG_LSPEED +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_LSPEED +set_location_assignment PIN_B3 -to OTG_RD_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RD_N +set_location_assignment PIN_C5 -to OTG_RST_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RST_N +set_location_assignment PIN_A4 -to OTG_WR_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_WR_N +set_location_assignment PIN_G6 -to PS2_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK +set_location_assignment PIN_G5 -to PS2_CLK2 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2 +set_location_assignment PIN_H5 -to PS2_DAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT +set_location_assignment PIN_F5 -to PS2_DAT2 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2 +set_location_assignment PIN_AE13 -to SD_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK +set_location_assignment PIN_AD14 -to SD_CMD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD +set_location_assignment PIN_AC14 -to SD_DAT[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[3] +set_location_assignment PIN_AB14 -to SD_DAT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[2] +set_location_assignment PIN_AF13 -to SD_DAT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[1] +set_location_assignment PIN_AE14 -to SD_DAT[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[0] +set_location_assignment PIN_AF14 -to SD_WP_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N +set_location_assignment PIN_AH14 -to SMA_CLKIN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKIN +set_location_assignment PIN_AE23 -to SMA_CLKOUT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKOUT +set_location_assignment PIN_T8 -to SRAM_ADDR[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[19] +set_location_assignment PIN_AB8 -to SRAM_ADDR[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[18] +set_location_assignment PIN_AB9 -to SRAM_ADDR[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[17] +set_location_assignment PIN_AC11 -to SRAM_ADDR[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[16] +set_location_assignment PIN_AB11 -to SRAM_ADDR[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[15] +set_location_assignment PIN_AA4 -to SRAM_ADDR[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[14] +set_location_assignment PIN_AC3 -to SRAM_ADDR[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[13] +set_location_assignment PIN_AB4 -to SRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[12] +set_location_assignment PIN_AD3 -to SRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[11] +set_location_assignment PIN_AF2 -to SRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[10] +set_location_assignment PIN_T7 -to SRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[9] +set_location_assignment PIN_AF5 -to SRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[8] +set_location_assignment PIN_AC5 -to SRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[7] +set_location_assignment PIN_AB5 -to SRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[6] +set_location_assignment PIN_AE6 -to SRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[5] +set_location_assignment PIN_AB6 -to SRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[4] +set_location_assignment PIN_AC7 -to SRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[3] +set_location_assignment PIN_AE7 -to SRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[2] +set_location_assignment PIN_AD7 -to SRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[1] +set_location_assignment PIN_AB7 -to SRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[0] +set_location_assignment PIN_AF8 -to SRAM_CE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_CE_N +set_location_assignment PIN_AG3 -to SRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[15] +set_location_assignment PIN_AF3 -to SRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[14] +set_location_assignment PIN_AE4 -to SRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[13] +set_location_assignment PIN_AE3 -to SRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[12] +set_location_assignment PIN_AE1 -to SRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[11] +set_location_assignment PIN_AE2 -to SRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[10] +set_location_assignment PIN_AD2 -to SRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[9] +set_location_assignment PIN_AD1 -to SRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[8] +set_location_assignment PIN_AF7 -to SRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[7] +set_location_assignment PIN_AH6 -to SRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[6] +set_location_assignment PIN_AG6 -to SRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[5] +set_location_assignment PIN_AF6 -to SRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[4] +set_location_assignment PIN_AH4 -to SRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[3] +set_location_assignment PIN_AG4 -to SRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[2] +set_location_assignment PIN_AF4 -to SRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[1] +set_location_assignment PIN_AH3 -to SRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[0] +set_location_assignment PIN_AD4 -to SRAM_LB_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_LB_N +set_location_assignment PIN_AD5 -to SRAM_OE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_OE_N +set_location_assignment PIN_AC4 -to SRAM_UB_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_UB_N +set_location_assignment PIN_AE8 -to SRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_WE_N +set_location_assignment PIN_Y23 -to SW[17] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[17] +set_location_assignment PIN_Y24 -to SW[16] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[16] +set_location_assignment PIN_AA22 -to SW[15] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[15] +set_location_assignment PIN_AA23 -to SW[14] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[14] +set_location_assignment PIN_AA24 -to SW[13] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[13] +set_location_assignment PIN_AB23 -to SW[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[12] +set_location_assignment PIN_AB24 -to SW[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[11] +set_location_assignment PIN_AC24 -to SW[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[10] +set_location_assignment PIN_AB25 -to SW[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[9] +set_location_assignment PIN_AC25 -to SW[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[8] +set_location_assignment PIN_AB26 -to SW[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[7] +set_location_assignment PIN_AD26 -to SW[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[6] +set_location_assignment PIN_AC26 -to SW[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[5] +set_location_assignment PIN_AB27 -to SW[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[4] +set_location_assignment PIN_AD27 -to SW[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[3] +set_location_assignment PIN_AC27 -to SW[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[2] +set_location_assignment PIN_AC28 -to SW[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[1] +set_location_assignment PIN_AB28 -to SW[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[0] +set_location_assignment PIN_B14 -to TD_CLK27 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_CLK27 +set_location_assignment PIN_F7 -to TD_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[7] +set_location_assignment PIN_E7 -to TD_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[6] +set_location_assignment PIN_D6 -to TD_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[5] +set_location_assignment PIN_D7 -to TD_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[4] +set_location_assignment PIN_C7 -to TD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[3] +set_location_assignment PIN_D8 -to TD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[2] +set_location_assignment PIN_A7 -to TD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[1] +set_location_assignment PIN_E8 -to TD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[0] +set_location_assignment PIN_E5 -to TD_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_HS +set_location_assignment PIN_G7 -to TD_RESET_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_RESET_N +set_location_assignment PIN_E4 -to TD_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_VS +set_location_assignment PIN_G14 -to UART_CTS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS +set_location_assignment PIN_J13 -to UART_RTS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS +set_location_assignment PIN_G12 -to UART_RXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD +set_location_assignment PIN_G9 -to UART_TXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD +set_location_assignment PIN_D12 -to VGA_B[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7] +set_location_assignment PIN_D11 -to VGA_B[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6] +set_location_assignment PIN_C12 -to VGA_B[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5] +set_location_assignment PIN_A11 -to VGA_B[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4] +set_location_assignment PIN_B11 -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_location_assignment PIN_C11 -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_location_assignment PIN_A10 -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_location_assignment PIN_B10 -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_location_assignment PIN_F11 -to VGA_BLANK_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N +set_location_assignment PIN_A12 -to VGA_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK +set_location_assignment PIN_C9 -to VGA_G[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7] +set_location_assignment PIN_F10 -to VGA_G[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6] +set_location_assignment PIN_B8 -to VGA_G[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5] +set_location_assignment PIN_C8 -to VGA_G[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4] +set_location_assignment PIN_H12 -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_location_assignment PIN_F8 -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_location_assignment PIN_G11 -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_location_assignment PIN_G8 -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_location_assignment PIN_G13 -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_location_assignment PIN_H10 -to VGA_R[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7] +set_location_assignment PIN_H8 -to VGA_R[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6] +set_location_assignment PIN_J12 -to VGA_R[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5] +set_location_assignment PIN_G10 -to VGA_R[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4] +set_location_assignment PIN_F12 -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_location_assignment PIN_D10 -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_location_assignment PIN_E11 -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_location_assignment PIN_E12 -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_location_assignment PIN_C10 -to VGA_SYNC_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N +set_location_assignment PIN_C13 -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_J28 -to HSMC_CLKIN_N1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_N1 +set_location_assignment PIN_Y28 -to HSMC_CLKIN_N2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_N2 +set_location_assignment PIN_D28 -to HSMC_TX_D_N[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[0] +set_location_assignment PIN_F25 -to HSMC_RX_D_N[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[0] +set_location_assignment PIN_C27 -to HSMC_RX_D_N[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[1] +set_location_assignment PIN_E28 -to HSMC_TX_D_N[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[1] +set_location_assignment PIN_F28 -to HSMC_TX_D_N[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[2] +set_location_assignment PIN_E26 -to HSMC_RX_D_N[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[2] +set_location_assignment PIN_G28 -to HSMC_TX_D_N[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[3] +set_location_assignment PIN_G26 -to HSMC_RX_D_N[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[3] +set_location_assignment PIN_K28 -to HSMC_TX_D_N[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[4] +set_location_assignment PIN_H26 -to HSMC_RX_D_N[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[4] +set_location_assignment PIN_M28 -to HSMC_TX_D_N[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[5] +set_location_assignment PIN_K26 -to HSMC_RX_D_N[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[5] +set_location_assignment PIN_K22 -to HSMC_TX_D_N[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[6] +set_location_assignment PIN_L24 -to HSMC_RX_D_N[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[6] +set_location_assignment PIN_H24 -to HSMC_TX_D_N[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[7] +set_location_assignment PIN_M26 -to HSMC_RX_D_N[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[7] +set_location_assignment PIN_J24 -to HSMC_TX_D_N[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[8] +set_location_assignment PIN_R26 -to HSMC_RX_D_N[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[8] +set_location_assignment PIN_P28 -to HSMC_TX_D_N[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[9] +set_location_assignment PIN_T26 -to HSMC_RX_D_N[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[9] +set_location_assignment PIN_J26 -to HSMC_TX_D_N[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[10] +set_location_assignment PIN_U26 -to HSMC_RX_D_N[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[10] +set_location_assignment PIN_L28 -to HSMC_TX_D_N[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[11] +set_location_assignment PIN_L22 -to HSMC_RX_D_N[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[11] +set_location_assignment PIN_V26 -to HSMC_TX_D_N[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[12] +set_location_assignment PIN_N26 -to HSMC_RX_D_N[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[12] +set_location_assignment PIN_R28 -to HSMC_TX_D_N[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[13] +set_location_assignment PIN_P26 -to HSMC_RX_D_N[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[13] +set_location_assignment PIN_U28 -to HSMC_TX_D_N[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[14] +set_location_assignment PIN_R21 -to HSMC_RX_D_N[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[14] +set_location_assignment PIN_V28 -to HSMC_TX_D_N[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[15] +set_location_assignment PIN_R23 -to HSMC_RX_D_N[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[15] +set_location_assignment PIN_V22 -to HSMC_TX_D_N[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[16] +set_location_assignment PIN_T22 -to HSMC_RX_D_N[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[16] +set_location_assignment PIN_V24 -to HSMC_CLKOUT_N2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_N2 +set_location_assignment PIN_G24 -to HSMC_CLKOUT_N1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_N1 +set_global_assignment -name QIP_FILE software/DE2_115_ASM3_release/mem_init/meminit.qip +set_global_assignment -name QSYS_FILE nios_system.qsys +set_global_assignment -name SOURCE_FILE nios_system.cmp +set_global_assignment -name VHDL_FILE lights.vhd +set_global_assignment -name VERILOG_FILE nios_system/synthesis/nios_system.v +set_global_assignment -name CDF_FILE output_files/lights.cdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/lights.qws b/lights.qws new file mode 100644 index 0000000..3311bba --- /dev/null +++ b/lights.qws Binary files differ diff --git a/lights.vhd b/lights.vhd new file mode 100644 index 0000000..83a1bb7 --- /dev/null +++ b/lights.vhd @@ -0,0 +1,76 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +entity lights is port ( + CLOCK_50 : in std_logic; + KEY : in std_logic_vector(3 downto 0); + SW : in std_logic_vector(17 downto 0); + LEDG : out std_logic_vector(7 downto 0); + LEDR : out std_logic_vector(17 downto 0); + HEX0 : out std_logic_vector(6 downto 0); + HEX1 : out std_logic_vector(6 downto 0); + HEX2 : out std_logic_vector(6 downto 0); + HEX3 : out std_logic_vector(6 downto 0); + HEX4 : out std_logic_vector(6 downto 0); + HEX5 : out std_logic_vector(6 downto 0); + HEX6 : out std_logic_vector(6 downto 0); + HEX7 : out std_logic_vector(6 downto 0); + LCD_RS : out std_logic; + LCD_RW : out std_logic; + LCD_data : out std_logic_vector(7 downto 0); + LCD_EN : out std_logic; + LCD_ON : out std_logic; + LCD_BLON : out std_logic +); +end lights; + +architecture lights_rtl of lights is + component nios_system + port ( + signal clk_clk : in std_logic; + signal reset_reset_n : in std_logic; + signal switches_export : in std_logic_vector(17 downto 0); + signal push_switches_export : in std_logic_vector(2 downto 0); + signal leds_export : out std_logic_vector(7 downto 0); + signal ledrs_export : out std_logic_vector(17 downto 0); + signal hex0_export : out std_logic_vector(6 downto 0); + signal hex1_export : out std_logic_vector(6 downto 0); + signal hex2_export : out std_logic_vector(6 downto 0); + signal hex3_export : out std_logic_vector(6 downto 0); + signal hex4_export : out std_logic_vector(6 downto 0); + signal hex5_export : out std_logic_vector(6 downto 0); + signal hex6_export : out std_logic_vector(6 downto 0); + signal hex7_export : out std_logic_vector(6 downto 0); + signal lcd_16207_0_RS : out std_logic; + signal lcd_16207_0_RW : out std_logic; + signal lcd_16207_0_data : out std_logic_vector(7 downto 0); + signal lcd_16207_0_E : out std_logic; + signal lcd_on_export : out std_logic; + signal lcd_blon_export : out std_logic + ); + end component; +begin + NiosII : nios_system + port map ( + clk_clk => CLOCK_50, + reset_reset_n => KEY(0), + switches_export => SW(17 downto 0), + push_switches_export => KEY(3 downto 1), + leds_export => LEDG(7 downto 0), + ledrs_export => LEDR(17 downto 0), + hex0_export => HEX0(6 downto 0), + hex1_export => HEX1(6 downto 0), + hex2_export => HEX2(6 downto 0), + hex3_export => HEX3(6 downto 0), + hex4_export => HEX4(6 downto 0), + hex5_export => HEX5(6 downto 0), + hex6_export => HEX6(6 downto 0), + hex7_export => HEX7(6 downto 0), + lcd_16207_0_RS => LCD_RS, + lcd_16207_0_RW => LCD_RW, + lcd_16207_0_data => LCD_DATA, + lcd_16207_0_E => LCD_EN, + lcd_on_export => LCD_ON, + lcd_blon_export => LCD_BLON + ); +end lights_rtl; \ No newline at end of file diff --git a/nios_system.bsf b/nios_system.bsf new file mode 100644 index 0000000..dac37b1 --- /dev/null +++ b/nios_system.bsf @@ -0,0 +1,248 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 0 0 384 792) + (text "nios_system" (rect 155 -1 206 11)(font "Arial" (font_size 10))) + (text "inst" (rect 8 776 20 788)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk_clk" (rect 0 0 27 12)(font "Arial" (font_size 8))) + (text "clk_clk" (rect 4 61 46 72)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 160 72)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "reset_reset_n" (rect 0 0 56 12)(font "Arial" (font_size 8))) + (text "reset_reset_n" (rect 4 141 82 152)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 160 152)(line_width 1)) + ) + (port + (pt 0 232) + (input) + (text "switches_export[17..0]" (rect 0 0 87 12)(font "Arial" (font_size 8))) + (text "switches_export[17..0]" (rect 4 221 136 232)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 160 232)(line_width 3)) + ) + (port + (pt 0 272) + (input) + (text "push_switches_export[2..0]" (rect 0 0 108 12)(font "Arial" (font_size 8))) + (text "push_switches_export[2..0]" (rect 4 261 160 272)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 160 272)(line_width 3)) + ) + (port + (pt 0 112) + (output) + (text "leds_export[7..0]" (rect 0 0 66 12)(font "Arial" (font_size 8))) + (text "leds_export[7..0]" (rect 4 101 106 112)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 160 112)(line_width 3)) + ) + (port + (pt 0 192) + (output) + (text "ledrs_export[17..0]" (rect 0 0 73 12)(font "Arial" (font_size 8))) + (text "ledrs_export[17..0]" (rect 4 181 118 192)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 160 192)(line_width 3)) + ) + (port + (pt 0 312) + (output) + (text "hex0_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex0_export[6..0]" (rect 4 301 106 312)(font "Arial" (font_size 8))) + (line (pt 0 312)(pt 160 312)(line_width 3)) + ) + (port + (pt 0 352) + (output) + (text "hex1_export[6..0]" (rect 0 0 68 12)(font "Arial" (font_size 8))) + (text "hex1_export[6..0]" (rect 4 341 106 352)(font "Arial" (font_size 8))) + (line (pt 0 352)(pt 160 352)(line_width 3)) + ) + (port + (pt 0 392) + (output) + (text "hex2_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex2_export[6..0]" (rect 4 381 106 392)(font "Arial" (font_size 8))) + (line (pt 0 392)(pt 160 392)(line_width 3)) + ) + (port + (pt 0 432) + (output) + (text "hex3_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex3_export[6..0]" (rect 4 421 106 432)(font "Arial" (font_size 8))) + (line (pt 0 432)(pt 160 432)(line_width 3)) + ) + (port + (pt 0 472) + (output) + (text "hex4_export[6..0]" (rect 0 0 70 12)(font "Arial" (font_size 8))) + (text "hex4_export[6..0]" (rect 4 461 106 472)(font "Arial" (font_size 8))) + (line (pt 0 472)(pt 160 472)(line_width 3)) + ) + (port + (pt 0 512) + (output) + (text "hex5_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex5_export[6..0]" (rect 4 501 106 512)(font "Arial" (font_size 8))) + (line (pt 0 512)(pt 160 512)(line_width 3)) + ) + (port + (pt 0 552) + (output) + (text "hex6_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex6_export[6..0]" (rect 4 541 106 552)(font "Arial" (font_size 8))) + (line (pt 0 552)(pt 160 552)(line_width 3)) + ) + (port + (pt 0 592) + (output) + (text "hex7_export[6..0]" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "hex7_export[6..0]" (rect 4 581 106 592)(font "Arial" (font_size 8))) + (line (pt 0 592)(pt 160 592)(line_width 3)) + ) + (port + (pt 0 632) + (output) + (text "lcd_16207_0_RS" (rect 0 0 69 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_RS" (rect 4 621 88 632)(font "Arial" (font_size 8))) + (line (pt 0 632)(pt 160 632)(line_width 1)) + ) + (port + (pt 0 648) + (output) + (text "lcd_16207_0_RW" (rect 0 0 74 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_RW" (rect 4 637 88 648)(font "Arial" (font_size 8))) + (line (pt 0 648)(pt 160 648)(line_width 1)) + ) + (port + (pt 0 680) + (output) + (text "lcd_16207_0_E" (rect 0 0 62 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_E" (rect 4 669 82 680)(font "Arial" (font_size 8))) + (line (pt 0 680)(pt 160 680)(line_width 1)) + ) + (port + (pt 0 720) + (output) + (text "lcd_on_export" (rect 0 0 56 12)(font "Arial" (font_size 8))) + (text "lcd_on_export" (rect 4 709 82 720)(font "Arial" (font_size 8))) + (line (pt 0 720)(pt 160 720)(line_width 1)) + ) + (port + (pt 0 760) + (output) + (text "lcd_blon_export" (rect 0 0 62 12)(font "Arial" (font_size 8))) + (text "lcd_blon_export" (rect 4 749 94 760)(font "Arial" (font_size 8))) + (line (pt 0 760)(pt 160 760)(line_width 1)) + ) + (port + (pt 0 664) + (bidir) + (text "lcd_16207_0_data[7..0]" (rect 0 0 92 12)(font "Arial" (font_size 8))) + (text "lcd_16207_0_data[7..0]" (rect 4 653 136 664)(font "Arial" (font_size 8))) + (line (pt 0 664)(pt 160 664)(line_width 3)) + ) + (drawing + (text "clk" (rect 145 43 308 99)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 165 67 348 144)(font "Arial" (color 0 0 0))) + (text "leds" (rect 137 83 298 179)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 107 366 224)(font "Arial" (color 0 0 0))) + (text "reset" (rect 131 123 292 259)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_n" (rect 165 147 372 304)(font "Arial" (color 0 0 0))) + (text "ledrs" (rect 132 163 294 339)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 187 366 384)(font "Arial" (color 0 0 0))) + (text "switches" (rect 110 203 268 419)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 227 366 464)(font "Arial" (color 0 0 0))) + (text "push_switches" (rect 74 243 226 499)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 267 366 544)(font "Arial" (color 0 0 0))) + (text "hex0" (rect 134 283 292 579)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 307 366 624)(font "Arial" (color 0 0 0))) + (text "hex1" (rect 136 323 296 659)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 347 366 704)(font "Arial" (color 0 0 0))) + (text "hex2" (rect 134 363 292 739)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 387 366 784)(font "Arial" (color 0 0 0))) + (text "hex3" (rect 134 403 292 819)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 427 366 864)(font "Arial" (color 0 0 0))) + (text "hex4" (rect 134 443 292 899)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 467 366 944)(font "Arial" (color 0 0 0))) + (text "hex5" (rect 134 483 292 979)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 507 366 1024)(font "Arial" (color 0 0 0))) + (text "hex6" (rect 134 523 292 1059)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 547 366 1104)(font "Arial" (color 0 0 0))) + (text "hex7" (rect 134 563 292 1139)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 587 366 1184)(font "Arial" (color 0 0 0))) + (text "lcd_16207_0" (rect 89 603 244 1219)(font "Arial" (color 128 0 0)(font_size 9))) + (text "RS" (rect 165 627 342 1264)(font "Arial" (color 0 0 0))) + (text "RW" (rect 165 643 342 1296)(font "Arial" (color 0 0 0))) + (text "data" (rect 165 659 354 1328)(font "Arial" (color 0 0 0))) + (text "E" (rect 165 675 336 1360)(font "Arial" (color 0 0 0))) + (text "lcd_on" (rect 123 691 282 1395)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 715 366 1440)(font "Arial" (color 0 0 0))) + (text "lcd_blon" (rect 113 731 274 1475)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 165 755 366 1520)(font "Arial" (color 0 0 0))) + (text " nios_system " (rect 326 776 730 1562)(font "Arial" )) + (line (pt 161 52)(pt 161 76)(line_width 1)) + (line (pt 162 52)(pt 162 76)(line_width 1)) + (line (pt 161 92)(pt 161 116)(line_width 1)) + (line (pt 162 92)(pt 162 116)(line_width 1)) + (line (pt 161 132)(pt 161 156)(line_width 1)) + (line (pt 162 132)(pt 162 156)(line_width 1)) + (line (pt 161 172)(pt 161 196)(line_width 1)) + (line (pt 162 172)(pt 162 196)(line_width 1)) + (line (pt 161 212)(pt 161 236)(line_width 1)) + (line (pt 162 212)(pt 162 236)(line_width 1)) + (line (pt 161 252)(pt 161 276)(line_width 1)) + (line (pt 162 252)(pt 162 276)(line_width 1)) + (line (pt 161 292)(pt 161 316)(line_width 1)) + (line (pt 162 292)(pt 162 316)(line_width 1)) + (line (pt 161 332)(pt 161 356)(line_width 1)) + (line (pt 162 332)(pt 162 356)(line_width 1)) + (line (pt 161 372)(pt 161 396)(line_width 1)) + (line (pt 162 372)(pt 162 396)(line_width 1)) + (line (pt 161 412)(pt 161 436)(line_width 1)) + (line (pt 162 412)(pt 162 436)(line_width 1)) + (line (pt 161 452)(pt 161 476)(line_width 1)) + (line (pt 162 452)(pt 162 476)(line_width 1)) + (line (pt 161 492)(pt 161 516)(line_width 1)) + (line (pt 162 492)(pt 162 516)(line_width 1)) + (line (pt 161 532)(pt 161 556)(line_width 1)) + (line (pt 162 532)(pt 162 556)(line_width 1)) + (line (pt 161 572)(pt 161 596)(line_width 1)) + (line (pt 162 572)(pt 162 596)(line_width 1)) + (line (pt 161 612)(pt 161 684)(line_width 1)) + (line (pt 162 612)(pt 162 684)(line_width 1)) + (line (pt 161 700)(pt 161 724)(line_width 1)) + (line (pt 162 700)(pt 162 724)(line_width 1)) + (line (pt 161 740)(pt 161 764)(line_width 1)) + (line (pt 162 740)(pt 162 764)(line_width 1)) + (line (pt 160 32)(pt 224 32)(line_width 1)) + (line (pt 224 32)(pt 224 776)(line_width 1)) + (line (pt 160 776)(pt 224 776)(line_width 1)) + (line (pt 160 32)(pt 160 776)(line_width 1)) + (line (pt 0 0)(pt 384 0)(line_width 1)) + (line (pt 384 0)(pt 384 792)(line_width 1)) + (line (pt 0 792)(pt 384 792)(line_width 1)) + (line (pt 0 0)(pt 0 792)(line_width 1)) + ) +) diff --git a/nios_system.cmp b/nios_system.cmp new file mode 100644 index 0000000..97030ef --- /dev/null +++ b/nios_system.cmp @@ -0,0 +1,25 @@ + component nios_system is + port ( + clk_clk : in std_logic := 'X'; -- clk + leds_export : out std_logic_vector(7 downto 0); -- export + reset_reset_n : in std_logic := 'X'; -- reset_n + ledrs_export : out std_logic_vector(17 downto 0); -- export + switches_export : in std_logic_vector(17 downto 0) := (others => 'X'); -- export + push_switches_export : in std_logic_vector(2 downto 0) := (others => 'X'); -- export + hex0_export : out std_logic_vector(6 downto 0); -- export + hex1_export : out std_logic_vector(6 downto 0); -- export + hex2_export : out std_logic_vector(6 downto 0); -- export + hex3_export : out std_logic_vector(6 downto 0); -- export + hex4_export : out std_logic_vector(6 downto 0); -- export + hex5_export : out std_logic_vector(6 downto 0); -- export + hex6_export : out std_logic_vector(6 downto 0); -- export + hex7_export : out std_logic_vector(6 downto 0); -- export + lcd_16207_0_RS : out std_logic; -- RS + lcd_16207_0_RW : out std_logic; -- RW + lcd_16207_0_data : inout std_logic_vector(7 downto 0) := (others => 'X'); -- data + lcd_16207_0_E : out std_logic; -- E + lcd_on_export : out std_logic; -- export + lcd_blon_export : out std_logic -- export + ); + end component nios_system; + diff --git a/nios_system.html b/nios_system.html new file mode 100644 index 0000000..8959bd4 --- /dev/null +++ b/nios_system.html @@ -0,0 +1,4862 @@ + + + + + datasheet for nios_system + + + + + + + + +
nios_system +
+
+
+ + + + + +
2016.12.22.10:06:15Datasheet
+
+
Overview
+
+
+ + + + + + + + +
  clk_0 nios_system
+
+
Processor +
   + nios2_processor + Nios II 13.0 +
All Components +
   + nios2_processor + altera_nios2_qsys 13.0 +
   + onchip_memory + altera_avalon_onchip_memory2 13.0.1.99.2 +
   + jtag_uart + altera_avalon_jtag_uart 13.0.1.99.2 +
   + LEDs + altera_avalon_pio 13.0.1.99.2 +
   + LEDRs + altera_avalon_pio 13.0.1.99.2 +
   + switches + altera_avalon_pio 13.0.1.99.2 +
   + push_switches + altera_avalon_pio 13.0.1.99.2 +
   + hex0 + altera_avalon_pio 13.0.1.99.2 +
   + hex1 + altera_avalon_pio 13.0.1.99.2 +
   + hex2 + altera_avalon_pio 13.0.1.99.2 +
   + hex3 + altera_avalon_pio 13.0.1.99.2 +
   + hex4 + altera_avalon_pio 13.0.1.99.2 +
   + hex5 + altera_avalon_pio 13.0.1.99.2 +
   + hex6 + altera_avalon_pio 13.0.1.99.2 +
   + hex7 + altera_avalon_pio 13.0.1.99.2 +
   + lcd_16207_0 + altera_avalon_lcd_16207 13.0.1.99.2 +
   + lcd_on + altera_avalon_pio 13.0.1.99.2 +
   + lcd_blon + altera_avalon_pio 13.0.1.99.2
+
+
+
+
Memory Map
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ nios2_processor + +
 data_master instruction_master
  + nios2_processor + +
jtag_debug_module 0x000408000x00040800
  + onchip_memory + +
s1 0x000000000x00000000
  + jtag_uart + +
avalon_jtag_slave 0x00041100
  + LEDs + +
s1 0x000410f0
  + LEDRs + +
s1 0x000410e0
  + switches + +
s1 0x000410d0
  + push_switches + +
s1 0x000410c0
  + hex0 + +
s1 0x000410b0
  + hex1 + +
s1 0x000410a0
  + hex2 + +
s1 0x00041090
  + hex3 + +
s1 0x00041080
  + hex4 + +
s1 0x00041070
  + hex5 + +
s1 0x00041060
  + hex6 + +
s1 0x00041050
  + hex7 + +
s1 0x00041040
  + lcd_16207_0 + +
control_slave 0x00041030
  + lcd_on + +
s1 0x00041010
  + lcd_blon + +
s1 0x00041020
+ +
+
+

clk_0

clock_source v13.0 +
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + +
clockFrequency50000000
clockFrequencyKnowntrue
inputClockFrequency0
resetSynchronousEdgesNONE
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

(none)
+
+ +
+
+

nios2_processor

altera_nios2_qsys v13.0 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  nios2_processor
  clk
clk_reset  
  reset_n
jtag_debug_module_reset   + onchip_memory +
  reset1
instruction_master  
  s1
data_master  
  s1
jtag_debug_module_reset   + jtag_uart +
  reset
d_irq  
  irq
data_master  
  avalon_jtag_slave
jtag_debug_module_reset   + LEDs +
  reset
data_master  
  s1
data_master   + LEDRs +
  s1
jtag_debug_module_reset  
  reset
jtag_debug_module_reset   + switches +
  reset
data_master  
  s1
data_master   + push_switches +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex0 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex1 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex2 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex3 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex4 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex5 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex6 +
  s1
jtag_debug_module_reset  
  reset
data_master   + hex7 +
  s1
jtag_debug_module_reset  
  reset
jtag_debug_module_reset   + lcd_16207_0 +
  reset
data_master  
  control_slave
data_master   + lcd_on +
  s1
jtag_debug_module_reset  
  reset
jtag_debug_module_reset   + lcd_blon +
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
setting_showUnpublishedSettingsfalse
setting_showInternalSettingsfalse
setting_preciseSlaveAccessErrorExceptionfalse
setting_preciseIllegalMemAccessExceptionfalse
setting_preciseDivisionErrorExceptionfalse
setting_performanceCounterfalse
setting_illegalMemAccessDetectionfalse
setting_illegalInstructionsTrapfalse
setting_fullWaveformSignalsfalse
setting_extraExceptionInfofalse
setting_exportPCBfalse
setting_debugSimGenfalse
setting_clearXBitsLDNonBypasstrue
setting_bit31BypassDCachetrue
setting_bigEndianfalse
setting_export_large_RAMsfalse
setting_asic_enabledfalse
setting_asic_synopsys_translate_on_offfalse
setting_oci_export_jtag_signalsfalse
setting_bhtIndexPcOnlyfalse
setting_avalonDebugPortPresentfalse
setting_alwaysEncrypttrue
setting_allowFullAddressRangefalse
setting_activateTracetrue
setting_activateTestEndCheckerfalse
setting_activateMonitorstrue
setting_activateModelCheckerfalse
setting_HDLSimCachesClearedtrue
setting_HBreakTestfalse
muldiv_dividerfalse
mpu_useLimitfalse
mpu_enabledfalse
mmu_enabledfalse
mmu_autoAssignTlbPtrSztrue
manuallyAssignCpuIDtrue
debug_triggerArmingtrue
debug_embeddedPLLtrue
debug_debugReqSignalsfalse
debug_assignJtagInstanceIDfalse
dcache_omitDataMasterfalse
cpuResetfalse
is_hardcopy_compatiblefalse
setting_shadowRegisterSets0
mpu_numOfInstRegion8
mpu_numOfDataRegion8
mmu_TLBMissExcOffset0
debug_jtagInstanceID0
resetOffset0
exceptionOffset32
cpuID0
cpuID_stored0
breakOffset32
userDefinedSettings
resetSlaveonchip_memory.s1
mmu_TLBMissExcSlaveNone
exceptionSlaveonchip_memory.s1
breakSlavenios2_processor.jtag_debug_module
setting_perfCounterWidth32
setting_interruptControllerTypeInternal
setting_branchPredictionTypeAutomatic
setting_bhtPtrSz8
muldiv_multiplierTypeEmbeddedMulFast
mpu_minInstRegionSize12
mpu_minDataRegionSize12
mmu_uitlbNumEntries4
mmu_udtlbNumEntries6
mmu_tlbPtrSz7
mmu_tlbNumWays16
mmu_processIDNumBits8
implTiny
icache_size4096
icache_tagramBlockTypeAutomatic
icache_ramBlockTypeAutomatic
icache_numTCIM0
icache_burstTypeNone
dcache_burstsfalse
dcache_victim_buf_implram
debug_levelLevel1
debug_OCIOnchipTrace_128
dcache_size2048
dcache_tagramBlockTypeAutomatic
dcache_ramBlockTypeAutomatic
dcache_numTCDM0
dcache_lineSize32
setting_exportvectorsfalse
setting_ecc_presentfalse
regfile_ramBlockTypeAutomatic
ocimem_ramBlockTypeAutomatic
mmu_ramBlockTypeAutomatic
bht_ramBlockTypeAutomatic
resetAbsoluteAddr0
exceptionAbsoluteAddr32
breakAbsoluteAddr264224
mmu_TLBMissExcAbsAddr0
dcache_bursts_derivedfalse
dcache_size_derived2048
dcache_lineSize_derived32
translate_on "synthesis translate_on"
translate_off "synthesis translate_off"
instAddrWidth19
dataAddrWidth19
tightlyCoupledDataMaster0AddrWidth1
tightlyCoupledDataMaster1AddrWidth1
tightlyCoupledDataMaster2AddrWidth1
tightlyCoupledDataMaster3AddrWidth1
tightlyCoupledInstructionMaster0AddrWidth1
tightlyCoupledInstructionMaster1AddrWidth1
tightlyCoupledInstructionMaster2AddrWidth1
tightlyCoupledInstructionMaster3AddrWidth1
instSlaveMapParam<address-map><slave name='onchip_memory.s1' start='0x0' end='0x32000' /><slave name='nios2_processor.jtag_debug_module' start='0x40800' end='0x41000' /></address-map>
dataSlaveMapParam<address-map><slave name='onchip_memory.s1' start='0x0' end='0x32000' /><slave name='nios2_processor.jtag_debug_module' start='0x40800' end='0x41000' /><slave name='lcd_on.s1' start='0x41010' end='0x41020' /><slave name='lcd_blon.s1' start='0x41020' end='0x41030' /><slave name='lcd_16207_0.control_slave' start='0x41030' end='0x41040' /><slave name='hex7.s1' start='0x41040' end='0x41050' /><slave name='hex6.s1' start='0x41050' end='0x41060' /><slave name='hex5.s1' start='0x41060' end='0x41070' /><slave name='hex4.s1' start='0x41070' end='0x41080' /><slave name='hex3.s1' start='0x41080' end='0x41090' /><slave name='hex2.s1' start='0x41090' end='0x410A0' /><slave name='hex1.s1' start='0x410A0' end='0x410B0' /><slave name='hex0.s1' start='0x410B0' end='0x410C0' /><slave name='push_switches.s1' start='0x410C0' end='0x410D0' /><slave name='switches.s1' start='0x410D0' end='0x410E0' /><slave name='LEDRs.s1' start='0x410E0' end='0x410F0' /><slave name='LEDs.s1' start='0x410F0' end='0x41100' /><slave name='jtag_uart.avalon_jtag_slave' start='0x41100' end='0x41108' /></address-map>
clockFrequency50000000
deviceFamilyNameCYCLONEIVE
internalIrqMaskSystemInfo32
customInstSlavesSystemInfo<info/>
deviceFeaturesSystemInfoADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
tightlyCoupledDataMaster0MapParam
tightlyCoupledDataMaster1MapParam
tightlyCoupledDataMaster2MapParam
tightlyCoupledDataMaster3MapParam
tightlyCoupledInstructionMaster0MapParam
tightlyCoupledInstructionMaster1MapParam
tightlyCoupledInstructionMaster2MapParam
tightlyCoupledInstructionMaster3MapParam
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIG_ENDIAN0
BREAK_ADDR0x00040820
CPU_FREQ50000000u
CPU_ID_SIZE1
CPU_ID_VALUE0x00000000
CPU_IMPLEMENTATION"tiny"
DATA_ADDR_WIDTH19
DCACHE_LINE_SIZE0
DCACHE_LINE_SIZE_LOG20
DCACHE_SIZE0
EXCEPTION_ADDR0x00000020
FLUSHDA_SUPPORTED
HARDWARE_DIVIDE_PRESENT0
HARDWARE_MULTIPLY_PRESENT0
HARDWARE_MULX_PRESENT0
HAS_DEBUG_CORE1
HAS_DEBUG_STUB
HAS_JMPI_INSTRUCTION
ICACHE_LINE_SIZE0
ICACHE_LINE_SIZE_LOG20
ICACHE_SIZE0
INST_ADDR_WIDTH19
RESET_ADDR0x00000000
+
+
+ +
+
+

onchip_memory

altera_avalon_onchip_memory2 v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  onchip_memory
  clk1
clk_reset  
  reset1
+ nios2_processor + jtag_debug_module_reset  
  reset1
instruction_master  
  s1
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
allowInSystemMemoryContentEditorfalse
blockTypeAUTO
dataWidth32
dualPortfalse
initMemContenttrue
initializationFileNameonchip_mem.hex
instanceIDNONE
memorySize204800
readDuringWriteModeDONT_CARE
simAllowMRAMContentsFilefalse
simMemInitOnlyFilename0
singleClockOperationfalse
slave1Latency1
slave2Latency1
useNonDefaultInitFilefalse
useShallowMemBlocksfalse
writabletrue
autoInitializationFileNamenios_system_onchip_memory
deviceFamilyCYCLONEIVE
deviceFeaturesADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
derived_set_addr_width16
derived_gui_ram_block_typeAutomatic
derived_is_hardcopyfalse
derived_init_file_namenios_system_onchip_memory.hex
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR0
ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE0
CONTENTS_INFO""
DUAL_PORT0
GUI_RAM_BLOCK_TYPEAUTO
INIT_CONTENTS_FILEnios_system_onchip_memory
INIT_MEM_CONTENT1
INSTANCE_IDNONE
NON_DEFAULT_INIT_FILE_ENABLED0
RAM_BLOCK_TYPEAUTO
READ_DURING_WRITE_MODEDONT_CARE
SINGLE_CLOCK_OP0
SIZE_MULTIPLE1
SIZE_VALUE204800
WRITABLE1
+
+
+ +
+
+

jtag_uart

altera_avalon_jtag_uart v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ nios2_processor + jtag_debug_module_reset  jtag_uart
  reset
d_irq  
  irq
data_master  
  avalon_jtag_slave
+ clk_0 + clk_reset  
  reset
clk  
  clk
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
allowMultipleConnectionsfalse
hubInstanceID0
readBufferDepth64
readIRQThreshold8
simInputCharacterStream
simInteractiveOptionsNO_INTERACTIVE_WINDOWS
useRegistersForReadBufferfalse
useRegistersForWriteBufferfalse
useRelativePathForSimFilefalse
writeBufferDepth64
writeIRQThreshold8
avalonSpec2.0
legacySignalAllowfalse
enableInteractiveInputfalse
enableInteractiveOutputfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + +
READ_DEPTH64
READ_THRESHOLD8
WRITE_DEPTH64
WRITE_THRESHOLD8
+
+
+ +
+
+

LEDs

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  LEDs
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width8
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH8
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

LEDRs

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  LEDRs
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width18
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH18
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

switches

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  switches
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionInput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width18
clockRate50000000
derived_has_trifalse
derived_has_outfalse
derived_has_intrue
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH18
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN1
HAS_OUT0
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

push_switches

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  push_switches
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionInput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width3
clockRate50000000
derived_has_trifalse
derived_has_outfalse
derived_has_intrue
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH3
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN1
HAS_OUT0
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex0

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex0
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex1

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex1
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex2

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex2
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex3

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex3
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex4

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex4
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex5

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex5
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex6

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex6
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

hex7

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  hex7
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width7
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH7
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

lcd_16207_0

altera_avalon_lcd_16207 v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  lcd_16207_0
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  control_slave
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + +
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

(none)
+
+ +
+
+

lcd_on

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  lcd_on
  clk
clk_reset  
  reset
+ nios2_processor + data_master  
  s1
jtag_debug_module_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width1
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH1
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ +
+
+

lcd_blon

altera_avalon_pio v13.0.1.99.2 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ clk_0 + clk  lcd_blon
  clk
clk_reset  
  reset
+ nios2_processor + jtag_debug_module_reset  
  reset
data_master  
  s1
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width1
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH1
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+
+ + + + + +
generation took 0.00 secondsrendering took 0.17 seconds
+ + diff --git a/nios_system.qsys b/nios_system.qsys new file mode 100644 index 0000000..da73ace --- /dev/null +++ b/nios_system.qsys @@ -0,0 +1,1274 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + nios2_processor.jtag_debug_module + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ]]> + ]]> + + + + + ADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + $${FILENAME}_onchip_memory + + ADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + + + + + + + + NO_INTERACTIVE_WINDOWS + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/nios_system.sopcinfo b/nios_system.sopcinfo new file mode 100644 index 0000000..e460637 --- /dev/null +++ b/nios_system.sopcinfo @@ -0,0 +1,18218 @@ + + + + + + + java.lang.Integer + 1485396334 + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + CYCLONEIVE + false + true + false + true + + + java.lang.String + EP4CE115F29C7 + false + true + false + true + + + java.lang.Long + -1 + false + true + false + true + + + java.lang.Integer + -1 + false + true + false + true + + + java.lang.Integer + -1 + false + true + false + true + + + java.lang.String + Cyclone IV E + false + true + false + true + + + boolean + false + false + true + true + true + + + + + long + 50000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + long + 0 + false + true + false + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + qsys.ui.export_name + clk + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + in_clk + Input + 1 + clk + + + + + + qsys.ui.export_name + reset + + + java.lang.String + + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + java.lang.String + clk_in + false + true + true + true + + + long + 50000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + true + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + true + + clk_out + Output + 1 + clk + + + false + nios2_processor + clk + nios2_processor.clk + + + false + onchip_memory + clk1 + onchip_memory.clk1 + + + false + jtag_uart + clk + jtag_uart.clk + + + false + LEDs + clk + LEDs.clk + + + false + LEDRs + clk + LEDRs.clk + + + false + switches + clk + switches.clk + + + false + push_switches + clk + push_switches.clk + + + false + hex0 + clk + hex0.clk + + + false + hex1 + clk + hex1.clk + + + false + hex2 + clk + hex2.clk + + + false + hex3 + clk + hex3.clk + + + false + hex4 + clk + hex4.clk + + + false + hex5 + clk + hex5.clk + + + false + hex6 + clk + hex6.clk + + + false + hex7 + clk + hex7.clk + + + false + lcd_16207_0 + clk + lcd_16207_0.clk + + + false + lcd_on + clk + lcd_on.clk + + + false + lcd_blon + clk + lcd_blon.clk + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + clk_in_reset + false + true + true + true + + + [Ljava.lang.String; + clk_in_reset + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + true + + reset_n_out + Output + 1 + reset_n + + + + + + + debug.hostConnection + type jtag id 70:34|110:135 + + + embeddedsw.CMacro.BIG_ENDIAN + 0 + + + embeddedsw.CMacro.BREAK_ADDR + 0x00040820 + + + embeddedsw.CMacro.CPU_FREQ + 50000000u + + + embeddedsw.CMacro.CPU_ID_SIZE + 1 + + + embeddedsw.CMacro.CPU_ID_VALUE + 0x00000000 + + + embeddedsw.CMacro.CPU_IMPLEMENTATION + "tiny" + + + embeddedsw.CMacro.DATA_ADDR_WIDTH + 19 + + + embeddedsw.CMacro.DCACHE_LINE_SIZE + 0 + + + embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 + 0 + + + embeddedsw.CMacro.DCACHE_SIZE + 0 + + + embeddedsw.CMacro.EXCEPTION_ADDR + 0x00000020 + + + embeddedsw.CMacro.FLUSHDA_SUPPORTED + + + + embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT + 0 + + + embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT + 0 + + + embeddedsw.CMacro.HARDWARE_MULX_PRESENT + 0 + + + embeddedsw.CMacro.HAS_DEBUG_CORE + 1 + + + embeddedsw.CMacro.HAS_DEBUG_STUB + + + + embeddedsw.CMacro.HAS_JMPI_INSTRUCTION + + + + embeddedsw.CMacro.ICACHE_LINE_SIZE + 0 + + + embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 + 0 + + + embeddedsw.CMacro.ICACHE_SIZE + 0 + + + embeddedsw.CMacro.INST_ADDR_WIDTH + 19 + + + embeddedsw.CMacro.RESET_ADDR + 0x00000000 + + + embeddedsw.configuration.HDLSimCachesCleared + 1 + + + embeddedsw.configuration.breakOffset + 32 + + + embeddedsw.configuration.breakSlave + nios2_processor.jtag_debug_module + + + embeddedsw.configuration.cpuArchitecture + Nios II + + + embeddedsw.configuration.exceptionOffset + 32 + + + embeddedsw.configuration.exceptionSlave + onchip_memory.s1 + + + embeddedsw.configuration.resetOffset + 0 + + + embeddedsw.configuration.resetSlave + onchip_memory.s1 + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + true + false + false + true + true + + + boolean + true + false + true + true + true + + + boolean + true + false + true + false + true + + + boolean + true + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 8 + false + false + true + true + + + int + 8 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 32 + false + false + true + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + onchip_memory.s1 + false + true + true + true + + + java.lang.String + None + false + false + true + true + + + java.lang.String + onchip_memory.s1 + false + true + true + true + + + java.lang.String + nios2_processor.jtag_debug_module + false + false + true + true + + + int + 32 + false + true + false + true + + + java.lang.String + Internal + false + false + true + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 8 + false + true + false + true + + + java.lang.String + EmbeddedMulFast + false + false + true + true + + + int + 12 + false + false + true + true + + + int + 12 + false + false + true + true + + + int + 4 + false + false + true + true + + + int + 6 + false + false + true + true + + + int + 7 + false + false + true + true + + + int + 16 + false + false + true + true + + + int + 8 + false + false + true + true + + + java.lang.String + Tiny + false + true + true + true + + + int + 4096 + false + false + true + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + None + false + false + true + true + + + java.lang.String + false + false + false + true + true + + + java.lang.String + ram + false + false + true + true + + + java.lang.String + Level1 + false + true + true + true + + + java.lang.String + _128 + false + false + true + true + + + int + 2048 + false + false + true + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 32 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + true + true + true + true + + + int + 32 + true + true + true + true + + + int + 264224 + true + true + true + true + + + int + 0 + true + true + true + true + + + java.lang.String + false + true + true + false + true + + + int + 2048 + true + true + false + true + + + int + 32 + true + true + false + true + + + java.lang.String + "synthesis translate_on" + true + true + false + true + + + java.lang.String + "synthesis translate_off" + true + true + false + true + + + int + 19 + false + true + false + true + + + int + 19 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + false + true + + + java.lang.String + ]]> + false + true + false + true + + + java.lang.String + ]]> + false + true + false + true + + + long + 50000000 + false + true + false + true + + + java.lang.String + CYCLONEIVE + false + true + false + true + + + long + 32 + false + true + false + true + + + java.lang.String + ]]> + false + true + false + true + + + java.lang.String + ADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + debug.providesServices + master + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 1 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + true + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + d_address + Output + 19 + address + + + d_byteenable + Output + 4 + byteenable + + + d_read + Output + 1 + read + + + d_readdata + Input + 32 + readdata + + + d_waitrequest + Input + 1 + waitrequest + + + d_write + Output + 1 + write + + + d_writedata + Output + 32 + writedata + + + jtag_debug_module_debugaccess_to_roms + Output + 1 + debugaccess + + + false + nios2_processor + jtag_debug_module + nios2_processor.jtag_debug_module + 264192 + 2048 + + + false + onchip_memory + s1 + onchip_memory.s1 + 0 + 204800 + + + false + LEDs + s1 + LEDs.s1 + 266480 + 16 + + + false + jtag_uart + avalon_jtag_slave + jtag_uart.avalon_jtag_slave + 266496 + 8 + + + false + LEDRs + s1 + LEDRs.s1 + 266464 + 16 + + + false + switches + s1 + switches.s1 + 266448 + 16 + + + false + push_switches + s1 + push_switches.s1 + 266432 + 16 + + + false + hex0 + s1 + hex0.s1 + 266416 + 16 + + + false + hex1 + s1 + hex1.s1 + 266400 + 16 + + + false + hex2 + s1 + hex2.s1 + 266384 + 16 + + + false + hex3 + s1 + hex3.s1 + 266368 + 16 + + + false + hex4 + s1 + hex4.s1 + 266352 + 16 + + + false + hex5 + s1 + hex5.s1 + 266336 + 16 + + + false + hex6 + s1 + hex6.s1 + 266320 + 16 + + + false + hex7 + s1 + hex7.s1 + 266304 + 16 + + + false + lcd_16207_0 + control_slave + lcd_16207_0.control_slave + 266288 + 16 + + + false + lcd_on + s1 + lcd_on.s1 + 266256 + 16 + + + false + lcd_blon + s1 + lcd_blon.s1 + 266272 + 16 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 1 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + true + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + i_address + Output + 19 + address + + + i_read + Output + 1 + read + + + i_readdata + Input + 32 + readdata + + + i_waitrequest + Input + 1 + waitrequest + + + false + nios2_processor + jtag_debug_module + nios2_processor.jtag_debug_module + 264192 + 2048 + + + false + onchip_memory + s1 + onchip_memory.s1 + 0 + 204800 + + + + + + com.altera.entityinterfaces.IConnectionPoint + nios2_processor.data_master + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset_n + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + INDIVIDUAL_REQUESTS + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + true + + d_irq + Input + 32 + irq + + + false + jtag_uart + irq + jtag_uart.irq + 5 + + + + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + + false + true + true + true + + + [Ljava.lang.String; + none + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + true + + jtag_debug_module_resetrequest + Output + 1 + reset + + + + + + embeddedsw.configuration.hideDevice + 1 + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + qsys.ui.connect + instruction_master,data_master + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 2048 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + jtag_debug_module_address + Input + 9 + address + + + jtag_debug_module_byteenable + Input + 4 + byteenable + + + jtag_debug_module_debugaccess + Input + 1 + debugaccess + + + jtag_debug_module_read + Input + 1 + read + + + jtag_debug_module_readdata + Output + 32 + readdata + + + jtag_debug_module_waitrequest + Output + 1 + waitrequest + + + jtag_debug_module_write + Input + 1 + write + + + jtag_debug_module_writedata + Input + 32 + writedata + + + + + + java.lang.String + + true + true + false + true + + + int + 8 + false + true + false + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + int + 8 + false + true + false + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + true + + no_ci_readra + Output + 1 + readra + + + + + + + embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR + 0 + + + embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE + 0 + + + embeddedsw.CMacro.CONTENTS_INFO + "" + + + embeddedsw.CMacro.DUAL_PORT + 0 + + + embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE + AUTO + + + embeddedsw.CMacro.INIT_CONTENTS_FILE + nios_system_onchip_memory + + + embeddedsw.CMacro.INIT_MEM_CONTENT + 1 + + + embeddedsw.CMacro.INSTANCE_ID + NONE + + + embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED + 0 + + + embeddedsw.CMacro.RAM_BLOCK_TYPE + AUTO + + + embeddedsw.CMacro.READ_DURING_WRITE_MODE + DONT_CARE + + + embeddedsw.CMacro.SINGLE_CLOCK_OP + 0 + + + embeddedsw.CMacro.SIZE_MULTIPLE + 1 + + + embeddedsw.CMacro.SIZE_VALUE + 204800 + + + embeddedsw.CMacro.WRITABLE + 1 + + + embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR + SIM_DIR + + + embeddedsw.memoryInfo.GENERATE_DAT_SYM + 1 + + + embeddedsw.memoryInfo.GENERATE_HEX + 1 + + + embeddedsw.memoryInfo.HAS_BYTE_LANE + 0 + + + embeddedsw.memoryInfo.HEX_INSTALL_DIR + QPF_DIR + + + embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH + 32 + + + embeddedsw.memoryInfo.MEM_INIT_FILENAME + nios_system_onchip_memory + + + postgeneration.simulation.init_file.param_name + INIT_FILE + + + postgeneration.simulation.init_file.type + MEM_INIT + + + boolean + false + false + true + true + true + + + java.lang.String + AUTO + false + true + true + true + + + int + 32 + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + java.lang.String + onchip_mem.hex + false + false + true + true + + + java.lang.String + NONE + false + false + true + true + + + long + 204800 + false + true + true + true + + + java.lang.String + DONT_CARE + false + false + true + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + boolean + false + false + false + true + true + + + int + 1 + false + true + true + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + boolean + true + false + true + true + true + + + java.lang.String + nios_system_onchip_memory + false + true + false + true + + + java.lang.String + CYCLONEIVE + false + true + false + true + + + java.lang.String + ADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + false + true + false + true + + + int + 16 + true + true + false + true + + + java.lang.String + Automatic + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + nios_system_onchip_memory.hex + true + true + false + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 204800 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk1 + false + true + true + true + + + java.lang.String + reset1 + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 204800 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 16 + address + + + clken + Input + 1 + clken + + + chipselect + Input + 1 + chipselect + + + write + Input + 1 + write + + + readdata + Output + 32 + readdata + + + writedata + Input + 32 + writedata + + + byteenable + Input + 4 + byteenable + + + + + + java.lang.String + clk1 + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset + Input + 1 + reset + + + reset_req + Input + 1 + reset_req + + + + + + + embeddedsw.CMacro.READ_DEPTH + 64 + + + embeddedsw.CMacro.READ_THRESHOLD + 8 + + + embeddedsw.CMacro.WRITE_DEPTH + 64 + + + embeddedsw.CMacro.WRITE_THRESHOLD + 8 + + + boolean + false + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 64 + false + true + true + true + + + int + 8 + false + true + true + true + + + java.lang.String + + false + false + false + true + + + java.lang.String + NO_INTERACTIVE_WINDOWS + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + int + 64 + false + true + true + true + + + int + 8 + false + true + true + true + + + java.lang.String + 2.0 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 2 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + av_chipselect + Input + 1 + chipselect + + + av_address + Input + 1 + address + + + av_read_n + Input + 1 + read_n + + + av_readdata + Output + 32 + readdata + + + av_write_n + Input + 1 + write_n + + + av_writedata + Input + 32 + writedata + + + av_waitrequest + Output + 1 + waitrequest + + + + + + com.altera.entityinterfaces.IConnectionPoint + jtag_uart.avalon_jtag_slave + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + av_irq + Output + 1 + irq + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 8 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 8 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 8 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 18 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 18 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 18 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 18 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 1 + + + embeddedsw.CMacro.HAS_OUT + 0 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + Input + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + false + true + true + + + boolean + false + false + true + true + true + + + long + 0 + false + false + true + true + + + int + 18 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + in_port + Input + 18 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 3 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 1 + + + embeddedsw.CMacro.HAS_OUT + 0 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + Input + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + false + true + true + + + boolean + false + false + true + true + true + + + long + 0 + false + false + true + true + + + int + 3 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + in_port + Input + 3 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 7 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 7 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 7 + export + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 250 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 250 + false + true + false + true + + + int + 250 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 250 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Nanoseconds + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 250 + false + true + false + true + + + int + 250 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + begintransfer + Input + 1 + begintransfer + + + read + Input + 1 + read + + + write + Input + 1 + write + + + readdata + Output + 8 + readdata + + + writedata + Input + 8 + writedata + + + address + Input + 2 + address + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + LCD_RS + Output + 1 + export + + + LCD_RW + Output + 1 + export + + + LCD_data + Bidir + 8 + export + + + LCD_E + Output + 1 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 1 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 1 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 1 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 1 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 1 + false + true + true + true + + + long + 50000000 + false + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 1 + export + + + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00040800 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + instruction_master + nios2_processor + jtag_debug_module + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00040800 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + nios2_processor + jtag_debug_module + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + nios2_processor + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + onchip_memory + clk1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + nios2_processor + reset_n + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + onchip_memory + reset1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + nios2_processor + reset_n + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + onchip_memory + reset1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x0000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + instruction_master + onchip_memory + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x0000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + onchip_memory + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + jtag_uart + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + jtag_uart + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + jtag_uart + clk + + + + int + 5 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + d_irq + jtag_uart + irq + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + LEDs + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + LEDs + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + LEDs + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000410f0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + LEDs + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041100 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + jtag_uart + avalon_jtag_slave + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + LEDRs + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + LEDRs + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000410e0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + LEDRs + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + LEDRs + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + switches + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + switches + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + switches + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000410d0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + switches + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + push_switches + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + push_switches + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000410c0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + push_switches + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + push_switches + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex0 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex0 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000410b0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex0 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex0 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex1 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex1 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000410a0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex1 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex1 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex2 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex2 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041090 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex2 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex2 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex3 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex3 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041080 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex3 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex3 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex4 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex4 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041070 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex4 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex4 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex5 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex5 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041060 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex5 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex5 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex6 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex6 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041050 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex6 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex6 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + hex7 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + hex7 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041040 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + hex7 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + hex7 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + lcd_16207_0 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + lcd_16207_0 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + lcd_16207_0 + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041030 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + lcd_16207_0 + control_slave + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + lcd_on + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + lcd_blon + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + lcd_on + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + lcd_blon + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041010 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + lcd_on + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + lcd_on + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + jtag_debug_module_reset + lcd_blon + reset + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00041020 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_processor + data_master + lcd_blon + s1 + + + 1 + interrupt + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Interrupt Connection + 13.0 + + + 15 + conduit_end + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit + 13.0 + + + 1 + altera_avalon_onchip_memory2 + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + On-Chip Memory (RAM or ROM) + 13.0.1.99.2 + + + 1 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 13.0 + + + 1 + altera_nios2_qsys + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Nios II Processor + 13.0 + + + 1 + interrupt_sender + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Sender + 13.0 + + + 1 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 13.0 + + + 1 + interrupt_receiver + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Receiver + 13.0 + + + 14 + altera_avalon_pio + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + PIO (Parallel I/O) + 13.0.1.99.2 + + + 1 + altera_avalon_jtag_uart + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + JTAG UART + 13.0.1.99.2 + + + 18 + clock + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Clock Connection + 13.0 + + + 1 + reset_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output + 13.0 + + + 2 + avalon_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Master + 13.0 + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Clock Source + 13.0 + + + 20 + avalon + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Connection + 13.0 + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Output + 13.0 + + + 18 + avalon_slave + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave + 13.0 + + + 36 + reset + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Reset Connection + 13.0 + + + 1 + nios_custom_instruction_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Master + 13.0 + + + 18 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 13.0 + + + 1 + altera_avalon_lcd_16207 + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Altera Avalon LCD 16207 + 13.0.1.99.2 + + + 1 + reset_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output + 13.0 + + + 18 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 13.0 + + 13.0sp1 232 + + diff --git a/nios_system/synthesis/nios_system.qip b/nios_system/synthesis/nios_system.qip new file mode 100644 index 0000000..76e2e7b --- /dev/null +++ b/nios_system/synthesis/nios_system.qip @@ -0,0 +1,133 @@ +set_global_assignment -entity "nios_system" -library "nios_system" -name IP_TOOL_NAME "Qsys" +set_global_assignment -entity "nios_system" -library "nios_system" -name IP_TOOL_VERSION "13.0sp1" +set_global_assignment -entity "nios_system" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -library "nios_system" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../nios_system.sopcinfo"] +set_instance_assignment -entity "nios_system" -library "nios_system" -name SLD_INFO "QSYS_NAME nios_system HAS_SOPCINFO 1 GENERATION_ID 1482368774" +set_global_assignment -library "nios_system" -name MISC_FILE [file join $::quartus(qip_path) "../../nios_system.cmp"] +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -library "nios_system" -name MISC_FILE [file join $::quartus(qip_path) "../../nios_system.qsys"] + +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "nios_system.v"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_irq_mapper.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_arbitrator.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_rsp_xbar_mux_001.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_rsp_xbar_mux.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_rsp_xbar_demux_002.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_cmd_xbar_mux.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_cmd_xbar_demux_001.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_cmd_xbar_demux.sv"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_synchronizer.v"] +set_global_assignment -library "nios_system" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.sdc"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_id_router_002.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_id_router.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_addr_router_001.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_addr_router.sv"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_sc_fifo.v"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_agent.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_uncompressor.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_agent.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_translator.sv"] +set_global_assignment -library "nios_system" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_translator.sv"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_lcd_on.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_lcd_16207_0.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_hex0.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_push_switches.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_switches.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_LEDRs.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_LEDs.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_jtag_uart.v"] +set_global_assignment -library "nios_system" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios_system_onchip_memory.hex"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_onchip_memory.v"] +set_global_assignment -library "nios_system" -name SDC_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor.sdc"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_jtag_debug_module_tck.v"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v"] +set_global_assignment -library "nios_system" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_ociram_default_contents.mif"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_oci_test_bench.v"] +set_global_assignment -library "nios_system" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_rf_ram_a.mif"] +set_global_assignment -library "nios_system" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_rf_ram_b.mif"] +set_global_assignment -library "nios_system" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios_system_nios2_processor_test_bench.v"] + +set_global_assignment -entity "nios_system_irq_mapper" -library "nios_system" -name IP_TOOL_NAME "altera_irq_mapper" +set_global_assignment -entity "nios_system_irq_mapper" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_irq_mapper" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_rsp_xbar_mux_001" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_multiplexer" +set_global_assignment -entity "nios_system_rsp_xbar_mux_001" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_rsp_xbar_mux_001" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_rsp_xbar_mux" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_multiplexer" +set_global_assignment -entity "nios_system_rsp_xbar_mux" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_rsp_xbar_mux" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_rsp_xbar_demux_002" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_demultiplexer" +set_global_assignment -entity "nios_system_rsp_xbar_demux_002" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_rsp_xbar_demux_002" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_cmd_xbar_mux" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_multiplexer" +set_global_assignment -entity "nios_system_cmd_xbar_mux" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_cmd_xbar_mux" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_cmd_xbar_demux_001" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_demultiplexer" +set_global_assignment -entity "nios_system_cmd_xbar_demux_001" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_cmd_xbar_demux_001" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_cmd_xbar_demux" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_demultiplexer" +set_global_assignment -entity "nios_system_cmd_xbar_demux" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_cmd_xbar_demux" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_reset_controller" -library "nios_system" -name IP_TOOL_NAME "altera_reset_controller" +set_global_assignment -entity "altera_reset_controller" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "altera_reset_controller" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_id_router_002" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_router" +set_global_assignment -entity "nios_system_id_router_002" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_id_router_002" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_id_router" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_router" +set_global_assignment -entity "nios_system_id_router" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_id_router" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_addr_router_001" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_router" +set_global_assignment -entity "nios_system_addr_router_001" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_addr_router_001" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_addr_router" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_router" +set_global_assignment -entity "nios_system_addr_router" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_addr_router" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_sc_fifo" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_slave_agent" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_slave_agent" +set_global_assignment -entity "altera_merlin_slave_agent" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "altera_merlin_slave_agent" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_master_agent" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_master_agent" +set_global_assignment -entity "altera_merlin_master_agent" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "altera_merlin_master_agent" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_slave_translator" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_slave_translator" +set_global_assignment -entity "altera_merlin_slave_translator" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "altera_merlin_slave_translator" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_master_translator" -library "nios_system" -name IP_TOOL_NAME "altera_merlin_master_translator" +set_global_assignment -entity "altera_merlin_master_translator" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "altera_merlin_master_translator" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_lcd_on" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_pio" +set_global_assignment -entity "nios_system_lcd_on" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_lcd_on" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_lcd_16207_0" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_lcd_16207" +set_global_assignment -entity "nios_system_lcd_16207_0" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_lcd_16207_0" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_hex0" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_pio" +set_global_assignment -entity "nios_system_hex0" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_hex0" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_push_switches" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_pio" +set_global_assignment -entity "nios_system_push_switches" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_push_switches" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_switches" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_pio" +set_global_assignment -entity "nios_system_switches" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_switches" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_LEDRs" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_pio" +set_global_assignment -entity "nios_system_LEDRs" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_LEDRs" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_LEDs" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_pio" +set_global_assignment -entity "nios_system_LEDs" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_LEDs" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_jtag_uart" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_jtag_uart" +set_global_assignment -entity "nios_system_jtag_uart" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_jtag_uart" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_onchip_memory" -library "nios_system" -name IP_TOOL_NAME "altera_avalon_onchip_memory2" +set_global_assignment -entity "nios_system_onchip_memory" -library "nios_system" -name IP_TOOL_VERSION "13.0.1.99.2" +set_global_assignment -entity "nios_system_onchip_memory" -library "nios_system" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "nios_system_nios2_processor" -library "nios_system" -name IP_TOOL_NAME "altera_nios2_qsys" +set_global_assignment -entity "nios_system_nios2_processor" -library "nios_system" -name IP_TOOL_VERSION "13.0" +set_global_assignment -entity "nios_system_nios2_processor" -library "nios_system" -name IP_TOOL_ENV "Qsys" diff --git a/nios_system/synthesis/nios_system.v b/nios_system/synthesis/nios_system.v new file mode 100644 index 0000000..4626fed --- /dev/null +++ b/nios_system/synthesis/nios_system.v @@ -0,0 +1,5964 @@ +// nios_system.v + +// Generated using ACDS version 13.0sp1 232 at 2016.12.22.10:06:19 + +`timescale 1 ps / 1 ps +module nios_system ( + input wire clk_clk, // clk.clk + output wire [7:0] leds_export, // leds.export + input wire reset_reset_n, // reset.reset_n + output wire [17:0] ledrs_export, // ledrs.export + input wire [17:0] switches_export, // switches.export + input wire [2:0] push_switches_export, // push_switches.export + output wire [6:0] hex0_export, // hex0.export + output wire [6:0] hex1_export, // hex1.export + output wire [6:0] hex2_export, // hex2.export + output wire [6:0] hex3_export, // hex3.export + output wire [6:0] hex4_export, // hex4.export + output wire [6:0] hex5_export, // hex5.export + output wire [6:0] hex6_export, // hex6.export + output wire [6:0] hex7_export, // hex7.export + output wire lcd_16207_0_RS, // lcd_16207_0.RS + output wire lcd_16207_0_RW, // .RW + inout wire [7:0] lcd_16207_0_data, // .data + output wire lcd_16207_0_E, // .E + output wire lcd_on_export, // lcd_on.export + output wire lcd_blon_export // lcd_blon.export + ); + + wire nios2_processor_instruction_master_waitrequest; // nios2_processor_instruction_master_translator:av_waitrequest -> nios2_processor:i_waitrequest + wire [18:0] nios2_processor_instruction_master_address; // nios2_processor:i_address -> nios2_processor_instruction_master_translator:av_address + wire nios2_processor_instruction_master_read; // nios2_processor:i_read -> nios2_processor_instruction_master_translator:av_read + wire [31:0] nios2_processor_instruction_master_readdata; // nios2_processor_instruction_master_translator:av_readdata -> nios2_processor:i_readdata + wire nios2_processor_data_master_waitrequest; // nios2_processor_data_master_translator:av_waitrequest -> nios2_processor:d_waitrequest + wire [31:0] nios2_processor_data_master_writedata; // nios2_processor:d_writedata -> nios2_processor_data_master_translator:av_writedata + wire [18:0] nios2_processor_data_master_address; // nios2_processor:d_address -> nios2_processor_data_master_translator:av_address + wire nios2_processor_data_master_write; // nios2_processor:d_write -> nios2_processor_data_master_translator:av_write + wire nios2_processor_data_master_read; // nios2_processor:d_read -> nios2_processor_data_master_translator:av_read + wire [31:0] nios2_processor_data_master_readdata; // nios2_processor_data_master_translator:av_readdata -> nios2_processor:d_readdata + wire nios2_processor_data_master_debugaccess; // nios2_processor:jtag_debug_module_debugaccess_to_roms -> nios2_processor_data_master_translator:av_debugaccess + wire [3:0] nios2_processor_data_master_byteenable; // nios2_processor:d_byteenable -> nios2_processor_data_master_translator:av_byteenable + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest; // nios2_processor:jtag_debug_module_waitrequest -> nios2_processor_jtag_debug_module_translator:av_waitrequest + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata; // nios2_processor_jtag_debug_module_translator:av_writedata -> nios2_processor:jtag_debug_module_writedata + wire [8:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address; // nios2_processor_jtag_debug_module_translator:av_address -> nios2_processor:jtag_debug_module_address + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write; // nios2_processor_jtag_debug_module_translator:av_write -> nios2_processor:jtag_debug_module_write + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read; // nios2_processor_jtag_debug_module_translator:av_read -> nios2_processor:jtag_debug_module_read + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata; // nios2_processor:jtag_debug_module_readdata -> nios2_processor_jtag_debug_module_translator:av_readdata + wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess; // nios2_processor_jtag_debug_module_translator:av_debugaccess -> nios2_processor:jtag_debug_module_debugaccess + wire [3:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable; // nios2_processor_jtag_debug_module_translator:av_byteenable -> nios2_processor:jtag_debug_module_byteenable + wire [31:0] onchip_memory_s1_translator_avalon_anti_slave_0_writedata; // onchip_memory_s1_translator:av_writedata -> onchip_memory:writedata + wire [15:0] onchip_memory_s1_translator_avalon_anti_slave_0_address; // onchip_memory_s1_translator:av_address -> onchip_memory:address + wire onchip_memory_s1_translator_avalon_anti_slave_0_chipselect; // onchip_memory_s1_translator:av_chipselect -> onchip_memory:chipselect + wire onchip_memory_s1_translator_avalon_anti_slave_0_clken; // onchip_memory_s1_translator:av_clken -> onchip_memory:clken + wire onchip_memory_s1_translator_avalon_anti_slave_0_write; // onchip_memory_s1_translator:av_write -> onchip_memory:write + wire [31:0] onchip_memory_s1_translator_avalon_anti_slave_0_readdata; // onchip_memory:readdata -> onchip_memory_s1_translator:av_readdata + wire [3:0] onchip_memory_s1_translator_avalon_anti_slave_0_byteenable; // onchip_memory_s1_translator:av_byteenable -> onchip_memory:byteenable + wire [31:0] leds_s1_translator_avalon_anti_slave_0_writedata; // LEDs_s1_translator:av_writedata -> LEDs:writedata + wire [1:0] leds_s1_translator_avalon_anti_slave_0_address; // LEDs_s1_translator:av_address -> LEDs:address + wire leds_s1_translator_avalon_anti_slave_0_chipselect; // LEDs_s1_translator:av_chipselect -> LEDs:chipselect + wire leds_s1_translator_avalon_anti_slave_0_write; // LEDs_s1_translator:av_write -> LEDs:write_n + wire [31:0] leds_s1_translator_avalon_anti_slave_0_readdata; // LEDs:readdata -> LEDs_s1_translator:av_readdata + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest; // jtag_uart:av_waitrequest -> jtag_uart_avalon_jtag_slave_translator:av_waitrequest + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata; // jtag_uart_avalon_jtag_slave_translator:av_writedata -> jtag_uart:av_writedata + wire [0:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address; // jtag_uart_avalon_jtag_slave_translator:av_address -> jtag_uart:av_address + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect; // jtag_uart_avalon_jtag_slave_translator:av_chipselect -> jtag_uart:av_chipselect + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write; // jtag_uart_avalon_jtag_slave_translator:av_write -> jtag_uart:av_write_n + wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read; // jtag_uart_avalon_jtag_slave_translator:av_read -> jtag_uart:av_read_n + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata; // jtag_uart:av_readdata -> jtag_uart_avalon_jtag_slave_translator:av_readdata + wire [31:0] ledrs_s1_translator_avalon_anti_slave_0_writedata; // LEDRs_s1_translator:av_writedata -> LEDRs:writedata + wire [1:0] ledrs_s1_translator_avalon_anti_slave_0_address; // LEDRs_s1_translator:av_address -> LEDRs:address + wire ledrs_s1_translator_avalon_anti_slave_0_chipselect; // LEDRs_s1_translator:av_chipselect -> LEDRs:chipselect + wire ledrs_s1_translator_avalon_anti_slave_0_write; // LEDRs_s1_translator:av_write -> LEDRs:write_n + wire [31:0] ledrs_s1_translator_avalon_anti_slave_0_readdata; // LEDRs:readdata -> LEDRs_s1_translator:av_readdata + wire [1:0] switches_s1_translator_avalon_anti_slave_0_address; // switches_s1_translator:av_address -> switches:address + wire [31:0] switches_s1_translator_avalon_anti_slave_0_readdata; // switches:readdata -> switches_s1_translator:av_readdata + wire [1:0] push_switches_s1_translator_avalon_anti_slave_0_address; // push_switches_s1_translator:av_address -> push_switches:address + wire [31:0] push_switches_s1_translator_avalon_anti_slave_0_readdata; // push_switches:readdata -> push_switches_s1_translator:av_readdata + wire [31:0] hex0_s1_translator_avalon_anti_slave_0_writedata; // hex0_s1_translator:av_writedata -> hex0:writedata + wire [1:0] hex0_s1_translator_avalon_anti_slave_0_address; // hex0_s1_translator:av_address -> hex0:address + wire hex0_s1_translator_avalon_anti_slave_0_chipselect; // hex0_s1_translator:av_chipselect -> hex0:chipselect + wire hex0_s1_translator_avalon_anti_slave_0_write; // hex0_s1_translator:av_write -> hex0:write_n + wire [31:0] hex0_s1_translator_avalon_anti_slave_0_readdata; // hex0:readdata -> hex0_s1_translator:av_readdata + wire [31:0] hex1_s1_translator_avalon_anti_slave_0_writedata; // hex1_s1_translator:av_writedata -> hex1:writedata + wire [1:0] hex1_s1_translator_avalon_anti_slave_0_address; // hex1_s1_translator:av_address -> hex1:address + wire hex1_s1_translator_avalon_anti_slave_0_chipselect; // hex1_s1_translator:av_chipselect -> hex1:chipselect + wire hex1_s1_translator_avalon_anti_slave_0_write; // hex1_s1_translator:av_write -> hex1:write_n + wire [31:0] hex1_s1_translator_avalon_anti_slave_0_readdata; // hex1:readdata -> hex1_s1_translator:av_readdata + wire [31:0] hex2_s1_translator_avalon_anti_slave_0_writedata; // hex2_s1_translator:av_writedata -> hex2:writedata + wire [1:0] hex2_s1_translator_avalon_anti_slave_0_address; // hex2_s1_translator:av_address -> hex2:address + wire hex2_s1_translator_avalon_anti_slave_0_chipselect; // hex2_s1_translator:av_chipselect -> hex2:chipselect + wire hex2_s1_translator_avalon_anti_slave_0_write; // hex2_s1_translator:av_write -> hex2:write_n + wire [31:0] hex2_s1_translator_avalon_anti_slave_0_readdata; // hex2:readdata -> hex2_s1_translator:av_readdata + wire [31:0] hex3_s1_translator_avalon_anti_slave_0_writedata; // hex3_s1_translator:av_writedata -> hex3:writedata + wire [1:0] hex3_s1_translator_avalon_anti_slave_0_address; // hex3_s1_translator:av_address -> hex3:address + wire hex3_s1_translator_avalon_anti_slave_0_chipselect; // hex3_s1_translator:av_chipselect -> hex3:chipselect + wire hex3_s1_translator_avalon_anti_slave_0_write; // hex3_s1_translator:av_write -> hex3:write_n + wire [31:0] hex3_s1_translator_avalon_anti_slave_0_readdata; // hex3:readdata -> hex3_s1_translator:av_readdata + wire [31:0] hex4_s1_translator_avalon_anti_slave_0_writedata; // hex4_s1_translator:av_writedata -> hex4:writedata + wire [1:0] hex4_s1_translator_avalon_anti_slave_0_address; // hex4_s1_translator:av_address -> hex4:address + wire hex4_s1_translator_avalon_anti_slave_0_chipselect; // hex4_s1_translator:av_chipselect -> hex4:chipselect + wire hex4_s1_translator_avalon_anti_slave_0_write; // hex4_s1_translator:av_write -> hex4:write_n + wire [31:0] hex4_s1_translator_avalon_anti_slave_0_readdata; // hex4:readdata -> hex4_s1_translator:av_readdata + wire [31:0] hex5_s1_translator_avalon_anti_slave_0_writedata; // hex5_s1_translator:av_writedata -> hex5:writedata + wire [1:0] hex5_s1_translator_avalon_anti_slave_0_address; // hex5_s1_translator:av_address -> hex5:address + wire hex5_s1_translator_avalon_anti_slave_0_chipselect; // hex5_s1_translator:av_chipselect -> hex5:chipselect + wire hex5_s1_translator_avalon_anti_slave_0_write; // hex5_s1_translator:av_write -> hex5:write_n + wire [31:0] hex5_s1_translator_avalon_anti_slave_0_readdata; // hex5:readdata -> hex5_s1_translator:av_readdata + wire [31:0] hex6_s1_translator_avalon_anti_slave_0_writedata; // hex6_s1_translator:av_writedata -> hex6:writedata + wire [1:0] hex6_s1_translator_avalon_anti_slave_0_address; // hex6_s1_translator:av_address -> hex6:address + wire hex6_s1_translator_avalon_anti_slave_0_chipselect; // hex6_s1_translator:av_chipselect -> hex6:chipselect + wire hex6_s1_translator_avalon_anti_slave_0_write; // hex6_s1_translator:av_write -> hex6:write_n + wire [31:0] hex6_s1_translator_avalon_anti_slave_0_readdata; // hex6:readdata -> hex6_s1_translator:av_readdata + wire [31:0] hex7_s1_translator_avalon_anti_slave_0_writedata; // hex7_s1_translator:av_writedata -> hex7:writedata + wire [1:0] hex7_s1_translator_avalon_anti_slave_0_address; // hex7_s1_translator:av_address -> hex7:address + wire hex7_s1_translator_avalon_anti_slave_0_chipselect; // hex7_s1_translator:av_chipselect -> hex7:chipselect + wire hex7_s1_translator_avalon_anti_slave_0_write; // hex7_s1_translator:av_write -> hex7:write_n + wire [31:0] hex7_s1_translator_avalon_anti_slave_0_readdata; // hex7:readdata -> hex7_s1_translator:av_readdata + wire [7:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata; // lcd_16207_0_control_slave_translator:av_writedata -> lcd_16207_0:writedata + wire [1:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address; // lcd_16207_0_control_slave_translator:av_address -> lcd_16207_0:address + wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write; // lcd_16207_0_control_slave_translator:av_write -> lcd_16207_0:write + wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read; // lcd_16207_0_control_slave_translator:av_read -> lcd_16207_0:read + wire [7:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata; // lcd_16207_0:readdata -> lcd_16207_0_control_slave_translator:av_readdata + wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer; // lcd_16207_0_control_slave_translator:av_begintransfer -> lcd_16207_0:begintransfer + wire [31:0] lcd_on_s1_translator_avalon_anti_slave_0_writedata; // lcd_on_s1_translator:av_writedata -> lcd_on:writedata + wire [1:0] lcd_on_s1_translator_avalon_anti_slave_0_address; // lcd_on_s1_translator:av_address -> lcd_on:address + wire lcd_on_s1_translator_avalon_anti_slave_0_chipselect; // lcd_on_s1_translator:av_chipselect -> lcd_on:chipselect + wire lcd_on_s1_translator_avalon_anti_slave_0_write; // lcd_on_s1_translator:av_write -> lcd_on:write_n + wire [31:0] lcd_on_s1_translator_avalon_anti_slave_0_readdata; // lcd_on:readdata -> lcd_on_s1_translator:av_readdata + wire [31:0] lcd_blon_s1_translator_avalon_anti_slave_0_writedata; // lcd_blon_s1_translator:av_writedata -> lcd_blon:writedata + wire [1:0] lcd_blon_s1_translator_avalon_anti_slave_0_address; // lcd_blon_s1_translator:av_address -> lcd_blon:address + wire lcd_blon_s1_translator_avalon_anti_slave_0_chipselect; // lcd_blon_s1_translator:av_chipselect -> lcd_blon:chipselect + wire lcd_blon_s1_translator_avalon_anti_slave_0_write; // lcd_blon_s1_translator:av_write -> lcd_blon:write_n + wire [31:0] lcd_blon_s1_translator_avalon_anti_slave_0_readdata; // lcd_blon:readdata -> lcd_blon_s1_translator:av_readdata + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_processor_instruction_master_translator:uav_waitrequest + wire [2:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount; // nios2_processor_instruction_master_translator:uav_burstcount -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_burstcount + wire [31:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata; // nios2_processor_instruction_master_translator:uav_writedata -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_writedata + wire [18:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_address; // nios2_processor_instruction_master_translator:uav_address -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_address + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_lock; // nios2_processor_instruction_master_translator:uav_lock -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_lock + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_write; // nios2_processor_instruction_master_translator:uav_write -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_write + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_read; // nios2_processor_instruction_master_translator:uav_read -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_read + wire [31:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_processor_instruction_master_translator:uav_readdata + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess; // nios2_processor_instruction_master_translator:uav_debugaccess -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_debugaccess + wire [3:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable; // nios2_processor_instruction_master_translator:uav_byteenable -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_byteenable + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_processor_instruction_master_translator:uav_readdatavalid + wire nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_processor_data_master_translator:uav_waitrequest + wire [2:0] nios2_processor_data_master_translator_avalon_universal_master_0_burstcount; // nios2_processor_data_master_translator:uav_burstcount -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_burstcount + wire [31:0] nios2_processor_data_master_translator_avalon_universal_master_0_writedata; // nios2_processor_data_master_translator:uav_writedata -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_writedata + wire [18:0] nios2_processor_data_master_translator_avalon_universal_master_0_address; // nios2_processor_data_master_translator:uav_address -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_address + wire nios2_processor_data_master_translator_avalon_universal_master_0_lock; // nios2_processor_data_master_translator:uav_lock -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_lock + wire nios2_processor_data_master_translator_avalon_universal_master_0_write; // nios2_processor_data_master_translator:uav_write -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_write + wire nios2_processor_data_master_translator_avalon_universal_master_0_read; // nios2_processor_data_master_translator:uav_read -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_read + wire [31:0] nios2_processor_data_master_translator_avalon_universal_master_0_readdata; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_processor_data_master_translator:uav_readdata + wire nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess; // nios2_processor_data_master_translator:uav_debugaccess -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_debugaccess + wire [3:0] nios2_processor_data_master_translator_avalon_universal_master_0_byteenable; // nios2_processor_data_master_translator:uav_byteenable -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_byteenable + wire nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_processor_data_master_translator:uav_readdatavalid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest; // nios2_processor_jtag_debug_module_translator:uav_waitrequest -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_burstcount -> nios2_processor_jtag_debug_module_translator:uav_burstcount + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_writedata -> nios2_processor_jtag_debug_module_translator:uav_writedata + wire [18:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_address -> nios2_processor_jtag_debug_module_translator:uav_address + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_write -> nios2_processor_jtag_debug_module_translator:uav_write + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_lock -> nios2_processor_jtag_debug_module_translator:uav_lock + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_read -> nios2_processor_jtag_debug_module_translator:uav_read + wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata; // nios2_processor_jtag_debug_module_translator:uav_readdata -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdata + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // nios2_processor_jtag_debug_module_translator:uav_readdatavalid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_debugaccess -> nios2_processor_jtag_debug_module_translator:uav_debugaccess + wire [3:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_byteenable -> nios2_processor_jtag_debug_module_translator:uav_byteenable + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_ready + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // onchip_memory_s1_translator:uav_waitrequest -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> onchip_memory_s1_translator:uav_burstcount + wire [31:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> onchip_memory_s1_translator:uav_writedata + wire [18:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_address -> onchip_memory_s1_translator:uav_address + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_write -> onchip_memory_s1_translator:uav_write + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_lock -> onchip_memory_s1_translator:uav_lock + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_read -> onchip_memory_s1_translator:uav_read + wire [31:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // onchip_memory_s1_translator:uav_readdata -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // onchip_memory_s1_translator:uav_readdatavalid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> onchip_memory_s1_translator:uav_debugaccess + wire [3:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> onchip_memory_s1_translator:uav_byteenable + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // LEDs_s1_translator:uav_waitrequest -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> LEDs_s1_translator:uav_burstcount + wire [31:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> LEDs_s1_translator:uav_writedata + wire [18:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_address; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_address -> LEDs_s1_translator:uav_address + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_write; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_write -> LEDs_s1_translator:uav_write + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_lock; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_lock -> LEDs_s1_translator:uav_lock + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_read; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_read -> LEDs_s1_translator:uav_read + wire [31:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // LEDs_s1_translator:uav_readdata -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // LEDs_s1_translator:uav_readdatavalid -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> LEDs_s1_translator:uav_debugaccess + wire [3:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> LEDs_s1_translator:uav_byteenable + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // jtag_uart_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> jtag_uart_avalon_jtag_slave_translator:uav_burstcount + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> jtag_uart_avalon_jtag_slave_translator:uav_writedata + wire [18:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_address -> jtag_uart_avalon_jtag_slave_translator:uav_address + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_write -> jtag_uart_avalon_jtag_slave_translator:uav_write + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_lock -> jtag_uart_avalon_jtag_slave_translator:uav_lock + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_read -> jtag_uart_avalon_jtag_slave_translator:uav_read + wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // jtag_uart_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdata + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // jtag_uart_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> jtag_uart_avalon_jtag_slave_translator:uav_debugaccess + wire [3:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> jtag_uart_avalon_jtag_slave_translator:uav_byteenable + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // LEDRs_s1_translator:uav_waitrequest -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> LEDRs_s1_translator:uav_burstcount + wire [31:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> LEDRs_s1_translator:uav_writedata + wire [18:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_address -> LEDRs_s1_translator:uav_address + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_write -> LEDRs_s1_translator:uav_write + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_lock -> LEDRs_s1_translator:uav_lock + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_read -> LEDRs_s1_translator:uav_read + wire [31:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // LEDRs_s1_translator:uav_readdata -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // LEDRs_s1_translator:uav_readdatavalid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> LEDRs_s1_translator:uav_debugaccess + wire [3:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> LEDRs_s1_translator:uav_byteenable + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // switches_s1_translator:uav_waitrequest -> switches_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // switches_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switches_s1_translator:uav_burstcount + wire [31:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // switches_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switches_s1_translator:uav_writedata + wire [18:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_address; // switches_s1_translator_avalon_universal_slave_0_agent:m0_address -> switches_s1_translator:uav_address + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_write; // switches_s1_translator_avalon_universal_slave_0_agent:m0_write -> switches_s1_translator:uav_write + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_lock; // switches_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switches_s1_translator:uav_lock + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_read; // switches_s1_translator_avalon_universal_slave_0_agent:m0_read -> switches_s1_translator:uav_read + wire [31:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // switches_s1_translator:uav_readdata -> switches_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // switches_s1_translator:uav_readdatavalid -> switches_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // switches_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switches_s1_translator:uav_debugaccess + wire [3:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // switches_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switches_s1_translator:uav_byteenable + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // push_switches_s1_translator:uav_waitrequest -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> push_switches_s1_translator:uav_burstcount + wire [31:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> push_switches_s1_translator:uav_writedata + wire [18:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_address -> push_switches_s1_translator:uav_address + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_write -> push_switches_s1_translator:uav_write + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_lock -> push_switches_s1_translator:uav_lock + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_read -> push_switches_s1_translator:uav_read + wire [31:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // push_switches_s1_translator:uav_readdata -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // push_switches_s1_translator:uav_readdatavalid -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> push_switches_s1_translator:uav_debugaccess + wire [3:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> push_switches_s1_translator:uav_byteenable + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex0_s1_translator:uav_waitrequest -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex0_s1_translator:uav_burstcount + wire [31:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex0_s1_translator:uav_writedata + wire [18:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex0_s1_translator:uav_address + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex0_s1_translator:uav_write + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex0_s1_translator:uav_lock + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex0_s1_translator:uav_read + wire [31:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex0_s1_translator:uav_readdata -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex0_s1_translator:uav_readdatavalid -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex0_s1_translator:uav_debugaccess + wire [3:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex0_s1_translator:uav_byteenable + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex1_s1_translator:uav_waitrequest -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex1_s1_translator:uav_burstcount + wire [31:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex1_s1_translator:uav_writedata + wire [18:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex1_s1_translator:uav_address + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex1_s1_translator:uav_write + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex1_s1_translator:uav_lock + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex1_s1_translator:uav_read + wire [31:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex1_s1_translator:uav_readdata -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex1_s1_translator:uav_readdatavalid -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex1_s1_translator:uav_debugaccess + wire [3:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex1_s1_translator:uav_byteenable + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex2_s1_translator:uav_waitrequest -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex2_s1_translator:uav_burstcount + wire [31:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex2_s1_translator:uav_writedata + wire [18:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex2_s1_translator:uav_address + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex2_s1_translator:uav_write + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex2_s1_translator:uav_lock + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex2_s1_translator:uav_read + wire [31:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex2_s1_translator:uav_readdata -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex2_s1_translator:uav_readdatavalid -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex2_s1_translator:uav_debugaccess + wire [3:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex2_s1_translator:uav_byteenable + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex3_s1_translator:uav_waitrequest -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex3_s1_translator:uav_burstcount + wire [31:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex3_s1_translator:uav_writedata + wire [18:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex3_s1_translator:uav_address + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex3_s1_translator:uav_write + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex3_s1_translator:uav_lock + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex3_s1_translator:uav_read + wire [31:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex3_s1_translator:uav_readdata -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex3_s1_translator:uav_readdatavalid -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex3_s1_translator:uav_debugaccess + wire [3:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex3_s1_translator:uav_byteenable + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex4_s1_translator:uav_waitrequest -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex4_s1_translator:uav_burstcount + wire [31:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex4_s1_translator:uav_writedata + wire [18:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex4_s1_translator:uav_address + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex4_s1_translator:uav_write + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex4_s1_translator:uav_lock + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex4_s1_translator:uav_read + wire [31:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex4_s1_translator:uav_readdata -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex4_s1_translator:uav_readdatavalid -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex4_s1_translator:uav_debugaccess + wire [3:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex4_s1_translator:uav_byteenable + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex5_s1_translator:uav_waitrequest -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex5_s1_translator:uav_burstcount + wire [31:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex5_s1_translator:uav_writedata + wire [18:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex5_s1_translator:uav_address + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex5_s1_translator:uav_write + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex5_s1_translator:uav_lock + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex5_s1_translator:uav_read + wire [31:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex5_s1_translator:uav_readdata -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex5_s1_translator:uav_readdatavalid -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex5_s1_translator:uav_debugaccess + wire [3:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex5_s1_translator:uav_byteenable + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex6_s1_translator:uav_waitrequest -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex6_s1_translator:uav_burstcount + wire [31:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex6_s1_translator:uav_writedata + wire [18:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex6_s1_translator:uav_address + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex6_s1_translator:uav_write + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex6_s1_translator:uav_lock + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex6_s1_translator:uav_read + wire [31:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex6_s1_translator:uav_readdata -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex6_s1_translator:uav_readdatavalid -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex6_s1_translator:uav_debugaccess + wire [3:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex6_s1_translator:uav_byteenable + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex7_s1_translator:uav_waitrequest -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex7_s1_translator:uav_burstcount + wire [31:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex7_s1_translator:uav_writedata + wire [18:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex7_s1_translator:uav_address + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex7_s1_translator:uav_write + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex7_s1_translator:uav_lock + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex7_s1_translator:uav_read + wire [31:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex7_s1_translator:uav_readdata -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex7_s1_translator:uav_readdatavalid -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex7_s1_translator:uav_debugaccess + wire [3:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex7_s1_translator:uav_byteenable + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_16207_0_control_slave_translator:uav_waitrequest -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_16207_0_control_slave_translator:uav_burstcount + wire [31:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_16207_0_control_slave_translator:uav_writedata + wire [18:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_address -> lcd_16207_0_control_slave_translator:uav_address + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_write -> lcd_16207_0_control_slave_translator:uav_write + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_16207_0_control_slave_translator:uav_lock + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_read -> lcd_16207_0_control_slave_translator:uav_read + wire [31:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_16207_0_control_slave_translator:uav_readdata -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdata + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_16207_0_control_slave_translator:uav_readdatavalid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_16207_0_control_slave_translator:uav_debugaccess + wire [3:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_16207_0_control_slave_translator:uav_byteenable + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_on_s1_translator:uav_waitrequest -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_on_s1_translator:uav_burstcount + wire [31:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_on_s1_translator:uav_writedata + wire [18:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_address -> lcd_on_s1_translator:uav_address + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_write -> lcd_on_s1_translator:uav_write + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_on_s1_translator:uav_lock + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_read -> lcd_on_s1_translator:uav_read + wire [31:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_on_s1_translator:uav_readdata -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_on_s1_translator:uav_readdatavalid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_on_s1_translator:uav_debugaccess + wire [3:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_on_s1_translator:uav_byteenable + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_blon_s1_translator:uav_waitrequest -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest + wire [2:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_blon_s1_translator:uav_burstcount + wire [31:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_blon_s1_translator:uav_writedata + wire [18:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_address -> lcd_blon_s1_translator:uav_address + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_write -> lcd_blon_s1_translator:uav_write + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_blon_s1_translator:uav_lock + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_read -> lcd_blon_s1_translator:uav_read + wire [31:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_blon_s1_translator:uav_readdata -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_readdata + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_blon_s1_translator:uav_readdatavalid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_blon_s1_translator:uav_debugaccess + wire [3:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_blon_s1_translator:uav_byteenable + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket + wire [96:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket + wire [96:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid + wire [33:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router:sink_endofpacket + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router:sink_valid + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router:sink_startofpacket + wire [95:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router:sink_data + wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router:sink_ready -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_ready + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router_001:sink_endofpacket + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router_001:sink_valid + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router_001:sink_startofpacket + wire [95:0] nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router_001:sink_data + wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router_001:sink_ready -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_ready + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router:sink_endofpacket + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_valid -> id_router:sink_valid + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router:sink_startofpacket + wire [95:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_data -> id_router:sink_data + wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready; // id_router:sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_ready + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_001:sink_endofpacket + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_001:sink_valid + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_001:sink_startofpacket + wire [95:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_001:sink_data + wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_001:sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_002:sink_endofpacket + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_002:sink_valid + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_002:sink_startofpacket + wire [95:0] leds_s1_translator_avalon_universal_slave_0_agent_rp_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_002:sink_data + wire leds_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_002:sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_003:sink_endofpacket + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_003:sink_valid + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_003:sink_startofpacket + wire [95:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_003:sink_data + wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_003:sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_ready + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_004:sink_endofpacket + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_004:sink_valid + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_004:sink_startofpacket + wire [95:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_004:sink_data + wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_004:sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_005:sink_endofpacket + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_005:sink_valid + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_005:sink_startofpacket + wire [95:0] switches_s1_translator_avalon_universal_slave_0_agent_rp_data; // switches_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_005:sink_data + wire switches_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_005:sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_006:sink_endofpacket + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_006:sink_valid + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_006:sink_startofpacket + wire [95:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_006:sink_data + wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_006:sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_007:sink_endofpacket + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_007:sink_valid + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_007:sink_startofpacket + wire [95:0] hex0_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_007:sink_data + wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_007:sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_008:sink_endofpacket + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_008:sink_valid + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_008:sink_startofpacket + wire [95:0] hex1_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_008:sink_data + wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_008:sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_009:sink_endofpacket + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_009:sink_valid + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_009:sink_startofpacket + wire [95:0] hex2_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_009:sink_data + wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_009:sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_010:sink_endofpacket + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_010:sink_valid + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_010:sink_startofpacket + wire [95:0] hex3_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_010:sink_data + wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_010:sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_011:sink_endofpacket + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_011:sink_valid + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_011:sink_startofpacket + wire [95:0] hex4_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_011:sink_data + wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_011:sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_012:sink_endofpacket + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_012:sink_valid + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_012:sink_startofpacket + wire [95:0] hex5_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_012:sink_data + wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_012:sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_013:sink_endofpacket + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_013:sink_valid + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_013:sink_startofpacket + wire [95:0] hex6_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_013:sink_data + wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_013:sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_014:sink_endofpacket + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_014:sink_valid + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_014:sink_startofpacket + wire [95:0] hex7_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_014:sink_data + wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_014:sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_015:sink_endofpacket + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_015:sink_valid + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_015:sink_startofpacket + wire [95:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_015:sink_data + wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_015:sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_ready + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_016:sink_endofpacket + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_016:sink_valid + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_016:sink_startofpacket + wire [95:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_016:sink_data + wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_016:sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_017:sink_endofpacket + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_017:sink_valid + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_017:sink_startofpacket + wire [95:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_017:sink_data + wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_017:sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_ready + wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [LEDRs:reset_n, LEDRs_s1_translator:reset, LEDRs_s1_translator_avalon_universal_slave_0_agent:reset, LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, LEDs:reset_n, LEDs_s1_translator:reset, LEDs_s1_translator_avalon_universal_slave_0_agent:reset, LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, addr_router:reset, addr_router_001:reset, cmd_xbar_demux:reset, cmd_xbar_demux_001:reset, cmd_xbar_mux:reset, cmd_xbar_mux_001:reset, hex0:reset_n, hex0_s1_translator:reset, hex0_s1_translator_avalon_universal_slave_0_agent:reset, hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex1:reset_n, hex1_s1_translator:reset, hex1_s1_translator_avalon_universal_slave_0_agent:reset, hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex2:reset_n, hex2_s1_translator:reset, hex2_s1_translator_avalon_universal_slave_0_agent:reset, hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex3:reset_n, hex3_s1_translator:reset, hex3_s1_translator_avalon_universal_slave_0_agent:reset, hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex4:reset_n, hex4_s1_translator:reset, hex4_s1_translator_avalon_universal_slave_0_agent:reset, hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex5:reset_n, hex5_s1_translator:reset, hex5_s1_translator_avalon_universal_slave_0_agent:reset, hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex6:reset_n, hex6_s1_translator:reset, hex6_s1_translator_avalon_universal_slave_0_agent:reset, hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex7:reset_n, hex7_s1_translator:reset, hex7_s1_translator_avalon_universal_slave_0_agent:reset, hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, id_router:reset, id_router_001:reset, id_router_002:reset, id_router_003:reset, id_router_004:reset, id_router_005:reset, id_router_006:reset, id_router_007:reset, id_router_008:reset, id_router_009:reset, id_router_010:reset, id_router_011:reset, id_router_012:reset, id_router_013:reset, id_router_014:reset, id_router_015:reset, id_router_016:reset, id_router_017:reset, irq_mapper:reset, jtag_uart:rst_n, jtag_uart_avalon_jtag_slave_translator:reset, jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:reset, jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_16207_0:reset_n, lcd_16207_0_control_slave_translator:reset, lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:reset, lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_blon:reset_n, lcd_blon_s1_translator:reset, lcd_blon_s1_translator_avalon_universal_slave_0_agent:reset, lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_on:reset_n, lcd_on_s1_translator:reset, lcd_on_s1_translator_avalon_universal_slave_0_agent:reset, lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, nios2_processor:reset_n, nios2_processor_data_master_translator:reset, nios2_processor_data_master_translator_avalon_universal_master_0_agent:reset, nios2_processor_instruction_master_translator:reset, nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:reset, nios2_processor_jtag_debug_module_translator:reset, nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:reset, nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, onchip_memory:reset, onchip_memory_s1_translator:reset, onchip_memory_s1_translator_avalon_universal_slave_0_agent:reset, onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, push_switches:reset_n, push_switches_s1_translator:reset, push_switches_s1_translator_avalon_universal_slave_0_agent:reset, push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, rsp_xbar_demux:reset, rsp_xbar_demux_001:reset, rsp_xbar_demux_002:reset, rsp_xbar_demux_003:reset, rsp_xbar_demux_004:reset, rsp_xbar_demux_005:reset, rsp_xbar_demux_006:reset, rsp_xbar_demux_007:reset, rsp_xbar_demux_008:reset, rsp_xbar_demux_009:reset, rsp_xbar_demux_010:reset, rsp_xbar_demux_011:reset, rsp_xbar_demux_012:reset, rsp_xbar_demux_013:reset, rsp_xbar_demux_014:reset, rsp_xbar_demux_015:reset, rsp_xbar_demux_016:reset, rsp_xbar_demux_017:reset, rsp_xbar_mux:reset, rsp_xbar_mux_001:reset, switches:reset_n, switches_s1_translator:reset, switches_s1_translator_avalon_universal_slave_0_agent:reset, switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset] + wire rst_controller_reset_out_reset_req; // rst_controller:reset_req -> onchip_memory:reset_req + wire nios2_processor_jtag_debug_module_reset_reset; // nios2_processor:jtag_debug_module_resetrequest -> rst_controller:reset_in1 + wire cmd_xbar_demux_src0_endofpacket; // cmd_xbar_demux:src0_endofpacket -> cmd_xbar_mux:sink0_endofpacket + wire cmd_xbar_demux_src0_valid; // cmd_xbar_demux:src0_valid -> cmd_xbar_mux:sink0_valid + wire cmd_xbar_demux_src0_startofpacket; // cmd_xbar_demux:src0_startofpacket -> cmd_xbar_mux:sink0_startofpacket + wire [95:0] cmd_xbar_demux_src0_data; // cmd_xbar_demux:src0_data -> cmd_xbar_mux:sink0_data + wire [17:0] cmd_xbar_demux_src0_channel; // cmd_xbar_demux:src0_channel -> cmd_xbar_mux:sink0_channel + wire cmd_xbar_demux_src0_ready; // cmd_xbar_mux:sink0_ready -> cmd_xbar_demux:src0_ready + wire cmd_xbar_demux_src1_endofpacket; // cmd_xbar_demux:src1_endofpacket -> cmd_xbar_mux_001:sink0_endofpacket + wire cmd_xbar_demux_src1_valid; // cmd_xbar_demux:src1_valid -> cmd_xbar_mux_001:sink0_valid + wire cmd_xbar_demux_src1_startofpacket; // cmd_xbar_demux:src1_startofpacket -> cmd_xbar_mux_001:sink0_startofpacket + wire [95:0] cmd_xbar_demux_src1_data; // cmd_xbar_demux:src1_data -> cmd_xbar_mux_001:sink0_data + wire [17:0] cmd_xbar_demux_src1_channel; // cmd_xbar_demux:src1_channel -> cmd_xbar_mux_001:sink0_channel + wire cmd_xbar_demux_src1_ready; // cmd_xbar_mux_001:sink0_ready -> cmd_xbar_demux:src1_ready + wire cmd_xbar_demux_001_src0_endofpacket; // cmd_xbar_demux_001:src0_endofpacket -> cmd_xbar_mux:sink1_endofpacket + wire cmd_xbar_demux_001_src0_valid; // cmd_xbar_demux_001:src0_valid -> cmd_xbar_mux:sink1_valid + wire cmd_xbar_demux_001_src0_startofpacket; // cmd_xbar_demux_001:src0_startofpacket -> cmd_xbar_mux:sink1_startofpacket + wire [95:0] cmd_xbar_demux_001_src0_data; // cmd_xbar_demux_001:src0_data -> cmd_xbar_mux:sink1_data + wire [17:0] cmd_xbar_demux_001_src0_channel; // cmd_xbar_demux_001:src0_channel -> cmd_xbar_mux:sink1_channel + wire cmd_xbar_demux_001_src0_ready; // cmd_xbar_mux:sink1_ready -> cmd_xbar_demux_001:src0_ready + wire cmd_xbar_demux_001_src1_endofpacket; // cmd_xbar_demux_001:src1_endofpacket -> cmd_xbar_mux_001:sink1_endofpacket + wire cmd_xbar_demux_001_src1_valid; // cmd_xbar_demux_001:src1_valid -> cmd_xbar_mux_001:sink1_valid + wire cmd_xbar_demux_001_src1_startofpacket; // cmd_xbar_demux_001:src1_startofpacket -> cmd_xbar_mux_001:sink1_startofpacket + wire [95:0] cmd_xbar_demux_001_src1_data; // cmd_xbar_demux_001:src1_data -> cmd_xbar_mux_001:sink1_data + wire [17:0] cmd_xbar_demux_001_src1_channel; // cmd_xbar_demux_001:src1_channel -> cmd_xbar_mux_001:sink1_channel + wire cmd_xbar_demux_001_src1_ready; // cmd_xbar_mux_001:sink1_ready -> cmd_xbar_demux_001:src1_ready + wire cmd_xbar_demux_001_src2_endofpacket; // cmd_xbar_demux_001:src2_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src2_valid; // cmd_xbar_demux_001:src2_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src2_startofpacket; // cmd_xbar_demux_001:src2_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src2_data; // cmd_xbar_demux_001:src2_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src2_channel; // cmd_xbar_demux_001:src2_channel -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src3_endofpacket; // cmd_xbar_demux_001:src3_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src3_valid; // cmd_xbar_demux_001:src3_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src3_startofpacket; // cmd_xbar_demux_001:src3_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src3_data; // cmd_xbar_demux_001:src3_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src3_channel; // cmd_xbar_demux_001:src3_channel -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src4_endofpacket; // cmd_xbar_demux_001:src4_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src4_valid; // cmd_xbar_demux_001:src4_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src4_startofpacket; // cmd_xbar_demux_001:src4_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src4_data; // cmd_xbar_demux_001:src4_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src4_channel; // cmd_xbar_demux_001:src4_channel -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src5_endofpacket; // cmd_xbar_demux_001:src5_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src5_valid; // cmd_xbar_demux_001:src5_valid -> switches_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src5_startofpacket; // cmd_xbar_demux_001:src5_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src5_data; // cmd_xbar_demux_001:src5_data -> switches_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src5_channel; // cmd_xbar_demux_001:src5_channel -> switches_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src6_endofpacket; // cmd_xbar_demux_001:src6_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src6_valid; // cmd_xbar_demux_001:src6_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src6_startofpacket; // cmd_xbar_demux_001:src6_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src6_data; // cmd_xbar_demux_001:src6_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src6_channel; // cmd_xbar_demux_001:src6_channel -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src7_endofpacket; // cmd_xbar_demux_001:src7_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src7_valid; // cmd_xbar_demux_001:src7_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src7_startofpacket; // cmd_xbar_demux_001:src7_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src7_data; // cmd_xbar_demux_001:src7_data -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src7_channel; // cmd_xbar_demux_001:src7_channel -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src8_endofpacket; // cmd_xbar_demux_001:src8_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src8_valid; // cmd_xbar_demux_001:src8_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src8_startofpacket; // cmd_xbar_demux_001:src8_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src8_data; // cmd_xbar_demux_001:src8_data -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src8_channel; // cmd_xbar_demux_001:src8_channel -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src9_endofpacket; // cmd_xbar_demux_001:src9_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src9_valid; // cmd_xbar_demux_001:src9_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src9_startofpacket; // cmd_xbar_demux_001:src9_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src9_data; // cmd_xbar_demux_001:src9_data -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src9_channel; // cmd_xbar_demux_001:src9_channel -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src10_endofpacket; // cmd_xbar_demux_001:src10_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src10_valid; // cmd_xbar_demux_001:src10_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src10_startofpacket; // cmd_xbar_demux_001:src10_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src10_data; // cmd_xbar_demux_001:src10_data -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src10_channel; // cmd_xbar_demux_001:src10_channel -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src11_endofpacket; // cmd_xbar_demux_001:src11_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src11_valid; // cmd_xbar_demux_001:src11_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src11_startofpacket; // cmd_xbar_demux_001:src11_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src11_data; // cmd_xbar_demux_001:src11_data -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src11_channel; // cmd_xbar_demux_001:src11_channel -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src12_endofpacket; // cmd_xbar_demux_001:src12_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src12_valid; // cmd_xbar_demux_001:src12_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src12_startofpacket; // cmd_xbar_demux_001:src12_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src12_data; // cmd_xbar_demux_001:src12_data -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src12_channel; // cmd_xbar_demux_001:src12_channel -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src13_endofpacket; // cmd_xbar_demux_001:src13_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src13_valid; // cmd_xbar_demux_001:src13_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src13_startofpacket; // cmd_xbar_demux_001:src13_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src13_data; // cmd_xbar_demux_001:src13_data -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src13_channel; // cmd_xbar_demux_001:src13_channel -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src14_endofpacket; // cmd_xbar_demux_001:src14_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src14_valid; // cmd_xbar_demux_001:src14_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src14_startofpacket; // cmd_xbar_demux_001:src14_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src14_data; // cmd_xbar_demux_001:src14_data -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src14_channel; // cmd_xbar_demux_001:src14_channel -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src15_endofpacket; // cmd_xbar_demux_001:src15_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src15_valid; // cmd_xbar_demux_001:src15_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src15_startofpacket; // cmd_xbar_demux_001:src15_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src15_data; // cmd_xbar_demux_001:src15_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src15_channel; // cmd_xbar_demux_001:src15_channel -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src16_endofpacket; // cmd_xbar_demux_001:src16_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src16_valid; // cmd_xbar_demux_001:src16_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src16_startofpacket; // cmd_xbar_demux_001:src16_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src16_data; // cmd_xbar_demux_001:src16_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src16_channel; // cmd_xbar_demux_001:src16_channel -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_demux_001_src17_endofpacket; // cmd_xbar_demux_001:src17_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_demux_001_src17_valid; // cmd_xbar_demux_001:src17_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_demux_001_src17_startofpacket; // cmd_xbar_demux_001:src17_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_demux_001_src17_data; // cmd_xbar_demux_001:src17_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_demux_001_src17_channel; // cmd_xbar_demux_001:src17_channel -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire rsp_xbar_demux_src0_endofpacket; // rsp_xbar_demux:src0_endofpacket -> rsp_xbar_mux:sink0_endofpacket + wire rsp_xbar_demux_src0_valid; // rsp_xbar_demux:src0_valid -> rsp_xbar_mux:sink0_valid + wire rsp_xbar_demux_src0_startofpacket; // rsp_xbar_demux:src0_startofpacket -> rsp_xbar_mux:sink0_startofpacket + wire [95:0] rsp_xbar_demux_src0_data; // rsp_xbar_demux:src0_data -> rsp_xbar_mux:sink0_data + wire [17:0] rsp_xbar_demux_src0_channel; // rsp_xbar_demux:src0_channel -> rsp_xbar_mux:sink0_channel + wire rsp_xbar_demux_src0_ready; // rsp_xbar_mux:sink0_ready -> rsp_xbar_demux:src0_ready + wire rsp_xbar_demux_src1_endofpacket; // rsp_xbar_demux:src1_endofpacket -> rsp_xbar_mux_001:sink0_endofpacket + wire rsp_xbar_demux_src1_valid; // rsp_xbar_demux:src1_valid -> rsp_xbar_mux_001:sink0_valid + wire rsp_xbar_demux_src1_startofpacket; // rsp_xbar_demux:src1_startofpacket -> rsp_xbar_mux_001:sink0_startofpacket + wire [95:0] rsp_xbar_demux_src1_data; // rsp_xbar_demux:src1_data -> rsp_xbar_mux_001:sink0_data + wire [17:0] rsp_xbar_demux_src1_channel; // rsp_xbar_demux:src1_channel -> rsp_xbar_mux_001:sink0_channel + wire rsp_xbar_demux_src1_ready; // rsp_xbar_mux_001:sink0_ready -> rsp_xbar_demux:src1_ready + wire rsp_xbar_demux_001_src0_endofpacket; // rsp_xbar_demux_001:src0_endofpacket -> rsp_xbar_mux:sink1_endofpacket + wire rsp_xbar_demux_001_src0_valid; // rsp_xbar_demux_001:src0_valid -> rsp_xbar_mux:sink1_valid + wire rsp_xbar_demux_001_src0_startofpacket; // rsp_xbar_demux_001:src0_startofpacket -> rsp_xbar_mux:sink1_startofpacket + wire [95:0] rsp_xbar_demux_001_src0_data; // rsp_xbar_demux_001:src0_data -> rsp_xbar_mux:sink1_data + wire [17:0] rsp_xbar_demux_001_src0_channel; // rsp_xbar_demux_001:src0_channel -> rsp_xbar_mux:sink1_channel + wire rsp_xbar_demux_001_src0_ready; // rsp_xbar_mux:sink1_ready -> rsp_xbar_demux_001:src0_ready + wire rsp_xbar_demux_001_src1_endofpacket; // rsp_xbar_demux_001:src1_endofpacket -> rsp_xbar_mux_001:sink1_endofpacket + wire rsp_xbar_demux_001_src1_valid; // rsp_xbar_demux_001:src1_valid -> rsp_xbar_mux_001:sink1_valid + wire rsp_xbar_demux_001_src1_startofpacket; // rsp_xbar_demux_001:src1_startofpacket -> rsp_xbar_mux_001:sink1_startofpacket + wire [95:0] rsp_xbar_demux_001_src1_data; // rsp_xbar_demux_001:src1_data -> rsp_xbar_mux_001:sink1_data + wire [17:0] rsp_xbar_demux_001_src1_channel; // rsp_xbar_demux_001:src1_channel -> rsp_xbar_mux_001:sink1_channel + wire rsp_xbar_demux_001_src1_ready; // rsp_xbar_mux_001:sink1_ready -> rsp_xbar_demux_001:src1_ready + wire rsp_xbar_demux_002_src0_endofpacket; // rsp_xbar_demux_002:src0_endofpacket -> rsp_xbar_mux_001:sink2_endofpacket + wire rsp_xbar_demux_002_src0_valid; // rsp_xbar_demux_002:src0_valid -> rsp_xbar_mux_001:sink2_valid + wire rsp_xbar_demux_002_src0_startofpacket; // rsp_xbar_demux_002:src0_startofpacket -> rsp_xbar_mux_001:sink2_startofpacket + wire [95:0] rsp_xbar_demux_002_src0_data; // rsp_xbar_demux_002:src0_data -> rsp_xbar_mux_001:sink2_data + wire [17:0] rsp_xbar_demux_002_src0_channel; // rsp_xbar_demux_002:src0_channel -> rsp_xbar_mux_001:sink2_channel + wire rsp_xbar_demux_002_src0_ready; // rsp_xbar_mux_001:sink2_ready -> rsp_xbar_demux_002:src0_ready + wire rsp_xbar_demux_003_src0_endofpacket; // rsp_xbar_demux_003:src0_endofpacket -> rsp_xbar_mux_001:sink3_endofpacket + wire rsp_xbar_demux_003_src0_valid; // rsp_xbar_demux_003:src0_valid -> rsp_xbar_mux_001:sink3_valid + wire rsp_xbar_demux_003_src0_startofpacket; // rsp_xbar_demux_003:src0_startofpacket -> rsp_xbar_mux_001:sink3_startofpacket + wire [95:0] rsp_xbar_demux_003_src0_data; // rsp_xbar_demux_003:src0_data -> rsp_xbar_mux_001:sink3_data + wire [17:0] rsp_xbar_demux_003_src0_channel; // rsp_xbar_demux_003:src0_channel -> rsp_xbar_mux_001:sink3_channel + wire rsp_xbar_demux_003_src0_ready; // rsp_xbar_mux_001:sink3_ready -> rsp_xbar_demux_003:src0_ready + wire rsp_xbar_demux_004_src0_endofpacket; // rsp_xbar_demux_004:src0_endofpacket -> rsp_xbar_mux_001:sink4_endofpacket + wire rsp_xbar_demux_004_src0_valid; // rsp_xbar_demux_004:src0_valid -> rsp_xbar_mux_001:sink4_valid + wire rsp_xbar_demux_004_src0_startofpacket; // rsp_xbar_demux_004:src0_startofpacket -> rsp_xbar_mux_001:sink4_startofpacket + wire [95:0] rsp_xbar_demux_004_src0_data; // rsp_xbar_demux_004:src0_data -> rsp_xbar_mux_001:sink4_data + wire [17:0] rsp_xbar_demux_004_src0_channel; // rsp_xbar_demux_004:src0_channel -> rsp_xbar_mux_001:sink4_channel + wire rsp_xbar_demux_004_src0_ready; // rsp_xbar_mux_001:sink4_ready -> rsp_xbar_demux_004:src0_ready + wire rsp_xbar_demux_005_src0_endofpacket; // rsp_xbar_demux_005:src0_endofpacket -> rsp_xbar_mux_001:sink5_endofpacket + wire rsp_xbar_demux_005_src0_valid; // rsp_xbar_demux_005:src0_valid -> rsp_xbar_mux_001:sink5_valid + wire rsp_xbar_demux_005_src0_startofpacket; // rsp_xbar_demux_005:src0_startofpacket -> rsp_xbar_mux_001:sink5_startofpacket + wire [95:0] rsp_xbar_demux_005_src0_data; // rsp_xbar_demux_005:src0_data -> rsp_xbar_mux_001:sink5_data + wire [17:0] rsp_xbar_demux_005_src0_channel; // rsp_xbar_demux_005:src0_channel -> rsp_xbar_mux_001:sink5_channel + wire rsp_xbar_demux_005_src0_ready; // rsp_xbar_mux_001:sink5_ready -> rsp_xbar_demux_005:src0_ready + wire rsp_xbar_demux_006_src0_endofpacket; // rsp_xbar_demux_006:src0_endofpacket -> rsp_xbar_mux_001:sink6_endofpacket + wire rsp_xbar_demux_006_src0_valid; // rsp_xbar_demux_006:src0_valid -> rsp_xbar_mux_001:sink6_valid + wire rsp_xbar_demux_006_src0_startofpacket; // rsp_xbar_demux_006:src0_startofpacket -> rsp_xbar_mux_001:sink6_startofpacket + wire [95:0] rsp_xbar_demux_006_src0_data; // rsp_xbar_demux_006:src0_data -> rsp_xbar_mux_001:sink6_data + wire [17:0] rsp_xbar_demux_006_src0_channel; // rsp_xbar_demux_006:src0_channel -> rsp_xbar_mux_001:sink6_channel + wire rsp_xbar_demux_006_src0_ready; // rsp_xbar_mux_001:sink6_ready -> rsp_xbar_demux_006:src0_ready + wire rsp_xbar_demux_007_src0_endofpacket; // rsp_xbar_demux_007:src0_endofpacket -> rsp_xbar_mux_001:sink7_endofpacket + wire rsp_xbar_demux_007_src0_valid; // rsp_xbar_demux_007:src0_valid -> rsp_xbar_mux_001:sink7_valid + wire rsp_xbar_demux_007_src0_startofpacket; // rsp_xbar_demux_007:src0_startofpacket -> rsp_xbar_mux_001:sink7_startofpacket + wire [95:0] rsp_xbar_demux_007_src0_data; // rsp_xbar_demux_007:src0_data -> rsp_xbar_mux_001:sink7_data + wire [17:0] rsp_xbar_demux_007_src0_channel; // rsp_xbar_demux_007:src0_channel -> rsp_xbar_mux_001:sink7_channel + wire rsp_xbar_demux_007_src0_ready; // rsp_xbar_mux_001:sink7_ready -> rsp_xbar_demux_007:src0_ready + wire rsp_xbar_demux_008_src0_endofpacket; // rsp_xbar_demux_008:src0_endofpacket -> rsp_xbar_mux_001:sink8_endofpacket + wire rsp_xbar_demux_008_src0_valid; // rsp_xbar_demux_008:src0_valid -> rsp_xbar_mux_001:sink8_valid + wire rsp_xbar_demux_008_src0_startofpacket; // rsp_xbar_demux_008:src0_startofpacket -> rsp_xbar_mux_001:sink8_startofpacket + wire [95:0] rsp_xbar_demux_008_src0_data; // rsp_xbar_demux_008:src0_data -> rsp_xbar_mux_001:sink8_data + wire [17:0] rsp_xbar_demux_008_src0_channel; // rsp_xbar_demux_008:src0_channel -> rsp_xbar_mux_001:sink8_channel + wire rsp_xbar_demux_008_src0_ready; // rsp_xbar_mux_001:sink8_ready -> rsp_xbar_demux_008:src0_ready + wire rsp_xbar_demux_009_src0_endofpacket; // rsp_xbar_demux_009:src0_endofpacket -> rsp_xbar_mux_001:sink9_endofpacket + wire rsp_xbar_demux_009_src0_valid; // rsp_xbar_demux_009:src0_valid -> rsp_xbar_mux_001:sink9_valid + wire rsp_xbar_demux_009_src0_startofpacket; // rsp_xbar_demux_009:src0_startofpacket -> rsp_xbar_mux_001:sink9_startofpacket + wire [95:0] rsp_xbar_demux_009_src0_data; // rsp_xbar_demux_009:src0_data -> rsp_xbar_mux_001:sink9_data + wire [17:0] rsp_xbar_demux_009_src0_channel; // rsp_xbar_demux_009:src0_channel -> rsp_xbar_mux_001:sink9_channel + wire rsp_xbar_demux_009_src0_ready; // rsp_xbar_mux_001:sink9_ready -> rsp_xbar_demux_009:src0_ready + wire rsp_xbar_demux_010_src0_endofpacket; // rsp_xbar_demux_010:src0_endofpacket -> rsp_xbar_mux_001:sink10_endofpacket + wire rsp_xbar_demux_010_src0_valid; // rsp_xbar_demux_010:src0_valid -> rsp_xbar_mux_001:sink10_valid + wire rsp_xbar_demux_010_src0_startofpacket; // rsp_xbar_demux_010:src0_startofpacket -> rsp_xbar_mux_001:sink10_startofpacket + wire [95:0] rsp_xbar_demux_010_src0_data; // rsp_xbar_demux_010:src0_data -> rsp_xbar_mux_001:sink10_data + wire [17:0] rsp_xbar_demux_010_src0_channel; // rsp_xbar_demux_010:src0_channel -> rsp_xbar_mux_001:sink10_channel + wire rsp_xbar_demux_010_src0_ready; // rsp_xbar_mux_001:sink10_ready -> rsp_xbar_demux_010:src0_ready + wire rsp_xbar_demux_011_src0_endofpacket; // rsp_xbar_demux_011:src0_endofpacket -> rsp_xbar_mux_001:sink11_endofpacket + wire rsp_xbar_demux_011_src0_valid; // rsp_xbar_demux_011:src0_valid -> rsp_xbar_mux_001:sink11_valid + wire rsp_xbar_demux_011_src0_startofpacket; // rsp_xbar_demux_011:src0_startofpacket -> rsp_xbar_mux_001:sink11_startofpacket + wire [95:0] rsp_xbar_demux_011_src0_data; // rsp_xbar_demux_011:src0_data -> rsp_xbar_mux_001:sink11_data + wire [17:0] rsp_xbar_demux_011_src0_channel; // rsp_xbar_demux_011:src0_channel -> rsp_xbar_mux_001:sink11_channel + wire rsp_xbar_demux_011_src0_ready; // rsp_xbar_mux_001:sink11_ready -> rsp_xbar_demux_011:src0_ready + wire rsp_xbar_demux_012_src0_endofpacket; // rsp_xbar_demux_012:src0_endofpacket -> rsp_xbar_mux_001:sink12_endofpacket + wire rsp_xbar_demux_012_src0_valid; // rsp_xbar_demux_012:src0_valid -> rsp_xbar_mux_001:sink12_valid + wire rsp_xbar_demux_012_src0_startofpacket; // rsp_xbar_demux_012:src0_startofpacket -> rsp_xbar_mux_001:sink12_startofpacket + wire [95:0] rsp_xbar_demux_012_src0_data; // rsp_xbar_demux_012:src0_data -> rsp_xbar_mux_001:sink12_data + wire [17:0] rsp_xbar_demux_012_src0_channel; // rsp_xbar_demux_012:src0_channel -> rsp_xbar_mux_001:sink12_channel + wire rsp_xbar_demux_012_src0_ready; // rsp_xbar_mux_001:sink12_ready -> rsp_xbar_demux_012:src0_ready + wire rsp_xbar_demux_013_src0_endofpacket; // rsp_xbar_demux_013:src0_endofpacket -> rsp_xbar_mux_001:sink13_endofpacket + wire rsp_xbar_demux_013_src0_valid; // rsp_xbar_demux_013:src0_valid -> rsp_xbar_mux_001:sink13_valid + wire rsp_xbar_demux_013_src0_startofpacket; // rsp_xbar_demux_013:src0_startofpacket -> rsp_xbar_mux_001:sink13_startofpacket + wire [95:0] rsp_xbar_demux_013_src0_data; // rsp_xbar_demux_013:src0_data -> rsp_xbar_mux_001:sink13_data + wire [17:0] rsp_xbar_demux_013_src0_channel; // rsp_xbar_demux_013:src0_channel -> rsp_xbar_mux_001:sink13_channel + wire rsp_xbar_demux_013_src0_ready; // rsp_xbar_mux_001:sink13_ready -> rsp_xbar_demux_013:src0_ready + wire rsp_xbar_demux_014_src0_endofpacket; // rsp_xbar_demux_014:src0_endofpacket -> rsp_xbar_mux_001:sink14_endofpacket + wire rsp_xbar_demux_014_src0_valid; // rsp_xbar_demux_014:src0_valid -> rsp_xbar_mux_001:sink14_valid + wire rsp_xbar_demux_014_src0_startofpacket; // rsp_xbar_demux_014:src0_startofpacket -> rsp_xbar_mux_001:sink14_startofpacket + wire [95:0] rsp_xbar_demux_014_src0_data; // rsp_xbar_demux_014:src0_data -> rsp_xbar_mux_001:sink14_data + wire [17:0] rsp_xbar_demux_014_src0_channel; // rsp_xbar_demux_014:src0_channel -> rsp_xbar_mux_001:sink14_channel + wire rsp_xbar_demux_014_src0_ready; // rsp_xbar_mux_001:sink14_ready -> rsp_xbar_demux_014:src0_ready + wire rsp_xbar_demux_015_src0_endofpacket; // rsp_xbar_demux_015:src0_endofpacket -> rsp_xbar_mux_001:sink15_endofpacket + wire rsp_xbar_demux_015_src0_valid; // rsp_xbar_demux_015:src0_valid -> rsp_xbar_mux_001:sink15_valid + wire rsp_xbar_demux_015_src0_startofpacket; // rsp_xbar_demux_015:src0_startofpacket -> rsp_xbar_mux_001:sink15_startofpacket + wire [95:0] rsp_xbar_demux_015_src0_data; // rsp_xbar_demux_015:src0_data -> rsp_xbar_mux_001:sink15_data + wire [17:0] rsp_xbar_demux_015_src0_channel; // rsp_xbar_demux_015:src0_channel -> rsp_xbar_mux_001:sink15_channel + wire rsp_xbar_demux_015_src0_ready; // rsp_xbar_mux_001:sink15_ready -> rsp_xbar_demux_015:src0_ready + wire rsp_xbar_demux_016_src0_endofpacket; // rsp_xbar_demux_016:src0_endofpacket -> rsp_xbar_mux_001:sink16_endofpacket + wire rsp_xbar_demux_016_src0_valid; // rsp_xbar_demux_016:src0_valid -> rsp_xbar_mux_001:sink16_valid + wire rsp_xbar_demux_016_src0_startofpacket; // rsp_xbar_demux_016:src0_startofpacket -> rsp_xbar_mux_001:sink16_startofpacket + wire [95:0] rsp_xbar_demux_016_src0_data; // rsp_xbar_demux_016:src0_data -> rsp_xbar_mux_001:sink16_data + wire [17:0] rsp_xbar_demux_016_src0_channel; // rsp_xbar_demux_016:src0_channel -> rsp_xbar_mux_001:sink16_channel + wire rsp_xbar_demux_016_src0_ready; // rsp_xbar_mux_001:sink16_ready -> rsp_xbar_demux_016:src0_ready + wire rsp_xbar_demux_017_src0_endofpacket; // rsp_xbar_demux_017:src0_endofpacket -> rsp_xbar_mux_001:sink17_endofpacket + wire rsp_xbar_demux_017_src0_valid; // rsp_xbar_demux_017:src0_valid -> rsp_xbar_mux_001:sink17_valid + wire rsp_xbar_demux_017_src0_startofpacket; // rsp_xbar_demux_017:src0_startofpacket -> rsp_xbar_mux_001:sink17_startofpacket + wire [95:0] rsp_xbar_demux_017_src0_data; // rsp_xbar_demux_017:src0_data -> rsp_xbar_mux_001:sink17_data + wire [17:0] rsp_xbar_demux_017_src0_channel; // rsp_xbar_demux_017:src0_channel -> rsp_xbar_mux_001:sink17_channel + wire rsp_xbar_demux_017_src0_ready; // rsp_xbar_mux_001:sink17_ready -> rsp_xbar_demux_017:src0_ready + wire addr_router_src_endofpacket; // addr_router:src_endofpacket -> cmd_xbar_demux:sink_endofpacket + wire addr_router_src_valid; // addr_router:src_valid -> cmd_xbar_demux:sink_valid + wire addr_router_src_startofpacket; // addr_router:src_startofpacket -> cmd_xbar_demux:sink_startofpacket + wire [95:0] addr_router_src_data; // addr_router:src_data -> cmd_xbar_demux:sink_data + wire [17:0] addr_router_src_channel; // addr_router:src_channel -> cmd_xbar_demux:sink_channel + wire addr_router_src_ready; // cmd_xbar_demux:sink_ready -> addr_router:src_ready + wire rsp_xbar_mux_src_endofpacket; // rsp_xbar_mux:src_endofpacket -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_endofpacket + wire rsp_xbar_mux_src_valid; // rsp_xbar_mux:src_valid -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_valid + wire rsp_xbar_mux_src_startofpacket; // rsp_xbar_mux:src_startofpacket -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_startofpacket + wire [95:0] rsp_xbar_mux_src_data; // rsp_xbar_mux:src_data -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_data + wire [17:0] rsp_xbar_mux_src_channel; // rsp_xbar_mux:src_channel -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_channel + wire rsp_xbar_mux_src_ready; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux:src_ready + wire addr_router_001_src_endofpacket; // addr_router_001:src_endofpacket -> cmd_xbar_demux_001:sink_endofpacket + wire addr_router_001_src_valid; // addr_router_001:src_valid -> cmd_xbar_demux_001:sink_valid + wire addr_router_001_src_startofpacket; // addr_router_001:src_startofpacket -> cmd_xbar_demux_001:sink_startofpacket + wire [95:0] addr_router_001_src_data; // addr_router_001:src_data -> cmd_xbar_demux_001:sink_data + wire [17:0] addr_router_001_src_channel; // addr_router_001:src_channel -> cmd_xbar_demux_001:sink_channel + wire addr_router_001_src_ready; // cmd_xbar_demux_001:sink_ready -> addr_router_001:src_ready + wire rsp_xbar_mux_001_src_endofpacket; // rsp_xbar_mux_001:src_endofpacket -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_endofpacket + wire rsp_xbar_mux_001_src_valid; // rsp_xbar_mux_001:src_valid -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_valid + wire rsp_xbar_mux_001_src_startofpacket; // rsp_xbar_mux_001:src_startofpacket -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_startofpacket + wire [95:0] rsp_xbar_mux_001_src_data; // rsp_xbar_mux_001:src_data -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_data + wire [17:0] rsp_xbar_mux_001_src_channel; // rsp_xbar_mux_001:src_channel -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_channel + wire rsp_xbar_mux_001_src_ready; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux_001:src_ready + wire cmd_xbar_mux_src_endofpacket; // cmd_xbar_mux:src_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_mux_src_valid; // cmd_xbar_mux:src_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_mux_src_startofpacket; // cmd_xbar_mux:src_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_mux_src_data; // cmd_xbar_mux:src_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_mux_src_channel; // cmd_xbar_mux:src_channel -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_mux_src_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux:src_ready + wire id_router_src_endofpacket; // id_router:src_endofpacket -> rsp_xbar_demux:sink_endofpacket + wire id_router_src_valid; // id_router:src_valid -> rsp_xbar_demux:sink_valid + wire id_router_src_startofpacket; // id_router:src_startofpacket -> rsp_xbar_demux:sink_startofpacket + wire [95:0] id_router_src_data; // id_router:src_data -> rsp_xbar_demux:sink_data + wire [17:0] id_router_src_channel; // id_router:src_channel -> rsp_xbar_demux:sink_channel + wire id_router_src_ready; // rsp_xbar_demux:sink_ready -> id_router:src_ready + wire cmd_xbar_mux_001_src_endofpacket; // cmd_xbar_mux_001:src_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket + wire cmd_xbar_mux_001_src_valid; // cmd_xbar_mux_001:src_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_valid + wire cmd_xbar_mux_001_src_startofpacket; // cmd_xbar_mux_001:src_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket + wire [95:0] cmd_xbar_mux_001_src_data; // cmd_xbar_mux_001:src_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_data + wire [17:0] cmd_xbar_mux_001_src_channel; // cmd_xbar_mux_001:src_channel -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_channel + wire cmd_xbar_mux_001_src_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux_001:src_ready + wire id_router_001_src_endofpacket; // id_router_001:src_endofpacket -> rsp_xbar_demux_001:sink_endofpacket + wire id_router_001_src_valid; // id_router_001:src_valid -> rsp_xbar_demux_001:sink_valid + wire id_router_001_src_startofpacket; // id_router_001:src_startofpacket -> rsp_xbar_demux_001:sink_startofpacket + wire [95:0] id_router_001_src_data; // id_router_001:src_data -> rsp_xbar_demux_001:sink_data + wire [17:0] id_router_001_src_channel; // id_router_001:src_channel -> rsp_xbar_demux_001:sink_channel + wire id_router_001_src_ready; // rsp_xbar_demux_001:sink_ready -> id_router_001:src_ready + wire cmd_xbar_demux_001_src2_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src2_ready + wire id_router_002_src_endofpacket; // id_router_002:src_endofpacket -> rsp_xbar_demux_002:sink_endofpacket + wire id_router_002_src_valid; // id_router_002:src_valid -> rsp_xbar_demux_002:sink_valid + wire id_router_002_src_startofpacket; // id_router_002:src_startofpacket -> rsp_xbar_demux_002:sink_startofpacket + wire [95:0] id_router_002_src_data; // id_router_002:src_data -> rsp_xbar_demux_002:sink_data + wire [17:0] id_router_002_src_channel; // id_router_002:src_channel -> rsp_xbar_demux_002:sink_channel + wire id_router_002_src_ready; // rsp_xbar_demux_002:sink_ready -> id_router_002:src_ready + wire cmd_xbar_demux_001_src3_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src3_ready + wire id_router_003_src_endofpacket; // id_router_003:src_endofpacket -> rsp_xbar_demux_003:sink_endofpacket + wire id_router_003_src_valid; // id_router_003:src_valid -> rsp_xbar_demux_003:sink_valid + wire id_router_003_src_startofpacket; // id_router_003:src_startofpacket -> rsp_xbar_demux_003:sink_startofpacket + wire [95:0] id_router_003_src_data; // id_router_003:src_data -> rsp_xbar_demux_003:sink_data + wire [17:0] id_router_003_src_channel; // id_router_003:src_channel -> rsp_xbar_demux_003:sink_channel + wire id_router_003_src_ready; // rsp_xbar_demux_003:sink_ready -> id_router_003:src_ready + wire cmd_xbar_demux_001_src4_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src4_ready + wire id_router_004_src_endofpacket; // id_router_004:src_endofpacket -> rsp_xbar_demux_004:sink_endofpacket + wire id_router_004_src_valid; // id_router_004:src_valid -> rsp_xbar_demux_004:sink_valid + wire id_router_004_src_startofpacket; // id_router_004:src_startofpacket -> rsp_xbar_demux_004:sink_startofpacket + wire [95:0] id_router_004_src_data; // id_router_004:src_data -> rsp_xbar_demux_004:sink_data + wire [17:0] id_router_004_src_channel; // id_router_004:src_channel -> rsp_xbar_demux_004:sink_channel + wire id_router_004_src_ready; // rsp_xbar_demux_004:sink_ready -> id_router_004:src_ready + wire cmd_xbar_demux_001_src5_ready; // switches_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src5_ready + wire id_router_005_src_endofpacket; // id_router_005:src_endofpacket -> rsp_xbar_demux_005:sink_endofpacket + wire id_router_005_src_valid; // id_router_005:src_valid -> rsp_xbar_demux_005:sink_valid + wire id_router_005_src_startofpacket; // id_router_005:src_startofpacket -> rsp_xbar_demux_005:sink_startofpacket + wire [95:0] id_router_005_src_data; // id_router_005:src_data -> rsp_xbar_demux_005:sink_data + wire [17:0] id_router_005_src_channel; // id_router_005:src_channel -> rsp_xbar_demux_005:sink_channel + wire id_router_005_src_ready; // rsp_xbar_demux_005:sink_ready -> id_router_005:src_ready + wire cmd_xbar_demux_001_src6_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src6_ready + wire id_router_006_src_endofpacket; // id_router_006:src_endofpacket -> rsp_xbar_demux_006:sink_endofpacket + wire id_router_006_src_valid; // id_router_006:src_valid -> rsp_xbar_demux_006:sink_valid + wire id_router_006_src_startofpacket; // id_router_006:src_startofpacket -> rsp_xbar_demux_006:sink_startofpacket + wire [95:0] id_router_006_src_data; // id_router_006:src_data -> rsp_xbar_demux_006:sink_data + wire [17:0] id_router_006_src_channel; // id_router_006:src_channel -> rsp_xbar_demux_006:sink_channel + wire id_router_006_src_ready; // rsp_xbar_demux_006:sink_ready -> id_router_006:src_ready + wire cmd_xbar_demux_001_src7_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src7_ready + wire id_router_007_src_endofpacket; // id_router_007:src_endofpacket -> rsp_xbar_demux_007:sink_endofpacket + wire id_router_007_src_valid; // id_router_007:src_valid -> rsp_xbar_demux_007:sink_valid + wire id_router_007_src_startofpacket; // id_router_007:src_startofpacket -> rsp_xbar_demux_007:sink_startofpacket + wire [95:0] id_router_007_src_data; // id_router_007:src_data -> rsp_xbar_demux_007:sink_data + wire [17:0] id_router_007_src_channel; // id_router_007:src_channel -> rsp_xbar_demux_007:sink_channel + wire id_router_007_src_ready; // rsp_xbar_demux_007:sink_ready -> id_router_007:src_ready + wire cmd_xbar_demux_001_src8_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src8_ready + wire id_router_008_src_endofpacket; // id_router_008:src_endofpacket -> rsp_xbar_demux_008:sink_endofpacket + wire id_router_008_src_valid; // id_router_008:src_valid -> rsp_xbar_demux_008:sink_valid + wire id_router_008_src_startofpacket; // id_router_008:src_startofpacket -> rsp_xbar_demux_008:sink_startofpacket + wire [95:0] id_router_008_src_data; // id_router_008:src_data -> rsp_xbar_demux_008:sink_data + wire [17:0] id_router_008_src_channel; // id_router_008:src_channel -> rsp_xbar_demux_008:sink_channel + wire id_router_008_src_ready; // rsp_xbar_demux_008:sink_ready -> id_router_008:src_ready + wire cmd_xbar_demux_001_src9_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src9_ready + wire id_router_009_src_endofpacket; // id_router_009:src_endofpacket -> rsp_xbar_demux_009:sink_endofpacket + wire id_router_009_src_valid; // id_router_009:src_valid -> rsp_xbar_demux_009:sink_valid + wire id_router_009_src_startofpacket; // id_router_009:src_startofpacket -> rsp_xbar_demux_009:sink_startofpacket + wire [95:0] id_router_009_src_data; // id_router_009:src_data -> rsp_xbar_demux_009:sink_data + wire [17:0] id_router_009_src_channel; // id_router_009:src_channel -> rsp_xbar_demux_009:sink_channel + wire id_router_009_src_ready; // rsp_xbar_demux_009:sink_ready -> id_router_009:src_ready + wire cmd_xbar_demux_001_src10_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src10_ready + wire id_router_010_src_endofpacket; // id_router_010:src_endofpacket -> rsp_xbar_demux_010:sink_endofpacket + wire id_router_010_src_valid; // id_router_010:src_valid -> rsp_xbar_demux_010:sink_valid + wire id_router_010_src_startofpacket; // id_router_010:src_startofpacket -> rsp_xbar_demux_010:sink_startofpacket + wire [95:0] id_router_010_src_data; // id_router_010:src_data -> rsp_xbar_demux_010:sink_data + wire [17:0] id_router_010_src_channel; // id_router_010:src_channel -> rsp_xbar_demux_010:sink_channel + wire id_router_010_src_ready; // rsp_xbar_demux_010:sink_ready -> id_router_010:src_ready + wire cmd_xbar_demux_001_src11_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src11_ready + wire id_router_011_src_endofpacket; // id_router_011:src_endofpacket -> rsp_xbar_demux_011:sink_endofpacket + wire id_router_011_src_valid; // id_router_011:src_valid -> rsp_xbar_demux_011:sink_valid + wire id_router_011_src_startofpacket; // id_router_011:src_startofpacket -> rsp_xbar_demux_011:sink_startofpacket + wire [95:0] id_router_011_src_data; // id_router_011:src_data -> rsp_xbar_demux_011:sink_data + wire [17:0] id_router_011_src_channel; // id_router_011:src_channel -> rsp_xbar_demux_011:sink_channel + wire id_router_011_src_ready; // rsp_xbar_demux_011:sink_ready -> id_router_011:src_ready + wire cmd_xbar_demux_001_src12_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src12_ready + wire id_router_012_src_endofpacket; // id_router_012:src_endofpacket -> rsp_xbar_demux_012:sink_endofpacket + wire id_router_012_src_valid; // id_router_012:src_valid -> rsp_xbar_demux_012:sink_valid + wire id_router_012_src_startofpacket; // id_router_012:src_startofpacket -> rsp_xbar_demux_012:sink_startofpacket + wire [95:0] id_router_012_src_data; // id_router_012:src_data -> rsp_xbar_demux_012:sink_data + wire [17:0] id_router_012_src_channel; // id_router_012:src_channel -> rsp_xbar_demux_012:sink_channel + wire id_router_012_src_ready; // rsp_xbar_demux_012:sink_ready -> id_router_012:src_ready + wire cmd_xbar_demux_001_src13_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src13_ready + wire id_router_013_src_endofpacket; // id_router_013:src_endofpacket -> rsp_xbar_demux_013:sink_endofpacket + wire id_router_013_src_valid; // id_router_013:src_valid -> rsp_xbar_demux_013:sink_valid + wire id_router_013_src_startofpacket; // id_router_013:src_startofpacket -> rsp_xbar_demux_013:sink_startofpacket + wire [95:0] id_router_013_src_data; // id_router_013:src_data -> rsp_xbar_demux_013:sink_data + wire [17:0] id_router_013_src_channel; // id_router_013:src_channel -> rsp_xbar_demux_013:sink_channel + wire id_router_013_src_ready; // rsp_xbar_demux_013:sink_ready -> id_router_013:src_ready + wire cmd_xbar_demux_001_src14_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src14_ready + wire id_router_014_src_endofpacket; // id_router_014:src_endofpacket -> rsp_xbar_demux_014:sink_endofpacket + wire id_router_014_src_valid; // id_router_014:src_valid -> rsp_xbar_demux_014:sink_valid + wire id_router_014_src_startofpacket; // id_router_014:src_startofpacket -> rsp_xbar_demux_014:sink_startofpacket + wire [95:0] id_router_014_src_data; // id_router_014:src_data -> rsp_xbar_demux_014:sink_data + wire [17:0] id_router_014_src_channel; // id_router_014:src_channel -> rsp_xbar_demux_014:sink_channel + wire id_router_014_src_ready; // rsp_xbar_demux_014:sink_ready -> id_router_014:src_ready + wire cmd_xbar_demux_001_src15_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src15_ready + wire id_router_015_src_endofpacket; // id_router_015:src_endofpacket -> rsp_xbar_demux_015:sink_endofpacket + wire id_router_015_src_valid; // id_router_015:src_valid -> rsp_xbar_demux_015:sink_valid + wire id_router_015_src_startofpacket; // id_router_015:src_startofpacket -> rsp_xbar_demux_015:sink_startofpacket + wire [95:0] id_router_015_src_data; // id_router_015:src_data -> rsp_xbar_demux_015:sink_data + wire [17:0] id_router_015_src_channel; // id_router_015:src_channel -> rsp_xbar_demux_015:sink_channel + wire id_router_015_src_ready; // rsp_xbar_demux_015:sink_ready -> id_router_015:src_ready + wire cmd_xbar_demux_001_src16_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src16_ready + wire id_router_016_src_endofpacket; // id_router_016:src_endofpacket -> rsp_xbar_demux_016:sink_endofpacket + wire id_router_016_src_valid; // id_router_016:src_valid -> rsp_xbar_demux_016:sink_valid + wire id_router_016_src_startofpacket; // id_router_016:src_startofpacket -> rsp_xbar_demux_016:sink_startofpacket + wire [95:0] id_router_016_src_data; // id_router_016:src_data -> rsp_xbar_demux_016:sink_data + wire [17:0] id_router_016_src_channel; // id_router_016:src_channel -> rsp_xbar_demux_016:sink_channel + wire id_router_016_src_ready; // rsp_xbar_demux_016:sink_ready -> id_router_016:src_ready + wire cmd_xbar_demux_001_src17_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src17_ready + wire id_router_017_src_endofpacket; // id_router_017:src_endofpacket -> rsp_xbar_demux_017:sink_endofpacket + wire id_router_017_src_valid; // id_router_017:src_valid -> rsp_xbar_demux_017:sink_valid + wire id_router_017_src_startofpacket; // id_router_017:src_startofpacket -> rsp_xbar_demux_017:sink_startofpacket + wire [95:0] id_router_017_src_data; // id_router_017:src_data -> rsp_xbar_demux_017:sink_data + wire [17:0] id_router_017_src_channel; // id_router_017:src_channel -> rsp_xbar_demux_017:sink_channel + wire id_router_017_src_ready; // rsp_xbar_demux_017:sink_ready -> id_router_017:src_ready + wire irq_mapper_receiver0_irq; // jtag_uart:av_irq -> irq_mapper:receiver0_irq + wire [31:0] nios2_processor_d_irq_irq; // irq_mapper:sender_irq -> nios2_processor:d_irq + + nios_system_nios2_processor nios2_processor ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset_n.reset_n + .d_address (nios2_processor_data_master_address), // data_master.address + .d_byteenable (nios2_processor_data_master_byteenable), // .byteenable + .d_read (nios2_processor_data_master_read), // .read + .d_readdata (nios2_processor_data_master_readdata), // .readdata + .d_waitrequest (nios2_processor_data_master_waitrequest), // .waitrequest + .d_write (nios2_processor_data_master_write), // .write + .d_writedata (nios2_processor_data_master_writedata), // .writedata + .jtag_debug_module_debugaccess_to_roms (nios2_processor_data_master_debugaccess), // .debugaccess + .i_address (nios2_processor_instruction_master_address), // instruction_master.address + .i_read (nios2_processor_instruction_master_read), // .read + .i_readdata (nios2_processor_instruction_master_readdata), // .readdata + .i_waitrequest (nios2_processor_instruction_master_waitrequest), // .waitrequest + .d_irq (nios2_processor_d_irq_irq), // d_irq.irq + .jtag_debug_module_resetrequest (nios2_processor_jtag_debug_module_reset_reset), // jtag_debug_module_reset.reset + .jtag_debug_module_address (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address), // jtag_debug_module.address + .jtag_debug_module_byteenable (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable + .jtag_debug_module_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess + .jtag_debug_module_read (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read), // .read + .jtag_debug_module_readdata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata + .jtag_debug_module_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .jtag_debug_module_write (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write + .jtag_debug_module_writedata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata + .no_ci_readra () // custom_instruction_master.readra + ); + + nios_system_onchip_memory onchip_memory ( + .clk (clk_clk), // clk1.clk + .address (onchip_memory_s1_translator_avalon_anti_slave_0_address), // s1.address + .clken (onchip_memory_s1_translator_avalon_anti_slave_0_clken), // .clken + .chipselect (onchip_memory_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .write (onchip_memory_s1_translator_avalon_anti_slave_0_write), // .write + .readdata (onchip_memory_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .writedata (onchip_memory_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .byteenable (onchip_memory_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable + .reset (rst_controller_reset_out_reset), // reset1.reset + .reset_req (rst_controller_reset_out_reset_req) // .reset_req + ); + + nios_system_jtag_uart jtag_uart ( + .clk (clk_clk), // clk.clk + .rst_n (~rst_controller_reset_out_reset), // reset.reset_n + .av_chipselect (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // avalon_jtag_slave.chipselect + .av_address (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // .address + .av_read_n (~jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read_n + .av_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .av_write_n (~jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write_n + .av_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .av_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .av_irq (irq_mapper_receiver0_irq) // irq.irq + ); + + nios_system_LEDs leds ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (leds_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~leds_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (leds_export) // external_connection.export + ); + + nios_system_LEDRs ledrs ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (ledrs_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~ledrs_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (ledrs_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (ledrs_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (ledrs_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (ledrs_export) // external_connection.export + ); + + nios_system_switches switches ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (switches_s1_translator_avalon_anti_slave_0_address), // s1.address + .readdata (switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .in_port (switches_export) // external_connection.export + ); + + nios_system_push_switches push_switches ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (push_switches_s1_translator_avalon_anti_slave_0_address), // s1.address + .readdata (push_switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .in_port (push_switches_export) // external_connection.export + ); + + nios_system_hex0 hex0 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex0_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex0_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex0_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex0_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex0_export) // external_connection.export + ); + + nios_system_hex0 hex1 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex1_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex1_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex1_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex1_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex1_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex1_export) // external_connection.export + ); + + nios_system_hex0 hex2 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex2_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex2_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex2_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex2_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex2_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex2_export) // external_connection.export + ); + + nios_system_hex0 hex3 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex3_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex3_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex3_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex3_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex3_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex3_export) // external_connection.export + ); + + nios_system_hex0 hex4 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex4_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex4_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex4_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex4_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex4_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex4_export) // external_connection.export + ); + + nios_system_hex0 hex5 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex5_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex5_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex5_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex5_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex5_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex5_export) // external_connection.export + ); + + nios_system_hex0 hex6 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex6_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex6_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex6_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex6_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex6_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex6_export) // external_connection.export + ); + + nios_system_hex0 hex7 ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (hex7_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~hex7_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (hex7_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (hex7_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (hex7_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (hex7_export) // external_connection.export + ); + + nios_system_lcd_16207_0 lcd_16207_0 ( + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .clk (clk_clk), // clk.clk + .begintransfer (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer), // control_slave.begintransfer + .read (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read), // .read + .write (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write), // .write + .readdata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .writedata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .address (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address), // .address + .LCD_RS (lcd_16207_0_RS), // external.export + .LCD_RW (lcd_16207_0_RW), // .export + .LCD_data (lcd_16207_0_data), // .export + .LCD_E (lcd_16207_0_E) // .export + ); + + nios_system_lcd_on lcd_on ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (lcd_on_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~lcd_on_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (lcd_on_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (lcd_on_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (lcd_on_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (lcd_on_export) // external_connection.export + ); + + nios_system_lcd_on lcd_blon ( + .clk (clk_clk), // clk.clk + .reset_n (~rst_controller_reset_out_reset), // reset.reset_n + .address (lcd_blon_s1_translator_avalon_anti_slave_0_address), // s1.address + .write_n (~lcd_blon_s1_translator_avalon_anti_slave_0_write), // .write_n + .writedata (lcd_blon_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .chipselect (lcd_blon_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .readdata (lcd_blon_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .out_port (lcd_blon_export) // external_connection.export + ); + + altera_merlin_master_translator #( + .AV_ADDRESS_W (19), + .AV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .USE_READ (1), + .USE_WRITE (0), + .USE_BEGINBURSTTRANSFER (0), + .USE_BEGINTRANSFER (0), + .USE_CHIPSELECT (0), + .USE_BURSTCOUNT (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (1), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_LINEWRAPBURSTS (1), + .AV_REGISTERINCOMINGSIGNALS (0) + ) nios2_processor_instruction_master_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (nios2_processor_instruction_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address + .uav_burstcount (nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .uav_read (nios2_processor_instruction_master_translator_avalon_universal_master_0_read), // .read + .uav_write (nios2_processor_instruction_master_translator_avalon_universal_master_0_write), // .write + .uav_waitrequest (nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .uav_readdatavalid (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .uav_byteenable (nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .uav_readdata (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata + .uav_writedata (nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata + .uav_lock (nios2_processor_instruction_master_translator_avalon_universal_master_0_lock), // .lock + .uav_debugaccess (nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_address (nios2_processor_instruction_master_address), // avalon_anti_master_0.address + .av_waitrequest (nios2_processor_instruction_master_waitrequest), // .waitrequest + .av_read (nios2_processor_instruction_master_read), // .read + .av_readdata (nios2_processor_instruction_master_readdata), // .readdata + .av_burstcount (1'b1), // (terminated) + .av_byteenable (4'b1111), // (terminated) + .av_beginbursttransfer (1'b0), // (terminated) + .av_begintransfer (1'b0), // (terminated) + .av_chipselect (1'b0), // (terminated) + .av_readdatavalid (), // (terminated) + .av_write (1'b0), // (terminated) + .av_writedata (32'b00000000000000000000000000000000), // (terminated) + .av_lock (1'b0), // (terminated) + .av_debugaccess (1'b0), // (terminated) + .uav_clken (), // (terminated) + .av_clken (1'b1), // (terminated) + .uav_response (2'b00), // (terminated) + .av_response (), // (terminated) + .uav_writeresponserequest (), // (terminated) + .uav_writeresponsevalid (1'b0), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_master_translator #( + .AV_ADDRESS_W (19), + .AV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .USE_READ (1), + .USE_WRITE (1), + .USE_BEGINBURSTTRANSFER (0), + .USE_BEGINTRANSFER (0), + .USE_CHIPSELECT (0), + .USE_BURSTCOUNT (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (1), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_LINEWRAPBURSTS (0), + .AV_REGISTERINCOMINGSIGNALS (1) + ) nios2_processor_data_master_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (nios2_processor_data_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address + .uav_burstcount (nios2_processor_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .uav_read (nios2_processor_data_master_translator_avalon_universal_master_0_read), // .read + .uav_write (nios2_processor_data_master_translator_avalon_universal_master_0_write), // .write + .uav_waitrequest (nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .uav_readdatavalid (nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .uav_byteenable (nios2_processor_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .uav_readdata (nios2_processor_data_master_translator_avalon_universal_master_0_readdata), // .readdata + .uav_writedata (nios2_processor_data_master_translator_avalon_universal_master_0_writedata), // .writedata + .uav_lock (nios2_processor_data_master_translator_avalon_universal_master_0_lock), // .lock + .uav_debugaccess (nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_address (nios2_processor_data_master_address), // avalon_anti_master_0.address + .av_waitrequest (nios2_processor_data_master_waitrequest), // .waitrequest + .av_byteenable (nios2_processor_data_master_byteenable), // .byteenable + .av_read (nios2_processor_data_master_read), // .read + .av_readdata (nios2_processor_data_master_readdata), // .readdata + .av_write (nios2_processor_data_master_write), // .write + .av_writedata (nios2_processor_data_master_writedata), // .writedata + .av_debugaccess (nios2_processor_data_master_debugaccess), // .debugaccess + .av_burstcount (1'b1), // (terminated) + .av_beginbursttransfer (1'b0), // (terminated) + .av_begintransfer (1'b0), // (terminated) + .av_chipselect (1'b0), // (terminated) + .av_readdatavalid (), // (terminated) + .av_lock (1'b0), // (terminated) + .uav_clken (), // (terminated) + .av_clken (1'b1), // (terminated) + .uav_response (2'b00), // (terminated) + .av_response (), // (terminated) + .uav_writeresponserequest (), // (terminated) + .uav_writeresponsevalid (1'b0), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (9), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) nios2_processor_jtag_debug_module_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write + .av_read (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read), // .read + .av_readdata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata + .av_byteenable (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable + .av_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .av_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (16), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (4), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (1), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (0), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) onchip_memory_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (onchip_memory_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (onchip_memory_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (onchip_memory_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (onchip_memory_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_byteenable (onchip_memory_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable + .av_chipselect (onchip_memory_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_clken (onchip_memory_s1_translator_avalon_anti_slave_0_clken), // .clken + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) leds_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (leds_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (leds_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (1), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (1), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) jtag_uart_avalon_jtag_slave_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write + .av_read (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read + .av_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .av_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest + .av_chipselect (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) ledrs_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (ledrs_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (ledrs_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (ledrs_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (ledrs_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (ledrs_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) switches_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (switches_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_readdata (switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_write (), // (terminated) + .av_read (), // (terminated) + .av_writedata (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) push_switches_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (push_switches_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_readdata (push_switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_write (), // (terminated) + .av_read (), // (terminated) + .av_writedata (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex0_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex0_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex0_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex0_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex1_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex1_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex1_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex1_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex1_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex1_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex1_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex1_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex1_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex2_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex2_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex2_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex2_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex2_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex2_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex2_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex2_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex2_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex3_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex3_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex3_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex3_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex3_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex3_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex3_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex3_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex3_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex4_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex4_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex4_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex4_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex4_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex4_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex4_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex4_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex4_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex5_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex5_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex5_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex5_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex5_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex5_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex5_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex5_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex5_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex6_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex6_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex6_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex6_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex6_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex6_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex6_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex6_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex6_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) hex7_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (hex7_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (hex7_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (hex7_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (hex7_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (hex7_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (hex7_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (hex7_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (hex7_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (8), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (13), + .AV_WRITE_WAIT_CYCLES (13), + .AV_SETUP_WAIT_CYCLES (13), + .AV_DATA_HOLD_CYCLES (13) + ) lcd_16207_0_control_slave_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write), // .write + .av_read (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read), // .read + .av_readdata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata), // .writedata + .av_begintransfer (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer), // .begintransfer + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) lcd_on_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (lcd_on_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (lcd_on_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (lcd_on_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (lcd_on_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (lcd_on_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (19), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) lcd_blon_s1_translator ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // reset.reset + .uav_address (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .uav_read (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .uav_write (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .uav_waitrequest (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .uav_readdata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .uav_writedata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .uav_lock (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .uav_debugaccess (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .av_address (lcd_blon_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address + .av_write (lcd_blon_s1_translator_avalon_anti_slave_0_write), // .write + .av_readdata (lcd_blon_s1_translator_avalon_anti_slave_0_readdata), // .readdata + .av_writedata (lcd_blon_s1_translator_avalon_anti_slave_0_writedata), // .writedata + .av_chipselect (lcd_blon_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponserequest (1'b0), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponserequest (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + + altera_merlin_master_agent #( + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_BEGIN_BURST (74), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .PKT_BURST_TYPE_H (71), + .PKT_BURST_TYPE_L (70), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_TRANS_EXCLUSIVE (60), + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_THREAD_ID_H (86), + .PKT_THREAD_ID_L (86), + .PKT_CACHE_H (93), + .PKT_CACHE_L (90), + .PKT_DATA_SIDEBAND_H (73), + .PKT_DATA_SIDEBAND_L (73), + .PKT_QOS_H (75), + .PKT_QOS_L (75), + .PKT_ADDR_SIDEBAND_H (72), + .PKT_ADDR_SIDEBAND_L (72), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .ST_DATA_W (96), + .ST_CHANNEL_W (18), + .AV_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_RSP (0), + .ID (1), + .BURSTWRAP_VALUE (3), + .CACHE_VALUE (0), + .SECURE_ACCESS_BIT (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) nios2_processor_instruction_master_translator_avalon_universal_master_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .av_address (nios2_processor_instruction_master_translator_avalon_universal_master_0_address), // av.address + .av_write (nios2_processor_instruction_master_translator_avalon_universal_master_0_write), // .write + .av_read (nios2_processor_instruction_master_translator_avalon_universal_master_0_read), // .read + .av_writedata (nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata + .av_readdata (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata + .av_waitrequest (nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .av_readdatavalid (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .av_byteenable (nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .av_burstcount (nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .av_debugaccess (nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_lock (nios2_processor_instruction_master_translator_avalon_universal_master_0_lock), // .lock + .cp_valid (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid + .cp_data (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .cp_startofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .cp_endofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .cp_ready (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready + .rp_valid (rsp_xbar_mux_src_valid), // rp.valid + .rp_data (rsp_xbar_mux_src_data), // .data + .rp_channel (rsp_xbar_mux_src_channel), // .channel + .rp_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket + .rp_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket + .rp_ready (rsp_xbar_mux_src_ready), // .ready + .av_response (), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_master_agent #( + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_BEGIN_BURST (74), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .PKT_BURST_TYPE_H (71), + .PKT_BURST_TYPE_L (70), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_TRANS_EXCLUSIVE (60), + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_THREAD_ID_H (86), + .PKT_THREAD_ID_L (86), + .PKT_CACHE_H (93), + .PKT_CACHE_L (90), + .PKT_DATA_SIDEBAND_H (73), + .PKT_DATA_SIDEBAND_L (73), + .PKT_QOS_H (75), + .PKT_QOS_L (75), + .PKT_ADDR_SIDEBAND_H (72), + .PKT_ADDR_SIDEBAND_L (72), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .ST_DATA_W (96), + .ST_CHANNEL_W (18), + .AV_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_RSP (0), + .ID (0), + .BURSTWRAP_VALUE (7), + .CACHE_VALUE (0), + .SECURE_ACCESS_BIT (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) nios2_processor_data_master_translator_avalon_universal_master_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .av_address (nios2_processor_data_master_translator_avalon_universal_master_0_address), // av.address + .av_write (nios2_processor_data_master_translator_avalon_universal_master_0_write), // .write + .av_read (nios2_processor_data_master_translator_avalon_universal_master_0_read), // .read + .av_writedata (nios2_processor_data_master_translator_avalon_universal_master_0_writedata), // .writedata + .av_readdata (nios2_processor_data_master_translator_avalon_universal_master_0_readdata), // .readdata + .av_waitrequest (nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest + .av_readdatavalid (nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid + .av_byteenable (nios2_processor_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable + .av_burstcount (nios2_processor_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount + .av_debugaccess (nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess + .av_lock (nios2_processor_data_master_translator_avalon_universal_master_0_lock), // .lock + .cp_valid (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid + .cp_data (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .cp_startofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .cp_endofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .cp_ready (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready + .rp_valid (rsp_xbar_mux_001_src_valid), // rp.valid + .rp_data (rsp_xbar_mux_001_src_data), // .data + .rp_channel (rsp_xbar_mux_001_src_channel), // .channel + .rp_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket + .rp_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket + .rp_ready (rsp_xbar_mux_001_src_ready), // .ready + .av_response (), // (terminated) + .av_writeresponserequest (1'b0), // (terminated) + .av_writeresponsevalid () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_mux_src_ready), // cp.ready + .cp_valid (cmd_xbar_mux_src_valid), // .valid + .cp_data (cmd_xbar_mux_src_data), // .data + .cp_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_mux_src_channel), // .channel + .rf_sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) onchip_memory_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_mux_001_src_ready), // cp.ready + .cp_valid (cmd_xbar_mux_001_src_valid), // .valid + .cp_data (cmd_xbar_mux_001_src_data), // .data + .cp_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_mux_001_src_channel), // .channel + .rf_sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) leds_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src2_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src2_valid), // .valid + .cp_data (cmd_xbar_demux_001_src2_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src2_channel), // .channel + .rf_sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src3_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src3_valid), // .valid + .cp_data (cmd_xbar_demux_001_src3_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src3_channel), // .channel + .rf_sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) ledrs_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src4_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src4_valid), // .valid + .cp_data (cmd_xbar_demux_001_src4_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src4_channel), // .channel + .rf_sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) switches_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src5_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src5_valid), // .valid + .cp_data (cmd_xbar_demux_001_src5_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src5_channel), // .channel + .rf_sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) push_switches_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src6_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src6_valid), // .valid + .cp_data (cmd_xbar_demux_001_src6_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src6_channel), // .channel + .rf_sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex0_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src7_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src7_valid), // .valid + .cp_data (cmd_xbar_demux_001_src7_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src7_channel), // .channel + .rf_sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex1_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex1_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex1_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex1_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex1_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src8_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src8_valid), // .valid + .cp_data (cmd_xbar_demux_001_src8_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src8_channel), // .channel + .rf_sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex2_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex2_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex2_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex2_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex2_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src9_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src9_valid), // .valid + .cp_data (cmd_xbar_demux_001_src9_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src9_channel), // .channel + .rf_sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex3_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex3_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex3_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex3_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex3_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src10_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src10_valid), // .valid + .cp_data (cmd_xbar_demux_001_src10_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src10_channel), // .channel + .rf_sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex4_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex4_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex4_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex4_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex4_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src11_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src11_valid), // .valid + .cp_data (cmd_xbar_demux_001_src11_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src11_channel), // .channel + .rf_sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex5_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex5_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex5_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex5_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex5_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src12_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src12_valid), // .valid + .cp_data (cmd_xbar_demux_001_src12_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src12_channel), // .channel + .rf_sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex6_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex6_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex6_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex6_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex6_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src13_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src13_valid), // .valid + .cp_data (cmd_xbar_demux_001_src13_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src13_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src13_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src13_channel), // .channel + .rf_sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) hex7_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (hex7_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (hex7_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (hex7_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (hex7_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src14_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src14_valid), // .valid + .cp_data (cmd_xbar_demux_001_src14_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src14_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src14_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src14_channel), // .channel + .rf_sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src15_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src15_valid), // .valid + .cp_data (cmd_xbar_demux_001_src15_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src15_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src15_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src15_channel), // .channel + .rf_sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) lcd_on_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src16_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src16_valid), // .valid + .cp_data (cmd_xbar_demux_001_src16_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src16_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src16_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src16_channel), // .channel + .rf_sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + altera_merlin_slave_agent #( + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BEGIN_BURST (74), + .PKT_SYMBOL_W (8), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_ADDR_H (54), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (55), + .PKT_TRANS_POSTED (56), + .PKT_TRANS_WRITE (57), + .PKT_TRANS_READ (58), + .PKT_TRANS_LOCK (59), + .PKT_SRC_ID_H (80), + .PKT_SRC_ID_L (76), + .PKT_DEST_ID_H (85), + .PKT_DEST_ID_L (81), + .PKT_BURSTWRAP_H (66), + .PKT_BURSTWRAP_L (64), + .PKT_BYTE_CNT_H (63), + .PKT_BYTE_CNT_L (61), + .PKT_PROTECTION_H (89), + .PKT_PROTECTION_L (87), + .PKT_RESPONSE_STATUS_H (95), + .PKT_RESPONSE_STATUS_L (94), + .PKT_BURST_SIZE_H (69), + .PKT_BURST_SIZE_L (67), + .ST_CHANNEL_W (18), + .ST_DATA_W (96), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0) + ) lcd_blon_s1_translator_avalon_universal_slave_0_agent ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .m0_address (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address + .m0_burstcount (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount + .m0_byteenable (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable + .m0_debugaccess (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess + .m0_lock (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock + .m0_readdata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata + .m0_readdatavalid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid + .m0_read (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read + .m0_waitrequest (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest + .m0_writedata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata + .m0_write (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write + .rp_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready + .rp_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .rp_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .rp_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_xbar_demux_001_src17_ready), // cp.ready + .cp_valid (cmd_xbar_demux_001_src17_valid), // .valid + .cp_data (cmd_xbar_demux_001_src17_data), // .data + .cp_startofpacket (cmd_xbar_demux_001_src17_startofpacket), // .startofpacket + .cp_endofpacket (cmd_xbar_demux_001_src17_endofpacket), // .endofpacket + .cp_channel (cmd_xbar_demux_001_src17_channel), // .channel + .rf_sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data + .rf_source_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .rf_source_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .rdata_fifo_src_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponserequest (), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (97), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .in_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data + .in_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid + .in_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready + .in_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket + .out_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data + .out_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid + .out_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + + nios_system_addr_router addr_router ( + .sink_ready (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready + .sink_valid (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid + .sink_data (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .sink_startofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .sink_endofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (addr_router_src_ready), // src.ready + .src_valid (addr_router_src_valid), // .valid + .src_data (addr_router_src_data), // .data + .src_channel (addr_router_src_channel), // .channel + .src_startofpacket (addr_router_src_startofpacket), // .startofpacket + .src_endofpacket (addr_router_src_endofpacket) // .endofpacket + ); + + nios_system_addr_router_001 addr_router_001 ( + .sink_ready (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready + .sink_valid (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid + .sink_data (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data + .sink_startofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket + .sink_endofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (addr_router_001_src_ready), // src.ready + .src_valid (addr_router_001_src_valid), // .valid + .src_data (addr_router_001_src_data), // .data + .src_channel (addr_router_001_src_channel), // .channel + .src_startofpacket (addr_router_001_src_startofpacket), // .startofpacket + .src_endofpacket (addr_router_001_src_endofpacket) // .endofpacket + ); + + nios_system_id_router id_router ( + .sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_src_ready), // src.ready + .src_valid (id_router_src_valid), // .valid + .src_data (id_router_src_data), // .data + .src_channel (id_router_src_channel), // .channel + .src_startofpacket (id_router_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_src_endofpacket) // .endofpacket + ); + + nios_system_id_router id_router_001 ( + .sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_001_src_ready), // src.ready + .src_valid (id_router_001_src_valid), // .valid + .src_data (id_router_001_src_data), // .data + .src_channel (id_router_001_src_channel), // .channel + .src_startofpacket (id_router_001_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_001_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_002 ( + .sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_002_src_ready), // src.ready + .src_valid (id_router_002_src_valid), // .valid + .src_data (id_router_002_src_data), // .data + .src_channel (id_router_002_src_channel), // .channel + .src_startofpacket (id_router_002_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_002_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_003 ( + .sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_003_src_ready), // src.ready + .src_valid (id_router_003_src_valid), // .valid + .src_data (id_router_003_src_data), // .data + .src_channel (id_router_003_src_channel), // .channel + .src_startofpacket (id_router_003_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_003_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_004 ( + .sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_004_src_ready), // src.ready + .src_valid (id_router_004_src_valid), // .valid + .src_data (id_router_004_src_data), // .data + .src_channel (id_router_004_src_channel), // .channel + .src_startofpacket (id_router_004_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_004_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_005 ( + .sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_005_src_ready), // src.ready + .src_valid (id_router_005_src_valid), // .valid + .src_data (id_router_005_src_data), // .data + .src_channel (id_router_005_src_channel), // .channel + .src_startofpacket (id_router_005_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_005_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_006 ( + .sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_006_src_ready), // src.ready + .src_valid (id_router_006_src_valid), // .valid + .src_data (id_router_006_src_data), // .data + .src_channel (id_router_006_src_channel), // .channel + .src_startofpacket (id_router_006_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_006_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_007 ( + .sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_007_src_ready), // src.ready + .src_valid (id_router_007_src_valid), // .valid + .src_data (id_router_007_src_data), // .data + .src_channel (id_router_007_src_channel), // .channel + .src_startofpacket (id_router_007_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_007_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_008 ( + .sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_008_src_ready), // src.ready + .src_valid (id_router_008_src_valid), // .valid + .src_data (id_router_008_src_data), // .data + .src_channel (id_router_008_src_channel), // .channel + .src_startofpacket (id_router_008_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_008_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_009 ( + .sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_009_src_ready), // src.ready + .src_valid (id_router_009_src_valid), // .valid + .src_data (id_router_009_src_data), // .data + .src_channel (id_router_009_src_channel), // .channel + .src_startofpacket (id_router_009_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_009_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_010 ( + .sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_010_src_ready), // src.ready + .src_valid (id_router_010_src_valid), // .valid + .src_data (id_router_010_src_data), // .data + .src_channel (id_router_010_src_channel), // .channel + .src_startofpacket (id_router_010_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_010_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_011 ( + .sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_011_src_ready), // src.ready + .src_valid (id_router_011_src_valid), // .valid + .src_data (id_router_011_src_data), // .data + .src_channel (id_router_011_src_channel), // .channel + .src_startofpacket (id_router_011_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_011_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_012 ( + .sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_012_src_ready), // src.ready + .src_valid (id_router_012_src_valid), // .valid + .src_data (id_router_012_src_data), // .data + .src_channel (id_router_012_src_channel), // .channel + .src_startofpacket (id_router_012_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_012_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_013 ( + .sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_013_src_ready), // src.ready + .src_valid (id_router_013_src_valid), // .valid + .src_data (id_router_013_src_data), // .data + .src_channel (id_router_013_src_channel), // .channel + .src_startofpacket (id_router_013_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_013_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_014 ( + .sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_014_src_ready), // src.ready + .src_valid (id_router_014_src_valid), // .valid + .src_data (id_router_014_src_data), // .data + .src_channel (id_router_014_src_channel), // .channel + .src_startofpacket (id_router_014_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_014_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_015 ( + .sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_015_src_ready), // src.ready + .src_valid (id_router_015_src_valid), // .valid + .src_data (id_router_015_src_data), // .data + .src_channel (id_router_015_src_channel), // .channel + .src_startofpacket (id_router_015_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_015_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_016 ( + .sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_016_src_ready), // src.ready + .src_valid (id_router_016_src_valid), // .valid + .src_data (id_router_016_src_data), // .data + .src_channel (id_router_016_src_channel), // .channel + .src_startofpacket (id_router_016_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_016_src_endofpacket) // .endofpacket + ); + + nios_system_id_router_002 id_router_017 ( + .sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready + .sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid + .sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data + .sink_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (id_router_017_src_ready), // src.ready + .src_valid (id_router_017_src_valid), // .valid + .src_data (id_router_017_src_data), // .data + .src_channel (id_router_017_src_channel), // .channel + .src_startofpacket (id_router_017_src_startofpacket), // .startofpacket + .src_endofpacket (id_router_017_src_endofpacket) // .endofpacket + ); + + altera_reset_controller #( + .NUM_RESET_INPUTS (2), + .OUTPUT_RESET_SYNC_EDGES ("deassert"), + .SYNC_DEPTH (2), + .RESET_REQUEST_PRESENT (1) + ) rst_controller ( + .reset_in0 (~reset_reset_n), // reset_in0.reset + .reset_in1 (nios2_processor_jtag_debug_module_reset_reset), // reset_in1.reset + .clk (clk_clk), // clk.clk + .reset_out (rst_controller_reset_out_reset), // reset_out.reset + .reset_req (rst_controller_reset_out_reset_req), // .reset_req + .reset_in2 (1'b0), // (terminated) + .reset_in3 (1'b0), // (terminated) + .reset_in4 (1'b0), // (terminated) + .reset_in5 (1'b0), // (terminated) + .reset_in6 (1'b0), // (terminated) + .reset_in7 (1'b0), // (terminated) + .reset_in8 (1'b0), // (terminated) + .reset_in9 (1'b0), // (terminated) + .reset_in10 (1'b0), // (terminated) + .reset_in11 (1'b0), // (terminated) + .reset_in12 (1'b0), // (terminated) + .reset_in13 (1'b0), // (terminated) + .reset_in14 (1'b0), // (terminated) + .reset_in15 (1'b0) // (terminated) + ); + + nios_system_cmd_xbar_demux cmd_xbar_demux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (addr_router_src_ready), // sink.ready + .sink_channel (addr_router_src_channel), // .channel + .sink_data (addr_router_src_data), // .data + .sink_startofpacket (addr_router_src_startofpacket), // .startofpacket + .sink_endofpacket (addr_router_src_endofpacket), // .endofpacket + .sink_valid (addr_router_src_valid), // .valid + .src0_ready (cmd_xbar_demux_src0_ready), // src0.ready + .src0_valid (cmd_xbar_demux_src0_valid), // .valid + .src0_data (cmd_xbar_demux_src0_data), // .data + .src0_channel (cmd_xbar_demux_src0_channel), // .channel + .src0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket + .src0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket + .src1_ready (cmd_xbar_demux_src1_ready), // src1.ready + .src1_valid (cmd_xbar_demux_src1_valid), // .valid + .src1_data (cmd_xbar_demux_src1_data), // .data + .src1_channel (cmd_xbar_demux_src1_channel), // .channel + .src1_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket + .src1_endofpacket (cmd_xbar_demux_src1_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_demux_001 cmd_xbar_demux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (addr_router_001_src_ready), // sink.ready + .sink_channel (addr_router_001_src_channel), // .channel + .sink_data (addr_router_001_src_data), // .data + .sink_startofpacket (addr_router_001_src_startofpacket), // .startofpacket + .sink_endofpacket (addr_router_001_src_endofpacket), // .endofpacket + .sink_valid (addr_router_001_src_valid), // .valid + .src0_ready (cmd_xbar_demux_001_src0_ready), // src0.ready + .src0_valid (cmd_xbar_demux_001_src0_valid), // .valid + .src0_data (cmd_xbar_demux_001_src0_data), // .data + .src0_channel (cmd_xbar_demux_001_src0_channel), // .channel + .src0_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket + .src0_endofpacket (cmd_xbar_demux_001_src0_endofpacket), // .endofpacket + .src1_ready (cmd_xbar_demux_001_src1_ready), // src1.ready + .src1_valid (cmd_xbar_demux_001_src1_valid), // .valid + .src1_data (cmd_xbar_demux_001_src1_data), // .data + .src1_channel (cmd_xbar_demux_001_src1_channel), // .channel + .src1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket + .src1_endofpacket (cmd_xbar_demux_001_src1_endofpacket), // .endofpacket + .src2_ready (cmd_xbar_demux_001_src2_ready), // src2.ready + .src2_valid (cmd_xbar_demux_001_src2_valid), // .valid + .src2_data (cmd_xbar_demux_001_src2_data), // .data + .src2_channel (cmd_xbar_demux_001_src2_channel), // .channel + .src2_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket + .src2_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket + .src3_ready (cmd_xbar_demux_001_src3_ready), // src3.ready + .src3_valid (cmd_xbar_demux_001_src3_valid), // .valid + .src3_data (cmd_xbar_demux_001_src3_data), // .data + .src3_channel (cmd_xbar_demux_001_src3_channel), // .channel + .src3_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket + .src3_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket + .src4_ready (cmd_xbar_demux_001_src4_ready), // src4.ready + .src4_valid (cmd_xbar_demux_001_src4_valid), // .valid + .src4_data (cmd_xbar_demux_001_src4_data), // .data + .src4_channel (cmd_xbar_demux_001_src4_channel), // .channel + .src4_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket + .src4_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket + .src5_ready (cmd_xbar_demux_001_src5_ready), // src5.ready + .src5_valid (cmd_xbar_demux_001_src5_valid), // .valid + .src5_data (cmd_xbar_demux_001_src5_data), // .data + .src5_channel (cmd_xbar_demux_001_src5_channel), // .channel + .src5_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket + .src5_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket + .src6_ready (cmd_xbar_demux_001_src6_ready), // src6.ready + .src6_valid (cmd_xbar_demux_001_src6_valid), // .valid + .src6_data (cmd_xbar_demux_001_src6_data), // .data + .src6_channel (cmd_xbar_demux_001_src6_channel), // .channel + .src6_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket + .src6_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket + .src7_ready (cmd_xbar_demux_001_src7_ready), // src7.ready + .src7_valid (cmd_xbar_demux_001_src7_valid), // .valid + .src7_data (cmd_xbar_demux_001_src7_data), // .data + .src7_channel (cmd_xbar_demux_001_src7_channel), // .channel + .src7_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket + .src7_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket + .src8_ready (cmd_xbar_demux_001_src8_ready), // src8.ready + .src8_valid (cmd_xbar_demux_001_src8_valid), // .valid + .src8_data (cmd_xbar_demux_001_src8_data), // .data + .src8_channel (cmd_xbar_demux_001_src8_channel), // .channel + .src8_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket + .src8_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket + .src9_ready (cmd_xbar_demux_001_src9_ready), // src9.ready + .src9_valid (cmd_xbar_demux_001_src9_valid), // .valid + .src9_data (cmd_xbar_demux_001_src9_data), // .data + .src9_channel (cmd_xbar_demux_001_src9_channel), // .channel + .src9_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket + .src9_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket + .src10_ready (cmd_xbar_demux_001_src10_ready), // src10.ready + .src10_valid (cmd_xbar_demux_001_src10_valid), // .valid + .src10_data (cmd_xbar_demux_001_src10_data), // .data + .src10_channel (cmd_xbar_demux_001_src10_channel), // .channel + .src10_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket + .src10_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket + .src11_ready (cmd_xbar_demux_001_src11_ready), // src11.ready + .src11_valid (cmd_xbar_demux_001_src11_valid), // .valid + .src11_data (cmd_xbar_demux_001_src11_data), // .data + .src11_channel (cmd_xbar_demux_001_src11_channel), // .channel + .src11_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket + .src11_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket + .src12_ready (cmd_xbar_demux_001_src12_ready), // src12.ready + .src12_valid (cmd_xbar_demux_001_src12_valid), // .valid + .src12_data (cmd_xbar_demux_001_src12_data), // .data + .src12_channel (cmd_xbar_demux_001_src12_channel), // .channel + .src12_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket + .src12_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket + .src13_ready (cmd_xbar_demux_001_src13_ready), // src13.ready + .src13_valid (cmd_xbar_demux_001_src13_valid), // .valid + .src13_data (cmd_xbar_demux_001_src13_data), // .data + .src13_channel (cmd_xbar_demux_001_src13_channel), // .channel + .src13_startofpacket (cmd_xbar_demux_001_src13_startofpacket), // .startofpacket + .src13_endofpacket (cmd_xbar_demux_001_src13_endofpacket), // .endofpacket + .src14_ready (cmd_xbar_demux_001_src14_ready), // src14.ready + .src14_valid (cmd_xbar_demux_001_src14_valid), // .valid + .src14_data (cmd_xbar_demux_001_src14_data), // .data + .src14_channel (cmd_xbar_demux_001_src14_channel), // .channel + .src14_startofpacket (cmd_xbar_demux_001_src14_startofpacket), // .startofpacket + .src14_endofpacket (cmd_xbar_demux_001_src14_endofpacket), // .endofpacket + .src15_ready (cmd_xbar_demux_001_src15_ready), // src15.ready + .src15_valid (cmd_xbar_demux_001_src15_valid), // .valid + .src15_data (cmd_xbar_demux_001_src15_data), // .data + .src15_channel (cmd_xbar_demux_001_src15_channel), // .channel + .src15_startofpacket (cmd_xbar_demux_001_src15_startofpacket), // .startofpacket + .src15_endofpacket (cmd_xbar_demux_001_src15_endofpacket), // .endofpacket + .src16_ready (cmd_xbar_demux_001_src16_ready), // src16.ready + .src16_valid (cmd_xbar_demux_001_src16_valid), // .valid + .src16_data (cmd_xbar_demux_001_src16_data), // .data + .src16_channel (cmd_xbar_demux_001_src16_channel), // .channel + .src16_startofpacket (cmd_xbar_demux_001_src16_startofpacket), // .startofpacket + .src16_endofpacket (cmd_xbar_demux_001_src16_endofpacket), // .endofpacket + .src17_ready (cmd_xbar_demux_001_src17_ready), // src17.ready + .src17_valid (cmd_xbar_demux_001_src17_valid), // .valid + .src17_data (cmd_xbar_demux_001_src17_data), // .data + .src17_channel (cmd_xbar_demux_001_src17_channel), // .channel + .src17_startofpacket (cmd_xbar_demux_001_src17_startofpacket), // .startofpacket + .src17_endofpacket (cmd_xbar_demux_001_src17_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_mux cmd_xbar_mux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (cmd_xbar_mux_src_ready), // src.ready + .src_valid (cmd_xbar_mux_src_valid), // .valid + .src_data (cmd_xbar_mux_src_data), // .data + .src_channel (cmd_xbar_mux_src_channel), // .channel + .src_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket + .src_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket + .sink0_ready (cmd_xbar_demux_src0_ready), // sink0.ready + .sink0_valid (cmd_xbar_demux_src0_valid), // .valid + .sink0_channel (cmd_xbar_demux_src0_channel), // .channel + .sink0_data (cmd_xbar_demux_src0_data), // .data + .sink0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket + .sink0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket + .sink1_ready (cmd_xbar_demux_001_src0_ready), // sink1.ready + .sink1_valid (cmd_xbar_demux_001_src0_valid), // .valid + .sink1_channel (cmd_xbar_demux_001_src0_channel), // .channel + .sink1_data (cmd_xbar_demux_001_src0_data), // .data + .sink1_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket + .sink1_endofpacket (cmd_xbar_demux_001_src0_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_mux cmd_xbar_mux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (cmd_xbar_mux_001_src_ready), // src.ready + .src_valid (cmd_xbar_mux_001_src_valid), // .valid + .src_data (cmd_xbar_mux_001_src_data), // .data + .src_channel (cmd_xbar_mux_001_src_channel), // .channel + .src_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket + .src_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket + .sink0_ready (cmd_xbar_demux_src1_ready), // sink0.ready + .sink0_valid (cmd_xbar_demux_src1_valid), // .valid + .sink0_channel (cmd_xbar_demux_src1_channel), // .channel + .sink0_data (cmd_xbar_demux_src1_data), // .data + .sink0_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket + .sink0_endofpacket (cmd_xbar_demux_src1_endofpacket), // .endofpacket + .sink1_ready (cmd_xbar_demux_001_src1_ready), // sink1.ready + .sink1_valid (cmd_xbar_demux_001_src1_valid), // .valid + .sink1_channel (cmd_xbar_demux_001_src1_channel), // .channel + .sink1_data (cmd_xbar_demux_001_src1_data), // .data + .sink1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket + .sink1_endofpacket (cmd_xbar_demux_001_src1_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_demux rsp_xbar_demux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_src_ready), // sink.ready + .sink_channel (id_router_src_channel), // .channel + .sink_data (id_router_src_data), // .data + .sink_startofpacket (id_router_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_src_endofpacket), // .endofpacket + .sink_valid (id_router_src_valid), // .valid + .src0_ready (rsp_xbar_demux_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_src0_valid), // .valid + .src0_data (rsp_xbar_demux_src0_data), // .data + .src0_channel (rsp_xbar_demux_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket + .src1_ready (rsp_xbar_demux_src1_ready), // src1.ready + .src1_valid (rsp_xbar_demux_src1_valid), // .valid + .src1_data (rsp_xbar_demux_src1_data), // .data + .src1_channel (rsp_xbar_demux_src1_channel), // .channel + .src1_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket + .src1_endofpacket (rsp_xbar_demux_src1_endofpacket) // .endofpacket + ); + + nios_system_cmd_xbar_demux rsp_xbar_demux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_001_src_ready), // sink.ready + .sink_channel (id_router_001_src_channel), // .channel + .sink_data (id_router_001_src_data), // .data + .sink_startofpacket (id_router_001_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_001_src_endofpacket), // .endofpacket + .sink_valid (id_router_001_src_valid), // .valid + .src0_ready (rsp_xbar_demux_001_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_001_src0_valid), // .valid + .src0_data (rsp_xbar_demux_001_src0_data), // .data + .src0_channel (rsp_xbar_demux_001_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_001_src0_endofpacket), // .endofpacket + .src1_ready (rsp_xbar_demux_001_src1_ready), // src1.ready + .src1_valid (rsp_xbar_demux_001_src1_valid), // .valid + .src1_data (rsp_xbar_demux_001_src1_data), // .data + .src1_channel (rsp_xbar_demux_001_src1_channel), // .channel + .src1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket + .src1_endofpacket (rsp_xbar_demux_001_src1_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_002 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_002_src_ready), // sink.ready + .sink_channel (id_router_002_src_channel), // .channel + .sink_data (id_router_002_src_data), // .data + .sink_startofpacket (id_router_002_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_002_src_endofpacket), // .endofpacket + .sink_valid (id_router_002_src_valid), // .valid + .src0_ready (rsp_xbar_demux_002_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_002_src0_valid), // .valid + .src0_data (rsp_xbar_demux_002_src0_data), // .data + .src0_channel (rsp_xbar_demux_002_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_002_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_003 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_003_src_ready), // sink.ready + .sink_channel (id_router_003_src_channel), // .channel + .sink_data (id_router_003_src_data), // .data + .sink_startofpacket (id_router_003_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_003_src_endofpacket), // .endofpacket + .sink_valid (id_router_003_src_valid), // .valid + .src0_ready (rsp_xbar_demux_003_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_003_src0_valid), // .valid + .src0_data (rsp_xbar_demux_003_src0_data), // .data + .src0_channel (rsp_xbar_demux_003_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_003_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_004 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_004_src_ready), // sink.ready + .sink_channel (id_router_004_src_channel), // .channel + .sink_data (id_router_004_src_data), // .data + .sink_startofpacket (id_router_004_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_004_src_endofpacket), // .endofpacket + .sink_valid (id_router_004_src_valid), // .valid + .src0_ready (rsp_xbar_demux_004_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_004_src0_valid), // .valid + .src0_data (rsp_xbar_demux_004_src0_data), // .data + .src0_channel (rsp_xbar_demux_004_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_004_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_005 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_005_src_ready), // sink.ready + .sink_channel (id_router_005_src_channel), // .channel + .sink_data (id_router_005_src_data), // .data + .sink_startofpacket (id_router_005_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_005_src_endofpacket), // .endofpacket + .sink_valid (id_router_005_src_valid), // .valid + .src0_ready (rsp_xbar_demux_005_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_005_src0_valid), // .valid + .src0_data (rsp_xbar_demux_005_src0_data), // .data + .src0_channel (rsp_xbar_demux_005_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_005_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_006 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_006_src_ready), // sink.ready + .sink_channel (id_router_006_src_channel), // .channel + .sink_data (id_router_006_src_data), // .data + .sink_startofpacket (id_router_006_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_006_src_endofpacket), // .endofpacket + .sink_valid (id_router_006_src_valid), // .valid + .src0_ready (rsp_xbar_demux_006_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_006_src0_valid), // .valid + .src0_data (rsp_xbar_demux_006_src0_data), // .data + .src0_channel (rsp_xbar_demux_006_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_006_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_007 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_007_src_ready), // sink.ready + .sink_channel (id_router_007_src_channel), // .channel + .sink_data (id_router_007_src_data), // .data + .sink_startofpacket (id_router_007_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_007_src_endofpacket), // .endofpacket + .sink_valid (id_router_007_src_valid), // .valid + .src0_ready (rsp_xbar_demux_007_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_007_src0_valid), // .valid + .src0_data (rsp_xbar_demux_007_src0_data), // .data + .src0_channel (rsp_xbar_demux_007_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_007_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_008 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_008_src_ready), // sink.ready + .sink_channel (id_router_008_src_channel), // .channel + .sink_data (id_router_008_src_data), // .data + .sink_startofpacket (id_router_008_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_008_src_endofpacket), // .endofpacket + .sink_valid (id_router_008_src_valid), // .valid + .src0_ready (rsp_xbar_demux_008_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_008_src0_valid), // .valid + .src0_data (rsp_xbar_demux_008_src0_data), // .data + .src0_channel (rsp_xbar_demux_008_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_008_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_009 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_009_src_ready), // sink.ready + .sink_channel (id_router_009_src_channel), // .channel + .sink_data (id_router_009_src_data), // .data + .sink_startofpacket (id_router_009_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_009_src_endofpacket), // .endofpacket + .sink_valid (id_router_009_src_valid), // .valid + .src0_ready (rsp_xbar_demux_009_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_009_src0_valid), // .valid + .src0_data (rsp_xbar_demux_009_src0_data), // .data + .src0_channel (rsp_xbar_demux_009_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_009_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_010 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_010_src_ready), // sink.ready + .sink_channel (id_router_010_src_channel), // .channel + .sink_data (id_router_010_src_data), // .data + .sink_startofpacket (id_router_010_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_010_src_endofpacket), // .endofpacket + .sink_valid (id_router_010_src_valid), // .valid + .src0_ready (rsp_xbar_demux_010_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_010_src0_valid), // .valid + .src0_data (rsp_xbar_demux_010_src0_data), // .data + .src0_channel (rsp_xbar_demux_010_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_010_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_011 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_011_src_ready), // sink.ready + .sink_channel (id_router_011_src_channel), // .channel + .sink_data (id_router_011_src_data), // .data + .sink_startofpacket (id_router_011_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_011_src_endofpacket), // .endofpacket + .sink_valid (id_router_011_src_valid), // .valid + .src0_ready (rsp_xbar_demux_011_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_011_src0_valid), // .valid + .src0_data (rsp_xbar_demux_011_src0_data), // .data + .src0_channel (rsp_xbar_demux_011_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_011_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_012 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_012_src_ready), // sink.ready + .sink_channel (id_router_012_src_channel), // .channel + .sink_data (id_router_012_src_data), // .data + .sink_startofpacket (id_router_012_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_012_src_endofpacket), // .endofpacket + .sink_valid (id_router_012_src_valid), // .valid + .src0_ready (rsp_xbar_demux_012_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_012_src0_valid), // .valid + .src0_data (rsp_xbar_demux_012_src0_data), // .data + .src0_channel (rsp_xbar_demux_012_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_012_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_013 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_013_src_ready), // sink.ready + .sink_channel (id_router_013_src_channel), // .channel + .sink_data (id_router_013_src_data), // .data + .sink_startofpacket (id_router_013_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_013_src_endofpacket), // .endofpacket + .sink_valid (id_router_013_src_valid), // .valid + .src0_ready (rsp_xbar_demux_013_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_013_src0_valid), // .valid + .src0_data (rsp_xbar_demux_013_src0_data), // .data + .src0_channel (rsp_xbar_demux_013_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_013_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_013_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_014 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_014_src_ready), // sink.ready + .sink_channel (id_router_014_src_channel), // .channel + .sink_data (id_router_014_src_data), // .data + .sink_startofpacket (id_router_014_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_014_src_endofpacket), // .endofpacket + .sink_valid (id_router_014_src_valid), // .valid + .src0_ready (rsp_xbar_demux_014_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_014_src0_valid), // .valid + .src0_data (rsp_xbar_demux_014_src0_data), // .data + .src0_channel (rsp_xbar_demux_014_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_014_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_014_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_015 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_015_src_ready), // sink.ready + .sink_channel (id_router_015_src_channel), // .channel + .sink_data (id_router_015_src_data), // .data + .sink_startofpacket (id_router_015_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_015_src_endofpacket), // .endofpacket + .sink_valid (id_router_015_src_valid), // .valid + .src0_ready (rsp_xbar_demux_015_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_015_src0_valid), // .valid + .src0_data (rsp_xbar_demux_015_src0_data), // .data + .src0_channel (rsp_xbar_demux_015_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_015_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_015_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_016 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_016_src_ready), // sink.ready + .sink_channel (id_router_016_src_channel), // .channel + .sink_data (id_router_016_src_data), // .data + .sink_startofpacket (id_router_016_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_016_src_endofpacket), // .endofpacket + .sink_valid (id_router_016_src_valid), // .valid + .src0_ready (rsp_xbar_demux_016_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_016_src0_valid), // .valid + .src0_data (rsp_xbar_demux_016_src0_data), // .data + .src0_channel (rsp_xbar_demux_016_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_016_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_016_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_demux_002 rsp_xbar_demux_017 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .sink_ready (id_router_017_src_ready), // sink.ready + .sink_channel (id_router_017_src_channel), // .channel + .sink_data (id_router_017_src_data), // .data + .sink_startofpacket (id_router_017_src_startofpacket), // .startofpacket + .sink_endofpacket (id_router_017_src_endofpacket), // .endofpacket + .sink_valid (id_router_017_src_valid), // .valid + .src0_ready (rsp_xbar_demux_017_src0_ready), // src0.ready + .src0_valid (rsp_xbar_demux_017_src0_valid), // .valid + .src0_data (rsp_xbar_demux_017_src0_data), // .data + .src0_channel (rsp_xbar_demux_017_src0_channel), // .channel + .src0_startofpacket (rsp_xbar_demux_017_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_xbar_demux_017_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_mux rsp_xbar_mux ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (rsp_xbar_mux_src_ready), // src.ready + .src_valid (rsp_xbar_mux_src_valid), // .valid + .src_data (rsp_xbar_mux_src_data), // .data + .src_channel (rsp_xbar_mux_src_channel), // .channel + .src_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket + .src_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket + .sink0_ready (rsp_xbar_demux_src0_ready), // sink0.ready + .sink0_valid (rsp_xbar_demux_src0_valid), // .valid + .sink0_channel (rsp_xbar_demux_src0_channel), // .channel + .sink0_data (rsp_xbar_demux_src0_data), // .data + .sink0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket + .sink0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket + .sink1_ready (rsp_xbar_demux_001_src0_ready), // sink1.ready + .sink1_valid (rsp_xbar_demux_001_src0_valid), // .valid + .sink1_channel (rsp_xbar_demux_001_src0_channel), // .channel + .sink1_data (rsp_xbar_demux_001_src0_data), // .data + .sink1_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket + .sink1_endofpacket (rsp_xbar_demux_001_src0_endofpacket) // .endofpacket + ); + + nios_system_rsp_xbar_mux_001 rsp_xbar_mux_001 ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .src_ready (rsp_xbar_mux_001_src_ready), // src.ready + .src_valid (rsp_xbar_mux_001_src_valid), // .valid + .src_data (rsp_xbar_mux_001_src_data), // .data + .src_channel (rsp_xbar_mux_001_src_channel), // .channel + .src_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket + .src_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket + .sink0_ready (rsp_xbar_demux_src1_ready), // sink0.ready + .sink0_valid (rsp_xbar_demux_src1_valid), // .valid + .sink0_channel (rsp_xbar_demux_src1_channel), // .channel + .sink0_data (rsp_xbar_demux_src1_data), // .data + .sink0_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket + .sink0_endofpacket (rsp_xbar_demux_src1_endofpacket), // .endofpacket + .sink1_ready (rsp_xbar_demux_001_src1_ready), // sink1.ready + .sink1_valid (rsp_xbar_demux_001_src1_valid), // .valid + .sink1_channel (rsp_xbar_demux_001_src1_channel), // .channel + .sink1_data (rsp_xbar_demux_001_src1_data), // .data + .sink1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket + .sink1_endofpacket (rsp_xbar_demux_001_src1_endofpacket), // .endofpacket + .sink2_ready (rsp_xbar_demux_002_src0_ready), // sink2.ready + .sink2_valid (rsp_xbar_demux_002_src0_valid), // .valid + .sink2_channel (rsp_xbar_demux_002_src0_channel), // .channel + .sink2_data (rsp_xbar_demux_002_src0_data), // .data + .sink2_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket + .sink2_endofpacket (rsp_xbar_demux_002_src0_endofpacket), // .endofpacket + .sink3_ready (rsp_xbar_demux_003_src0_ready), // sink3.ready + .sink3_valid (rsp_xbar_demux_003_src0_valid), // .valid + .sink3_channel (rsp_xbar_demux_003_src0_channel), // .channel + .sink3_data (rsp_xbar_demux_003_src0_data), // .data + .sink3_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket + .sink3_endofpacket (rsp_xbar_demux_003_src0_endofpacket), // .endofpacket + .sink4_ready (rsp_xbar_demux_004_src0_ready), // sink4.ready + .sink4_valid (rsp_xbar_demux_004_src0_valid), // .valid + .sink4_channel (rsp_xbar_demux_004_src0_channel), // .channel + .sink4_data (rsp_xbar_demux_004_src0_data), // .data + .sink4_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket + .sink4_endofpacket (rsp_xbar_demux_004_src0_endofpacket), // .endofpacket + .sink5_ready (rsp_xbar_demux_005_src0_ready), // sink5.ready + .sink5_valid (rsp_xbar_demux_005_src0_valid), // .valid + .sink5_channel (rsp_xbar_demux_005_src0_channel), // .channel + .sink5_data (rsp_xbar_demux_005_src0_data), // .data + .sink5_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket + .sink5_endofpacket (rsp_xbar_demux_005_src0_endofpacket), // .endofpacket + .sink6_ready (rsp_xbar_demux_006_src0_ready), // sink6.ready + .sink6_valid (rsp_xbar_demux_006_src0_valid), // .valid + .sink6_channel (rsp_xbar_demux_006_src0_channel), // .channel + .sink6_data (rsp_xbar_demux_006_src0_data), // .data + .sink6_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket + .sink6_endofpacket (rsp_xbar_demux_006_src0_endofpacket), // .endofpacket + .sink7_ready (rsp_xbar_demux_007_src0_ready), // sink7.ready + .sink7_valid (rsp_xbar_demux_007_src0_valid), // .valid + .sink7_channel (rsp_xbar_demux_007_src0_channel), // .channel + .sink7_data (rsp_xbar_demux_007_src0_data), // .data + .sink7_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket + .sink7_endofpacket (rsp_xbar_demux_007_src0_endofpacket), // .endofpacket + .sink8_ready (rsp_xbar_demux_008_src0_ready), // sink8.ready + .sink8_valid (rsp_xbar_demux_008_src0_valid), // .valid + .sink8_channel (rsp_xbar_demux_008_src0_channel), // .channel + .sink8_data (rsp_xbar_demux_008_src0_data), // .data + .sink8_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket + .sink8_endofpacket (rsp_xbar_demux_008_src0_endofpacket), // .endofpacket + .sink9_ready (rsp_xbar_demux_009_src0_ready), // sink9.ready + .sink9_valid (rsp_xbar_demux_009_src0_valid), // .valid + .sink9_channel (rsp_xbar_demux_009_src0_channel), // .channel + .sink9_data (rsp_xbar_demux_009_src0_data), // .data + .sink9_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket + .sink9_endofpacket (rsp_xbar_demux_009_src0_endofpacket), // .endofpacket + .sink10_ready (rsp_xbar_demux_010_src0_ready), // sink10.ready + .sink10_valid (rsp_xbar_demux_010_src0_valid), // .valid + .sink10_channel (rsp_xbar_demux_010_src0_channel), // .channel + .sink10_data (rsp_xbar_demux_010_src0_data), // .data + .sink10_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket + .sink10_endofpacket (rsp_xbar_demux_010_src0_endofpacket), // .endofpacket + .sink11_ready (rsp_xbar_demux_011_src0_ready), // sink11.ready + .sink11_valid (rsp_xbar_demux_011_src0_valid), // .valid + .sink11_channel (rsp_xbar_demux_011_src0_channel), // .channel + .sink11_data (rsp_xbar_demux_011_src0_data), // .data + .sink11_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket + .sink11_endofpacket (rsp_xbar_demux_011_src0_endofpacket), // .endofpacket + .sink12_ready (rsp_xbar_demux_012_src0_ready), // sink12.ready + .sink12_valid (rsp_xbar_demux_012_src0_valid), // .valid + .sink12_channel (rsp_xbar_demux_012_src0_channel), // .channel + .sink12_data (rsp_xbar_demux_012_src0_data), // .data + .sink12_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket + .sink12_endofpacket (rsp_xbar_demux_012_src0_endofpacket), // .endofpacket + .sink13_ready (rsp_xbar_demux_013_src0_ready), // sink13.ready + .sink13_valid (rsp_xbar_demux_013_src0_valid), // .valid + .sink13_channel (rsp_xbar_demux_013_src0_channel), // .channel + .sink13_data (rsp_xbar_demux_013_src0_data), // .data + .sink13_startofpacket (rsp_xbar_demux_013_src0_startofpacket), // .startofpacket + .sink13_endofpacket (rsp_xbar_demux_013_src0_endofpacket), // .endofpacket + .sink14_ready (rsp_xbar_demux_014_src0_ready), // sink14.ready + .sink14_valid (rsp_xbar_demux_014_src0_valid), // .valid + .sink14_channel (rsp_xbar_demux_014_src0_channel), // .channel + .sink14_data (rsp_xbar_demux_014_src0_data), // .data + .sink14_startofpacket (rsp_xbar_demux_014_src0_startofpacket), // .startofpacket + .sink14_endofpacket (rsp_xbar_demux_014_src0_endofpacket), // .endofpacket + .sink15_ready (rsp_xbar_demux_015_src0_ready), // sink15.ready + .sink15_valid (rsp_xbar_demux_015_src0_valid), // .valid + .sink15_channel (rsp_xbar_demux_015_src0_channel), // .channel + .sink15_data (rsp_xbar_demux_015_src0_data), // .data + .sink15_startofpacket (rsp_xbar_demux_015_src0_startofpacket), // .startofpacket + .sink15_endofpacket (rsp_xbar_demux_015_src0_endofpacket), // .endofpacket + .sink16_ready (rsp_xbar_demux_016_src0_ready), // sink16.ready + .sink16_valid (rsp_xbar_demux_016_src0_valid), // .valid + .sink16_channel (rsp_xbar_demux_016_src0_channel), // .channel + .sink16_data (rsp_xbar_demux_016_src0_data), // .data + .sink16_startofpacket (rsp_xbar_demux_016_src0_startofpacket), // .startofpacket + .sink16_endofpacket (rsp_xbar_demux_016_src0_endofpacket), // .endofpacket + .sink17_ready (rsp_xbar_demux_017_src0_ready), // sink17.ready + .sink17_valid (rsp_xbar_demux_017_src0_valid), // .valid + .sink17_channel (rsp_xbar_demux_017_src0_channel), // .channel + .sink17_data (rsp_xbar_demux_017_src0_data), // .data + .sink17_startofpacket (rsp_xbar_demux_017_src0_startofpacket), // .startofpacket + .sink17_endofpacket (rsp_xbar_demux_017_src0_endofpacket) // .endofpacket + ); + + nios_system_irq_mapper irq_mapper ( + .clk (clk_clk), // clk.clk + .reset (rst_controller_reset_out_reset), // clk_reset.reset + .receiver0_irq (irq_mapper_receiver0_irq), // receiver0.irq + .sender_irq (nios2_processor_d_irq_irq) // sender.irq + ); + +endmodule diff --git a/nios_system/synthesis/submodules/altera_avalon_sc_fifo.v b/nios_system/synthesis/submodules/altera_avalon_sc_fifo.v new file mode 100644 index 0000000..94e2787 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_avalon_sc_fifo.v @@ -0,0 +1,877 @@ +// ----------------------------------------------------------- +// Legal Notice: (C)2007 Altera Corporation. All rights reserved. Your +// use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any +// output files any of the foregoing (including device programming or +// simulation files), and any associated documentation or information are +// expressly subject to the terms and conditions of the Altera Program +// License Subscription Agreement or other applicable license agreement, +// including, without limitation, that your use is for the sole purpose +// of programming logic devices manufactured by Altera and sold by Altera +// or its authorized distributors. Please refer to the applicable +// agreement for further details. +// +// Description: Single clock Avalon-ST FIFO. +// ----------------------------------------------------------- + +`timescale 1 ns / 1 ns + + +//altera message_off 10036 +module altera_avalon_sc_fifo +#( + // -------------------------------------------------- + // Parameters + // -------------------------------------------------- + parameter SYMBOLS_PER_BEAT = 1, + parameter BITS_PER_SYMBOL = 8, + parameter FIFO_DEPTH = 16, + parameter CHANNEL_WIDTH = 0, + parameter ERROR_WIDTH = 0, + parameter USE_PACKETS = 0, + parameter USE_FILL_LEVEL = 0, + parameter USE_STORE_FORWARD = 0, + parameter USE_ALMOST_FULL_IF = 0, + parameter USE_ALMOST_EMPTY_IF = 0, + + // -------------------------------------------------- + // Empty latency is defined as the number of cycles + // required for a write to deassert the empty flag. + // For example, a latency of 1 means that the empty + // flag is deasserted on the cycle after a write. + // + // Another way to think of it is the latency for a + // write to propagate to the output. + // + // An empty latency of 0 implies lookahead, which is + // only implemented for the register-based FIFO. + // -------------------------------------------------- + parameter EMPTY_LATENCY = 3, + parameter USE_MEMORY_BLOCKS = 1, + + // -------------------------------------------------- + // Internal Parameters + // -------------------------------------------------- + parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, + parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) +) +( + // -------------------------------------------------- + // Ports + // -------------------------------------------------- + input clk, + input reset, + + input [DATA_WIDTH-1: 0] in_data, + input in_valid, + input in_startofpacket, + input in_endofpacket, + input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, + input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, + input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, + output in_ready, + + output [DATA_WIDTH-1 : 0] out_data, + output reg out_valid, + output out_startofpacket, + output out_endofpacket, + output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, + output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, + output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, + input out_ready, + + input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, + input csr_write, + input csr_read, + input [31 : 0] csr_writedata, + output reg [31 : 0] csr_readdata, + + output wire almost_full_data, + output wire almost_empty_data +); + + // -------------------------------------------------- + // Local Parameters + // -------------------------------------------------- + localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); + localparam DEPTH = FIFO_DEPTH; + localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; + localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? + 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; + + // -------------------------------------------------- + // Internal Signals + // -------------------------------------------------- + genvar i; + + reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; + reg [ADDR_WIDTH-1 : 0] wr_ptr; + reg [ADDR_WIDTH-1 : 0] rd_ptr; + reg [DEPTH-1 : 0] mem_used; + + wire [ADDR_WIDTH-1 : 0] next_wr_ptr; + wire [ADDR_WIDTH-1 : 0] next_rd_ptr; + wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; + wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; + + wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; + + wire read; + wire write; + + reg empty; + reg next_empty; + reg full; + reg next_full; + + wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; + wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; + wire [PAYLOAD_WIDTH-1 : 0] in_payload; + reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; + reg [PAYLOAD_WIDTH-1 : 0] out_payload; + + reg internal_out_valid; + wire internal_out_ready; + + reg [ADDR_WIDTH : 0] fifo_fill_level; + reg [ADDR_WIDTH : 0] fill_level; + + reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; + reg [23:0] almost_full_threshold; + reg [23:0] almost_empty_threshold; + reg [23:0] cut_through_threshold; + reg [15:0] pkt_cnt; + reg [15:0] pkt_cnt_r; + reg [15:0] pkt_cnt_plusone; + reg [15:0] pkt_cnt_minusone; + reg drop_on_error_en; + reg error_in_pkt; + reg pkt_has_started; + reg sop_has_left_fifo; + reg fifo_too_small_r; + reg pkt_cnt_eq_zero; + reg pkt_cnt_eq_one; + reg pkt_cnt_changed; + + wire wait_for_threshold; + reg pkt_mode; + wire wait_for_pkt; + wire ok_to_forward; + wire in_pkt_eop_arrive; + wire out_pkt_leave; + wire in_pkt_start; + wire in_pkt_error; + wire drop_on_error; + wire fifo_too_small; + wire out_pkt_sop_leave; + wire [31:0] max_fifo_size; + reg fifo_fill_level_lt_cut_through_threshold; + + // -------------------------------------------------- + // Define Payload + // + // Icky part where we decide which signals form the + // payload to the FIFO with generate blocks. + // -------------------------------------------------- + generate + if (EMPTY_WIDTH > 0) begin + assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; + assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; + end + else begin + assign out_empty = in_error; + assign in_packet_signals = {in_startofpacket, in_endofpacket}; + assign {out_startofpacket, out_endofpacket} = out_packet_signals; + end + endgenerate + + generate + if (USE_PACKETS) begin + if (ERROR_WIDTH > 0) begin + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; + assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = {in_packet_signals, in_data, in_error}; + assign {out_packet_signals, out_data, out_error} = out_payload; + end + end + else begin + assign out_error = in_error; + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_packet_signals, in_data, in_channel}; + assign {out_packet_signals, out_data, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = {in_packet_signals, in_data}; + assign {out_packet_signals, out_data} = out_payload; + end + end + end + else begin + assign out_packet_signals = 0; + if (ERROR_WIDTH > 0) begin + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_data, in_error, in_channel}; + assign {out_data, out_error, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = {in_data, in_error}; + assign {out_data, out_error} = out_payload; + end + end + else begin + assign out_error = in_error; + if (CHANNEL_WIDTH > 0) begin + assign in_payload = {in_data, in_channel}; + assign {out_data, out_channel} = out_payload; + end + else begin + assign out_channel = in_channel; + assign in_payload = in_data; + assign out_data = out_payload; + end + end + end + endgenerate + + // -------------------------------------------------- + // Memory-based FIFO storage + // + // To allow a ready latency of 0, the read index is + // obtained from the next read pointer and memory + // outputs are unregistered. + // + // If the empty latency is 1, we infer bypass logic + // around the memory so writes propagate to the + // outputs on the next cycle. + // + // Do not change the way this is coded: Quartus needs + // a perfect match to the template, and any attempt to + // refactor the two always blocks into one will break + // memory inference. + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin + + if (EMPTY_LATENCY == 1) begin + + always @(posedge clk) begin + if (in_valid && in_ready) + mem[wr_ptr] = in_payload; + + internal_out_payload = mem[mem_rd_ptr]; + end + + end else begin + + always @(posedge clk) begin + if (in_valid && in_ready) + mem[wr_ptr] <= in_payload; + + internal_out_payload <= mem[mem_rd_ptr]; + end + + end + + assign mem_rd_ptr = next_rd_ptr; + + end else begin + + // -------------------------------------------------- + // Register-based FIFO storage + // + // Uses a shift register as the storage element. Each + // shift register slot has a bit which indicates if + // the slot is occupied (credit to Sam H for the idea). + // The occupancy bits are contiguous and start from the + // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep + // FIFO. + // + // Each slot is enabled during a read or when it + // is unoccupied. New data is always written to every + // going-to-be-empty slot (we keep track of which ones + // are actually useful with the occupancy bits). On a + // read we shift occupied slots. + // + // The exception is the last slot, which always gets + // new data when it is unoccupied. + // -------------------------------------------------- + for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg + always @(posedge clk or posedge reset) begin + if (reset) begin + mem[i] <= 0; + end + else if (read || !mem_used[i]) begin + if (!mem_used[i+1]) + mem[i] <= in_payload; + else + mem[i] <= mem[i+1]; + end + end + end + + always @(posedge clk, posedge reset) begin + if (reset) begin + mem[DEPTH-1] <= 0; + end + else begin + if (!mem_used[DEPTH-1]) + mem[DEPTH-1] <= in_payload; + + if (DEPTH == 1) begin + if (write) + mem[DEPTH-1] <= in_payload; + end + end + end + + end + endgenerate + + assign read = internal_out_ready && internal_out_valid && ok_to_forward; + assign write = in_ready && in_valid; + + // -------------------------------------------------- + // Pointer Management + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin + + assign incremented_wr_ptr = wr_ptr + 1'b1; + assign incremented_rd_ptr = rd_ptr + 1'b1; + assign next_wr_ptr = drop_on_error ? sop_ptr : write ? incremented_wr_ptr : wr_ptr; + assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; + + always @(posedge clk or posedge reset) begin + if (reset) begin + wr_ptr <= 0; + rd_ptr <= 0; + end + else begin + wr_ptr <= next_wr_ptr; + rd_ptr <= next_rd_ptr; + end + end + + end else begin + + // -------------------------------------------------- + // Shift Register Occupancy Bits + // + // Consider a 4-deep FIFO with 2 entries: 0011 + // On a read and write, do not modify the bits. + // On a write, left-shift the bits to get 0111. + // On a read, right-shift the bits to get 0001. + // + // Also, on a write we set bit0 (the head), while + // clearing the tail on a read. + // -------------------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + mem_used[0] <= 0; + end + else begin + if (write ^ read) begin + if (read) begin + if (DEPTH > 1) + mem_used[0] <= mem_used[1]; + else + mem_used[0] <= 0; + end + if (write) + mem_used[0] <= 1; + end + end + end + + if (DEPTH > 1) begin + always @(posedge clk or posedge reset) begin + if (reset) begin + mem_used[DEPTH-1] <= 0; + end + else begin + if (write ^ read) begin + mem_used[DEPTH-1] <= 0; + if (write) + mem_used[DEPTH-1] <= mem_used[DEPTH-2]; + end + end + end + end + + for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic + always @(posedge clk, posedge reset) begin + if (reset) begin + mem_used[i] <= 0; + end + else begin + if (write ^ read) begin + if (read) + mem_used[i] <= mem_used[i+1]; + if (write) + mem_used[i] <= mem_used[i-1]; + end + end + end + end + + end + endgenerate + + + // -------------------------------------------------- + // Memory FIFO Status Management + // + // Generates the full and empty signals from the + // pointers. The FIFO is full when the next write + // pointer will be equal to the read pointer after + // a write. Reading from a FIFO clears full. + // + // The FIFO is empty when the next read pointer will + // be equal to the write pointer after a read. Writing + // to a FIFO clears empty. + // + // A simultaneous read and write must not change any of + // the empty or full flags unless there is a drop on error event. + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin + + always @* begin + next_full = full; + next_empty = empty; + + if (read && !write) begin + next_full = 1'b0; + + if (incremented_rd_ptr == wr_ptr) + next_empty = 1'b1; + end + + if (write && !read) begin + if (!drop_on_error) + next_empty = 1'b0; + else if (sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo + next_empty = 1'b1; + + if (incremented_wr_ptr == rd_ptr && !drop_on_error) + next_full = 1'b1; + end + + if (write && read && drop_on_error) begin + if (sop_ptr == next_rd_ptr) + next_empty = 1'b1; + end + end + + always @(posedge clk or posedge reset) begin + if (reset) begin + empty <= 1; + full <= 0; + end + else begin + empty <= next_empty; + full <= next_full; + end + end + + end else begin + // -------------------------------------------------- + // Register FIFO Status Management + // + // Full when the tail occupancy bit is 1. Empty when + // the head occupancy bit is 0. + // -------------------------------------------------- + always @* begin + full = mem_used[DEPTH-1]; + empty = !mem_used[0]; + + // ------------------------------------------ + // For a single slot FIFO, reading clears the + // full status immediately. + // ------------------------------------------ + if (DEPTH == 1) + full = mem_used[0] && !read; + + internal_out_payload = mem[0]; + + // ------------------------------------------ + // Writes clear empty immediately for lookahead modes. + // Note that we use in_valid instead of write to avoid + // combinational loops (in lookahead mode, qualifying + // with in_ready is meaningless). + // + // In a 1-deep FIFO, a possible combinational loop runs + // from write -> out_valid -> out_ready -> write + // ------------------------------------------ + if (EMPTY_LATENCY == 0) begin + empty = !mem_used[0] && !in_valid; + + if (!mem_used[0] && in_valid) + internal_out_payload = in_payload; + end + end + + end + endgenerate + + // -------------------------------------------------- + // Avalon-ST Signals + // + // The in_ready signal is straightforward. + // + // To match memory latency when empty latency > 1, + // out_valid assertions must be delayed by one clock + // cycle. + // + // Note: out_valid deassertions must not be delayed or + // the FIFO will underflow. + // -------------------------------------------------- + assign in_ready = !full; + assign internal_out_ready = out_ready || !out_valid; + + generate if (EMPTY_LATENCY > 1) begin + always @(posedge clk or posedge reset) begin + if (reset) + internal_out_valid <= 0; + else begin + internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; + + if (read) begin + if (incremented_rd_ptr == wr_ptr) + internal_out_valid <= 1'b0; + end + end + end + end else begin + always @* begin + internal_out_valid = !empty & ok_to_forward; + end + end + endgenerate + + // -------------------------------------------------- + // Single Output Pipeline Stage + // + // This output pipeline stage is enabled if the FIFO's + // empty latency is set to 3 (default). It is disabled + // for all other allowed latencies. + // + // Reason: The memory outputs are unregistered, so we have to + // register the output or fmax will drop if combinatorial + // logic is present on the output datapath. + // + // Q: The Avalon-ST spec says that I have to register my outputs + // But isn't the memory counted as a register? + // A: The path from the address lookup to the memory output is + // slow. Registering the memory outputs is a good idea. + // + // The registers get packed into the memory by the fitter + // which means minimal resources are consumed (the result + // is a altsyncram with registered outputs, available on + // all modern Altera devices). + // + // This output stage acts as an extra slot in the FIFO, + // and complicates the fill level. + // -------------------------------------------------- + generate if (EMPTY_LATENCY == 3) begin + always @(posedge clk or posedge reset) begin + if (reset) begin + out_valid <= 0; + out_payload <= 0; + end + else begin + if (internal_out_ready) begin + out_valid <= internal_out_valid & ok_to_forward; + out_payload <= internal_out_payload; + end + end + end + end + else begin + always @* begin + out_valid = internal_out_valid; + out_payload = internal_out_payload; + end + end + endgenerate + + // -------------------------------------------------- + // Fill Level + // + // The fill level is calculated from the next write + // and read pointers to avoid unnecessary latency. + // + // If the output pipeline is enabled, the fill level + // must account for it, or we'll always be off by one. + // This may, or may not be important depending on the + // application. + // + // For now, we'll always calculate the exact fill level + // at the cost of an extra adder when the output stage + // is enabled. + // -------------------------------------------------- + generate if (USE_FILL_LEVEL) begin + wire [31:0] depth32; + assign depth32 = DEPTH; + always @(posedge clk or posedge reset) begin + if (reset) + fifo_fill_level <= 0; + else if (next_full & !drop_on_error) + fifo_fill_level <= depth32[ADDR_WIDTH:0]; + else begin + fifo_fill_level[ADDR_WIDTH] <= 1'b0; + fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; + end + end + + always @* begin + fill_level = fifo_fill_level; + + if (EMPTY_LATENCY == 3) + fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; + end + end + else begin + initial fill_level = 0; + end + endgenerate + + generate if (USE_ALMOST_FULL_IF) begin + assign almost_full_data = (fill_level >= almost_full_threshold); + end + else + assign almost_full_data = 0; + endgenerate + + generate if (USE_ALMOST_EMPTY_IF) begin + assign almost_empty_data = (fill_level <= almost_empty_threshold); + end + else + assign almost_empty_data = 0; + endgenerate + + // -------------------------------------------------- + // Avalon-MM Status & Control Connection Point + // + // Register map: + // + // | Addr | RW | 31 - 0 | + // | 0 | R | Fill level | + // + // The registering of this connection point means + // that there is a cycle of latency between + // reads/writes and the updating of the fill level. + // -------------------------------------------------- + generate if (USE_STORE_FORWARD) begin + assign max_fifo_size = FIFO_DEPTH - 1; + always @(posedge clk or posedge reset) begin + if (reset) begin + almost_full_threshold <= max_fifo_size[23 : 0]; + almost_empty_threshold <= 0; + cut_through_threshold <= 0; + drop_on_error_en <= 0; + csr_readdata <= 0; + pkt_mode <= 1'b1; + end + else begin + if (csr_write) begin + if(csr_address == 3'b010) + almost_full_threshold <= csr_writedata[23:0]; + if(csr_address == 3'b011) + almost_empty_threshold <= csr_writedata[23:0]; + if(csr_address == 3'b100) begin + cut_through_threshold <= csr_writedata[23:0]; + pkt_mode <= (csr_writedata[23:0] == 0); + end + if(csr_address == 3'b101) + drop_on_error_en <= csr_writedata[0]; + end + + if (csr_read) begin + csr_readdata <= 32'b0; + if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + if (csr_address == 2) + csr_readdata <= {8'b0, almost_full_threshold}; + if (csr_address == 3) + csr_readdata <= {8'b0, almost_empty_threshold}; + if (csr_address == 4) + csr_readdata <= {8'b0, cut_through_threshold}; + if (csr_address == 5) + csr_readdata <= {31'b0, drop_on_error_en}; + end + end + end + end + else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin + assign max_fifo_size = FIFO_DEPTH - 1; + always @(posedge clk or posedge reset) begin + if (reset) begin + almost_full_threshold <= max_fifo_size[23 : 0]; + almost_empty_threshold <= 0; + csr_readdata <= 0; + end + else begin + if (csr_write) begin + if(csr_address == 3'b010) + almost_full_threshold <= csr_writedata[23:0]; + if(csr_address == 3'b011) + almost_empty_threshold <= csr_writedata[23:0]; + end + + if (csr_read) begin + csr_readdata <= 32'b0; + if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + if (csr_address == 2) + csr_readdata <= {8'b0, almost_full_threshold}; + if (csr_address == 3) + csr_readdata <= {8'b0, almost_empty_threshold}; + end + end + end + end + else begin + always @(posedge clk or posedge reset) begin + if (reset) begin + csr_readdata <= 0; + end + else if (csr_read) begin + csr_readdata <= 0; + + if (csr_address == 0) + csr_readdata <= fill_level; + end + end + end + endgenerate + + // -------------------------------------------------- + // Store and forward logic + // -------------------------------------------------- + // if the fifo gets full before the entire packet or the + // cut-threshold condition is met then start sending out + // data in order to avoid dead-lock situation + + generate if (USE_STORE_FORWARD) begin + assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; + assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); + assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : + ~wait_for_threshold) | fifo_too_small_r; + assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; + assign in_pkt_start = in_valid & in_ready & in_startofpacket; + assign in_pkt_error = in_valid & in_ready & |in_error; + assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; + assign out_pkt_leave = out_valid & out_ready & out_endofpacket; + assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; + + // count packets coming and going into the fifo + always @(posedge clk or posedge reset) begin + if (reset) begin + pkt_cnt <= 0; + pkt_cnt_r <= 0; + pkt_cnt_plusone <= 1; + pkt_cnt_minusone <= 0; + pkt_cnt_changed <= 0; + pkt_has_started <= 0; + sop_has_left_fifo <= 0; + fifo_too_small_r <= 0; + pkt_cnt_eq_zero <= 1'b1; + pkt_cnt_eq_one <= 1'b0; + fifo_fill_level_lt_cut_through_threshold <= 1'b1; + end + else begin + fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; + fifo_too_small_r <= fifo_too_small; + pkt_cnt_plusone <= pkt_cnt + 1'b1; + pkt_cnt_minusone <= pkt_cnt - 1'b1; + pkt_cnt_r <= pkt_cnt; + pkt_cnt_changed <= 1'b0; + + if( in_pkt_eop_arrive ) + sop_has_left_fifo <= 1'b0; + else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) + sop_has_left_fifo <= 1'b1; + + if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin + pkt_cnt_changed <= 1'b1; + pkt_cnt <= pkt_cnt_changed ? pkt_cnt_r : pkt_cnt_plusone; + pkt_cnt_eq_zero <= 0; + if (pkt_cnt == 0) + pkt_cnt_eq_one <= 1'b1; + else + pkt_cnt_eq_one <= 1'b0; + end + else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin + pkt_cnt_changed <= 1'b1; + pkt_cnt <= pkt_cnt_changed ? pkt_cnt_r : pkt_cnt_minusone; + if (pkt_cnt == 1) + pkt_cnt_eq_zero <= 1'b1; + else + pkt_cnt_eq_zero <= 1'b0; + if (pkt_cnt == 2) + pkt_cnt_eq_one <= 1'b1; + else + pkt_cnt_eq_one <= 1'b0; + end + + if (in_pkt_start) + pkt_has_started <= 1'b1; + else if (in_pkt_eop_arrive) + pkt_has_started <= 1'b0; + end + end + + // drop on error logic + always @(posedge clk or posedge reset) begin + if (reset) begin + sop_ptr <= 0; + error_in_pkt <= 0; + end + else begin + // save the location of the SOP + if ( in_pkt_start ) + sop_ptr <= wr_ptr; + + // remember if error in pkt + // log error only if packet has already started + if (in_pkt_eop_arrive) + error_in_pkt <= 1'b0; + else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) + error_in_pkt <= 1'b1; + end + end + assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & + ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); + + end + else begin + assign ok_to_forward = 1'b1; + assign drop_on_error = 1'b0; + end + endgenerate + + + // -------------------------------------------------- + // Calculates the log2ceil of the input value + // -------------------------------------------------- + function integer log2ceil; + input integer val; + integer i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule diff --git a/nios_system/synthesis/submodules/altera_merlin_arbitrator.sv b/nios_system/synthesis/submodules/altera_merlin_arbitrator.sv new file mode 100644 index 0000000..00dc788 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_merlin_arbitrator.sv @@ -0,0 +1,270 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/main/ip/merlin/altera_merlin_std_arbitrator/altera_merlin_std_arbitrator_core.sv#3 $ +// $Revision: #3 $ +// $Date: 2010/07/07 $ +// $Author: jyeap $ + +/* ----------------------------------------------------------------------- +Round-robin/fixed arbitration implementation. + +Q: how do you find the least-significant set-bit in an n-bit binary number, X? + +A: M = X & (~X + 1) + +Example: X = 101000100 + 101000100 & + 010111011 + 1 = + + 101000100 & + 010111100 = + ----------- + 000000100 + +The method can be generalized to find the first set-bit +at a bit index no lower than bit-index N, simply by adding +2**N rather than 1. + + +Q: how does this relate to round-robin arbitration? +A: +Let X be the concatenation of all request signals. +Let the number to be added to X (hereafter called the +top_priority) initialize to 1, and be assigned from the +concatenation of the previous saved-grant, left-rotated +by one position, each time arbitration occurs. The +concatenation of grants is then M. + +Problem: consider this case: + +top_priority = 010000 +request = 001001 +~request + top_priority = 000110 +next_grant = 000000 <- no one is granted! + +There was no "set bit at a bit index no lower than bit-index 4", so +the result was 0. + +We need to propagate the carry out from (~request + top_priority) to the LSB, so +that the sum becomes 000111, and next_grant is 000001. This operation could be +called a "circular add". + +A bit of experimentation on the circular add reveals a significant amount of +delay in exiting and re-entering the carry chain - this will vary with device +family. Quartus also reports a combinational loop warning. Finally, +Modelsim 6.3g has trouble with the expression, evaluating it to 'X'. But +Modelsim _doesn't_ report a combinational loop!) + +An alternate solution: concatenate the request vector with itself, and OR +corresponding bits from the top and bottom halves to determine next_grant. + +Example: + +top_priority = 010000 +{request, request} = 001001 001001 +{~request, ~request} + top_priority = 110111 000110 +result of & operation = 000001 000000 +next_grant = 000001 + +Notice that if request = 0, the sum operation will overflow, but we can ignore +this; the next_grant result is 0 (no one granted), as you might expect. +In the implementation, the last-granted value must be maintained as +a non-zero value - best probably simply not to update it when no requests +occur. + +----------------------------------------------------------------------- */ + +`timescale 1 ns / 1 ns + +module altera_merlin_arbitrator +#( + parameter NUM_REQUESTERS = 8, + // -------------------------------------- + // Implemented schemes + // "round-robin" + // "fixed-priority" + // "no-arb" + // -------------------------------------- + parameter SCHEME = "round-robin", + parameter PIPELINE = 0 +) +( + input clk, + input reset, + + // -------------------------------------- + // Requests + // -------------------------------------- + input [NUM_REQUESTERS-1:0] request, + + // -------------------------------------- + // Grants + // -------------------------------------- + output [NUM_REQUESTERS-1:0] grant, + + // -------------------------------------- + // Control Signals + // -------------------------------------- + input increment_top_priority, + input save_top_priority +); + + // -------------------------------------- + // Signals + // -------------------------------------- + wire [NUM_REQUESTERS-1:0] top_priority; + reg [NUM_REQUESTERS-1:0] top_priority_reg; + reg [NUM_REQUESTERS-1:0] last_grant; + wire [2*NUM_REQUESTERS-1:0] result; + + // -------------------------------------- + // Scheme Selection + // -------------------------------------- + generate + if (SCHEME == "round-robin" && NUM_REQUESTERS > 1) begin + assign top_priority = top_priority_reg; + end + else begin + // Fixed arbitration (or single-requester corner case) + assign top_priority = 1'b1; + end + endgenerate + + // -------------------------------------- + // Decision Logic + // -------------------------------------- + altera_merlin_arb_adder + #( + .WIDTH (2 * NUM_REQUESTERS) + ) + adder + ( + .a ({ ~request, ~request }), + .b ({{NUM_REQUESTERS{1'b0}}, top_priority}), + .sum (result) + ); + + + generate if (SCHEME == "no-arb") begin + + // -------------------------------------- + // No arbitration: just wire request directly to grant + // -------------------------------------- + assign grant = request; + + end else begin + // Do the math in double-vector domain + wire [2*NUM_REQUESTERS-1:0] grant_double_vector; + assign grant_double_vector = {request, request} & result; + + // -------------------------------------- + // Extract grant from the top and bottom halves + // of the double vector. + // -------------------------------------- + assign grant = + grant_double_vector[NUM_REQUESTERS - 1 : 0] | + grant_double_vector[2 * NUM_REQUESTERS - 1 : NUM_REQUESTERS]; + + end + endgenerate + + // -------------------------------------- + // Left-rotate the last grant vector to create top_priority. + // -------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + top_priority_reg <= 1'b1; + end + else begin + if (PIPELINE) begin + if (increment_top_priority) begin + top_priority_reg <= (|request) ? {grant[NUM_REQUESTERS-2:0], + grant[NUM_REQUESTERS-1]} : top_priority_reg; + end + end else begin + if (save_top_priority) begin + top_priority_reg <= grant; + end + if (increment_top_priority) begin + if (|request) + top_priority_reg <= { grant[NUM_REQUESTERS-2:0], + grant[NUM_REQUESTERS-1] }; + else + top_priority_reg <= { top_priority_reg[NUM_REQUESTERS-2:0], top_priority_reg[NUM_REQUESTERS-1] }; + end + end + end + end + +endmodule + +// ---------------------------------------------- +// Adder for the standard arbitrator +// ---------------------------------------------- +module altera_merlin_arb_adder +#( + parameter WIDTH = 8 +) +( + input [WIDTH-1:0] a, + input [WIDTH-1:0] b, + + output [WIDTH-1:0] sum +); + + // ---------------------------------------------- + // Benchmarks indicate that for small widths, the full + // adder has higher fmax because synthesis can merge + // it with the mux, allowing partial decisions to be + // made early. + // + // The magic number is 4 requesters, which means an + // 8 bit adder. + // ---------------------------------------------- + genvar i; + generate if (WIDTH <= 8) begin : full_adder + + wire cout[WIDTH-1:0]; + + assign sum[0] = (a[0] ^ b[0]); + assign cout[0] = (a[0] & b[0]); + + for (i = 1; i < WIDTH; i = i+1) begin : arb + + assign sum[i] = (a[i] ^ b[i]) ^ cout[i-1]; + assign cout[i] = (a[i] & b[i]) | (cout[i-1] & (a[i] ^ b[i])); + + end + + end else begin : carry_chain + + assign sum = a + b; + + end + endgenerate + +endmodule diff --git a/nios_system/synthesis/submodules/altera_merlin_burst_uncompressor.sv b/nios_system/synthesis/submodules/altera_merlin_burst_uncompressor.sv new file mode 100644 index 0000000..9b49c44 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_merlin_burst_uncompressor.sv @@ -0,0 +1,286 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_slave_agent/altera_merlin_burst_uncompressor.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Burst Uncompressor +// +// Compressed read bursts -> uncompressed +// ------------------------------------------ + +`timescale 1 ns / 1 ns + +module altera_merlin_burst_uncompressor +#( + parameter ADDR_W = 16, + parameter BURSTWRAP_W = 3, + parameter BYTE_CNT_W = 4, + parameter PKT_SYMBOLS = 4, + parameter BURST_SIZE_W = 3 +) +( + input clk, + input reset, + + // sink ST signals + input sink_startofpacket, + input sink_endofpacket, + input sink_valid, + output sink_ready, + + // sink ST "data" + input [ADDR_W - 1: 0] sink_addr, + input [BURSTWRAP_W - 1 : 0] sink_burstwrap, + input [BYTE_CNT_W - 1 : 0] sink_byte_cnt, + input sink_is_compressed, + input [BURST_SIZE_W-1 : 0] sink_burstsize, + + // source ST signals + output source_startofpacket, + output source_endofpacket, + output source_valid, + input source_ready, + + // source ST "data" + output [ADDR_W - 1: 0] source_addr, + output [BURSTWRAP_W - 1 : 0] source_burstwrap, + output [BYTE_CNT_W - 1 : 0] source_byte_cnt, + + // Note: in the slave agent, the output should always be uncompressed. In + // other applications, it may be required to leave-compressed or not. How to + // control? Seems like a simple mux - pass-through if no uncompression is + // required. + output source_is_compressed, + output [BURST_SIZE_W-1 : 0] source_burstsize +); + +//---------------------------------------------------- +// AXSIZE decoding +// +// Turns the axsize value into the actual number of bytes +// being transferred. +// --------------------------------------------------- +function reg[63:0] bytes_in_transfer; + input [2:0] axsize; + case (axsize) + 3'b000: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; + 3'b001: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000010; + 3'b010: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000100; + 3'b011: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000001000; + 3'b100: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000010000; + 3'b101: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000100000; + 3'b110: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000001000000; + 3'b111: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000010000000; + default:bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; + endcase + +endfunction + + // num_symbols is PKT_SYMBOLS, appropriately sized. + wire [31:0] int_num_symbols = PKT_SYMBOLS; + wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; + + // def: Burst Compression. In a merlin network, a compressed burst is one + // which is transmitted in a single beat. Example: read burst. In + // constrast, an uncompressed burst (example: write burst) is transmitted in + // one beat per writedata item. + // + // For compressed bursts which require response packets, burst + // uncompression is required. Concrete example: a read burst of size 8 + // occupies one response-fifo position. When that fifo position reaches the + // front of the FIFO, the slave starts providing the required 8 readdatavalid + // pulses. The 8 return response beats must be provided in a single packet, + // with incrementing address and decrementing byte_cnt fields. Upon receipt + // of the final readdata item of the burst, the response FIFO item is + // retired. + // Burst uncompression logic provides: + // a) 2-state FSM (idle, busy) + // reset to idle state + // transition to busy state for 2nd and subsequent rdv pulses + // - a single-cycle burst (aka non-burst read) causes no transition to + // busy state. + // b) response startofpacket/endofpacket logic. The response FIFO item + // will have sop asserted, and may have eop asserted. (In the case of + // multiple read bursts transmit in the command fabric in a single packet, + // the eop assertion will come in a later FIFO item.) To support packet + // conservation, and emit a well-formed packet on the response fabric, + // i) response fabric startofpacket is asserted only for the first resp. + // beat; + // ii) response fabric endofpacket is asserted only for the last resp. + // beat. + // c) response address field. The response address field contains an + // incrementing sequence, such that each readdata item is associated with + // its slave-map location. N.b. a) computing the address correctly requires + // knowledge of burstwrap behavior b) there may be no clients of the address + // field, which makes this field a good target for optimization. See + // burst_uncompress_address_counter below. + // d) response byte_cnt field. The response byte_cnt field contains a + // decrementing sequence, such that each beat of the response contains the + // count of bytes to follow. In the case of sub-bursts in a single packet, + // the byte_cnt field may decrement down to num_symbols, then back up to + // some value, multiple times in the packet. + + reg burst_uncompress_busy; + reg [BYTE_CNT_W-1:0] burst_uncompress_byte_counter; + wire first_packet_beat; + wire last_packet_beat; + + assign first_packet_beat = sink_valid & ~burst_uncompress_busy; + + // First cycle: burst_uncompress_byte_counter isn't ready yet, mux the input to + // the output. + assign source_byte_cnt = + first_packet_beat ? sink_byte_cnt : burst_uncompress_byte_counter; + assign source_valid = sink_valid; + + // Last packet beat is set throughout receipt of an uncompressed read burst + // from the response FIFO - this forces all the burst uncompression machinery + // idle. + assign last_packet_beat = ~sink_is_compressed | + ( + burst_uncompress_busy ? + (sink_valid & (burst_uncompress_byte_counter == num_symbols)) : + sink_valid & (sink_byte_cnt == num_symbols) + ); + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_busy <= '0; + burst_uncompress_byte_counter <= '0; + end + else begin + if (source_valid & source_ready & sink_valid) begin + // No matter what the current state, last_packet_beat leads to + // idle. + if (last_packet_beat) begin + burst_uncompress_busy <= '0; + burst_uncompress_byte_counter <= '0; + end + else begin + if (burst_uncompress_busy) begin + burst_uncompress_byte_counter <= burst_uncompress_byte_counter ? + (burst_uncompress_byte_counter - num_symbols) : + (sink_byte_cnt - num_symbols); + end + else begin // not busy, at least one more beat to go + burst_uncompress_byte_counter <= sink_byte_cnt - num_symbols; + // To do: should busy go true for numsymbols-size compressed + // bursts? + burst_uncompress_busy <= '1; + end + end + end + end + end + + wire [ADDR_W - 1 : 0 ] addr_width_burstwrap; + reg [ADDR_W - 1 : 0 ] burst_uncompress_address_base; + reg [ADDR_W - 1 : 0] burst_uncompress_address_offset; + + wire [63:0] decoded_burstsize_wire; + wire [ADDR_W-1:0] decoded_burstsize; + + // The input burstwrap value can be used as a mask against address values, + // but with one caveat: the address width may be (probably is) wider than + // the burstwrap width. The spec says: extend the msb of the burstwrap + // value out over the entire address width (but only if the address width + // actually is wider than the burstwrap width; otherwise it's a 0-width or + // negative range and concatenation multiplier). + assign addr_width_burstwrap[BURSTWRAP_W - 1 : 0] = sink_burstwrap; + generate + if (ADDR_W > BURSTWRAP_W) begin : addr_sign_extend + // Sign-extend, just wires: + assign addr_width_burstwrap[ADDR_W - 1 : BURSTWRAP_W] = + {(ADDR_W - BURSTWRAP_W) {sink_burstwrap[BURSTWRAP_W - 1]}}; + end + endgenerate + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_address_base <= '0; + end + else if (first_packet_beat & source_ready) begin + burst_uncompress_address_base <= sink_addr & ~addr_width_burstwrap; + end + end + + assign decoded_burstsize_wire = bytes_in_transfer(sink_burstsize); //expand it to 64 bits + assign decoded_burstsize = decoded_burstsize_wire[ADDR_W-1:0]; //then take the width that is needed + + wire [ADDR_W - 1 : 0] p1_burst_uncompress_address_offset = + ( + (first_packet_beat ? + sink_addr : + burst_uncompress_address_offset) + decoded_burstsize + ) & + addr_width_burstwrap; + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_address_offset <= '0; + end + else begin + if (source_ready & source_valid) begin + burst_uncompress_address_offset <= p1_burst_uncompress_address_offset; + // if (first_packet_beat) begin + // burst_uncompress_address_offset <= + // (sink_addr + num_symbols) & addr_width_burstwrap; + // end + // else begin + // burst_uncompress_address_offset <= + // (burst_uncompress_address_offset + num_symbols) & addr_width_burstwrap; + // end + end + end + end + + // On the first packet beat, send the input address out unchanged, + // while values are computed/registered for 2nd and subsequent beats. + assign source_addr = first_packet_beat ? sink_addr : + burst_uncompress_address_base | burst_uncompress_address_offset; + assign source_burstwrap = sink_burstwrap; + assign source_burstsize = sink_burstsize; + + //------------------------------------------------------------------- + // A single (compressed) read burst will have sop/eop in the same beat. + // A sequence of read sub-bursts emitted by a burst adapter in response to a + // single read burst will have sop on the first sub-burst, eop on the last. + // Assert eop only upon (sink_endofpacket & last_packet_beat) to preserve + // packet conservation. + assign source_startofpacket = sink_startofpacket & ~burst_uncompress_busy; + assign source_endofpacket = sink_endofpacket & last_packet_beat; + assign sink_ready = source_valid & source_ready & last_packet_beat; + + // This is correct for the slave agent usage, but won't always be true in the + // width adapter. To do: add an "please uncompress" input, and use it to + // pass-through or modify, and set source_is_compressed accordingly. + assign source_is_compressed = 1'b0; +endmodule + diff --git a/nios_system/synthesis/submodules/altera_merlin_master_agent.sv b/nios_system/synthesis/submodules/altera_merlin_master_agent.sv new file mode 100644 index 0000000..070f26f --- /dev/null +++ b/nios_system/synthesis/submodules/altera_merlin_master_agent.sv @@ -0,0 +1,309 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_master_agent/altera_merlin_master_agent.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// -------------------------------------- +// Merlin Master Agent +// +// Converts Avalon-MM transactions into +// Merlin network packets. +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_merlin_master_agent +#( + // ------------------- + // Packet Format Parameters + // ------------------- + parameter PKT_QOS_H = 109, + PKT_QOS_L = 106, + PKT_DATA_SIDEBAND_H = 105, + PKT_DATA_SIDEBAND_L = 98, + PKT_ADDR_SIDEBAND_H = 97, + PKT_ADDR_SIDEBAND_L = 93, + PKT_CACHE_H = 92, + PKT_CACHE_L = 89, + PKT_THREAD_ID_H = 88, + PKT_THREAD_ID_L = 87, + PKT_BEGIN_BURST = 81, + PKT_PROTECTION_H = 80, + PKT_PROTECTION_L = 80, + PKT_BURSTWRAP_H = 79, + PKT_BURSTWRAP_L = 77, + PKT_BYTE_CNT_H = 76, + PKT_BYTE_CNT_L = 74, + PKT_ADDR_H = 73, + PKT_ADDR_L = 42, + PKT_BURST_SIZE_H = 86, + PKT_BURST_SIZE_L = 84, + PKT_BURST_TYPE_H = 94, + PKT_BURST_TYPE_L = 93, + PKT_TRANS_EXCLUSIVE = 83, + PKT_TRANS_LOCK = 82, + PKT_TRANS_COMPRESSED_READ = 41, + PKT_TRANS_POSTED = 40, + PKT_TRANS_WRITE = 39, + PKT_TRANS_READ = 38, + PKT_DATA_H = 37, + PKT_DATA_L = 6, + PKT_BYTEEN_H = 5, + PKT_BYTEEN_L = 2, + PKT_SRC_ID_H = 1, + PKT_SRC_ID_L = 1, + PKT_DEST_ID_H = 0, + PKT_DEST_ID_L = 0, + PKT_RESPONSE_STATUS_L = 110, + PKT_RESPONSE_STATUS_H = 111, + ST_DATA_W = 112, + ST_CHANNEL_W = 1, + + // ------------------- + // Agent Parameters + // ------------------- + AV_BURSTCOUNT_W = 3, + ID = 1, + SUPPRESS_0_BYTEEN_RSP = 1, + BURSTWRAP_VALUE = 4, + CACHE_VALUE = 0, + SECURE_ACCESS_BIT = 1, + USE_READRESPONSE = 0, + USE_WRITERESPONSE = 0, + + // ------------------- + // Derived Parameters + // ------------------- + PKT_BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1, + PKT_BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1, + PKT_PROTECTION_W= PKT_PROTECTION_H - PKT_PROTECTION_L + 1, + PKT_ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, + PKT_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, + PKT_BYTEEN_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, + PKT_SRC_ID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1, + PKT_DEST_ID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1 +) +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Avalon-MM Anti-Master + // ------------------- + input [PKT_ADDR_W-1 : 0] av_address, + input av_write, + input av_read, + input [PKT_DATA_W-1 : 0] av_writedata, + output reg [PKT_DATA_W-1 : 0] av_readdata, + output reg av_waitrequest, + output reg av_readdatavalid, + input [PKT_BYTEEN_W-1 : 0] av_byteenable, + input [AV_BURSTCOUNT_W-1 : 0] av_burstcount, + input av_debugaccess, + input av_lock, + output reg [1:0] av_response, + input av_writeresponserequest, + output reg av_writeresponsevalid, + + // ------------------- + // Command Source + // ------------------- + output reg cp_valid, + output reg [ST_DATA_W-1 : 0] cp_data, + output wire cp_startofpacket, + output wire cp_endofpacket, + input cp_ready, + + // ------------------- + // Response Sink + // ------------------- + input rp_valid, + input [ST_DATA_W-1 : 0] rp_data, + input [ST_CHANNEL_W-1 : 0] rp_channel, + input rp_startofpacket, + input rp_endofpacket, + output reg rp_ready +); + // ------------------------------------------------------------ + // Utility Functions + // ------------------------------------------------------------ + function integer clogb2; + input [31:0] value; + begin + for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1) + value = value >> 1; + clogb2 = clogb2 - 1; + end + endfunction // clogb2 + + localparam MAX_BURST = 1 << (AV_BURSTCOUNT_W - 1); + localparam NUMSYMBOLS = PKT_BYTEEN_W; + localparam BURSTING = (MAX_BURST > NUMSYMBOLS); + localparam BITS_TO_ZERO = clogb2(NUMSYMBOLS); + localparam BURST_SIZE = clogb2(NUMSYMBOLS); + + typedef enum bit [1:0] + { + FIXED = 2'b00, + INCR = 2'b01, + WRAP = 2'b10, + OTHER_WRAP = 2'b11 + } MerlinBurstType; + + // -------------------------------------- + // Potential optimization: compare in words to save bits? + // -------------------------------------- + wire is_burst; + assign is_burst = (BURSTING) & (av_burstcount > NUMSYMBOLS); + + wire [31:0] burstwrap_value_int = BURSTWRAP_VALUE; + wire [31:0] id_int = ID; + wire [2:0] burstsize_sig = BURST_SIZE[2:0]; + wire [1:0] bursttype_value = burstwrap_value_int[PKT_BURSTWRAP_W-1] ? INCR : WRAP; + + // -------------------------------------- + // Address alignment + // + // The packet format requires that addresses be aligned to + // the transaction size. + // -------------------------------------- + wire [PKT_ADDR_W-1 : 0] av_address_aligned; + generate + if (NUMSYMBOLS > 1) begin + assign av_address_aligned = + {av_address[PKT_ADDR_W-1 : BITS_TO_ZERO], {BITS_TO_ZERO {1'b0}}}; + end + else begin + assign av_address_aligned = av_address; + end + endgenerate + + // -------------------------------------- + // Command & Response Construction + // -------------------------------------- + always @* begin + cp_data = '0; // default assignment; override below as needed. + + cp_data[PKT_PROTECTION_L] = av_debugaccess; + cp_data[PKT_PROTECTION_L+1] = SECURE_ACCESS_BIT[0]; // Default Non-secured (AXI) + cp_data[PKT_PROTECTION_L+2] = 1'b0; // Default Data access (AXI) + cp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L ] = burstwrap_value_int[PKT_BURSTWRAP_W-1:0]; + cp_data[PKT_BYTE_CNT_H :PKT_BYTE_CNT_L ] = av_burstcount; + cp_data[PKT_ADDR_H :PKT_ADDR_L ] = av_address_aligned; + cp_data[PKT_TRANS_EXCLUSIVE ] = 1'b0; + cp_data[PKT_TRANS_LOCK ] = av_lock; + cp_data[PKT_TRANS_COMPRESSED_READ ] = av_read & is_burst; + cp_data[PKT_TRANS_READ ] = av_read; + cp_data[PKT_TRANS_WRITE ] = av_write; + // posted and non-posted write avaiable now + cp_data[PKT_TRANS_POSTED ] = av_write & !av_writeresponserequest; + cp_data[PKT_DATA_H :PKT_DATA_L ] = av_writedata; + cp_data[PKT_BYTEEN_H :PKT_BYTEEN_L ] = av_byteenable; + cp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = burstsize_sig; + cp_data[PKT_BURST_TYPE_H:PKT_BURST_TYPE_L] = bursttype_value; + cp_data[PKT_SRC_ID_H :PKT_SRC_ID_L ] = id_int[PKT_SRC_ID_W-1:0]; + cp_data[PKT_THREAD_ID_H:PKT_THREAD_ID_L ] = '0; + cp_data[PKT_CACHE_H :PKT_CACHE_L ] = CACHE_VALUE[3:0]; + cp_data[PKT_QOS_H : PKT_QOS_L] = '0; + cp_data[PKT_ADDR_SIDEBAND_H:PKT_ADDR_SIDEBAND_L] = '0; + cp_data[PKT_DATA_SIDEBAND_H :PKT_DATA_SIDEBAND_L] = '0; + + av_readdata = rp_data[PKT_DATA_H : PKT_DATA_L]; + if (USE_WRITERESPONSE || USE_READRESPONSE) + av_response = rp_data[PKT_RESPONSE_STATUS_H : PKT_RESPONSE_STATUS_L]; + else + av_response = '0; + + end + + // -------------------------------------- + // Command Control + // -------------------------------------- + always @* begin + cp_valid = 0; + + if (av_write || av_read) + cp_valid = 1; + end + + generate if (BURSTING) begin + reg sop_enable; + + always @(posedge clk, posedge reset) begin + if (reset) begin + sop_enable <= 1'b1; + end + else begin + if (cp_valid && cp_ready) begin + sop_enable <= 1'b0; + if (cp_endofpacket) + sop_enable <= 1'b1; + end + end + end + + assign cp_startofpacket = sop_enable; + assign cp_endofpacket = (av_read) | (av_burstcount == NUMSYMBOLS); + + end + else begin + + assign cp_startofpacket = 1'b1; + assign cp_endofpacket = 1'b1; + + end + endgenerate + + // -------------------------------------- + // Backpressure & Readdatavalid + // -------------------------------------- + reg hold_waitrequest; + + always @ (posedge clk, posedge reset) begin + if (reset) + hold_waitrequest <= 1'b1; + else + hold_waitrequest <= 1'b0; + end + + always @* begin + rp_ready = 1; + av_readdatavalid = 0; + av_writeresponsevalid = 0; + av_waitrequest = hold_waitrequest | !cp_ready; + + // -------------------------------------- + // Currently, responses are _always_ read responses because + // this Avalon agent only issues posted writes, which do + // not have responses. -> not true for now + // Now Avalon supports response, so based on type of transaction + // return, assert correct thing + // -------------------------------------- + if (rp_data[PKT_TRANS_WRITE] == 1) + av_writeresponsevalid = rp_valid; + else + av_readdatavalid = rp_valid; + + if (SUPPRESS_0_BYTEEN_RSP) begin + if (rp_data[PKT_BYTEEN_H:PKT_BYTEEN_L] == 0) + av_readdatavalid = 0; + end + end + +endmodule diff --git a/nios_system/synthesis/submodules/altera_merlin_master_translator.sv b/nios_system/synthesis/submodules/altera_merlin_master_translator.sv new file mode 100644 index 0000000..a43760f --- /dev/null +++ b/nios_system/synthesis/submodules/altera_merlin_master_translator.sv @@ -0,0 +1,554 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_master_translator/altera_merlin_master_translator.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// -------------------------------------- +// Merlin Master Translator +// +// Converts Avalon-MM Master Interfaces into +// Avalon-MM Universal Master Interfaces +// -------------------------------------- + +`timescale 1 ns / 1 ns + + + +module altera_merlin_master_translator #( + parameter + AV_ADDRESS_W = 32, + AV_DATA_W = 32, + AV_BURSTCOUNT_W = 4, + AV_BYTEENABLE_W = 4, + + //Optional Port Declarations + + USE_BURSTCOUNT = 1, + USE_BEGINBURSTTRANSFER = 0, + USE_BEGINTRANSFER = 0, + USE_CHIPSELECT = 0, + USE_READ = 1, + USE_READDATAVALID = 1, + USE_WRITE = 1, + USE_WAITREQUEST = 1, + USE_WRITERESPONSE = 0, + USE_READRESPONSE = 0, + + AV_REGISTERINCOMINGSIGNALS = 0, + AV_SYMBOLS_PER_WORD = 4, + AV_ADDRESS_SYMBOLS = 0, + AV_CONSTANT_BURST_BEHAVIOR = 1, + AV_BURSTCOUNT_SYMBOLS = 0, + AV_LINEWRAPBURSTS = 0, + UAV_ADDRESS_W = 38, + UAV_BURSTCOUNT_W = 10, + UAV_CONSTANT_BURST_BEHAVIOR = 0 + )( + //Universal Avalon Master + input wire clk, + input wire reset, + output reg uav_write, + output reg uav_read, + output reg [UAV_ADDRESS_W -1 : 0] uav_address, + output reg [UAV_BURSTCOUNT_W -1 : 0] uav_burstcount, + output wire [AV_BYTEENABLE_W -1 : 0] uav_byteenable, + output wire [AV_DATA_W -1 : 0] uav_writedata, + output wire uav_lock, + output wire uav_debugaccess, + output wire uav_clken, + + input wire [ AV_DATA_W -1 : 0] uav_readdata, + input wire uav_readdatavalid, + input wire uav_waitrequest, + input wire [1:0] uav_response, + output reg uav_writeresponserequest, + input wire uav_writeresponsevalid, + + //Avalon-MM !Master + input reg av_write, + input reg av_read, + input wire [AV_ADDRESS_W -1 : 0] av_address, + input wire [AV_BYTEENABLE_W -1 : 0] av_byteenable, + input wire [AV_BURSTCOUNT_W -1 : 0] av_burstcount, + input wire [AV_DATA_W -1 : 0] av_writedata, + input wire av_begintransfer, + input wire av_beginbursttransfer, + input wire av_lock, + input wire av_chipselect, + input wire av_debugaccess, + input wire av_clken, + + output wire [AV_DATA_W -1 : 0] av_readdata, + output wire av_readdatavalid, + output reg av_waitrequest, + output reg [1:0] av_response, + input wire av_writeresponserequest, + output reg av_writeresponsevalid + + ); + + + localparam BITS_PER_WORD = clog2(AV_SYMBOLS_PER_WORD - 1); + localparam AV_MAX_SYMBOL_BURST = flog2( pow2(AV_BURSTCOUNT_W - 1) * (AV_BURSTCOUNT_SYMBOLS ? 1 : (AV_SYMBOLS_PER_WORD)) ); + localparam AV_MAX_SYMBOL_BURST_MINUS_ONE = AV_MAX_SYMBOL_BURST ? AV_MAX_SYMBOL_BURST - 1 : 0 ; + + localparam UAV_BURSTCOUNT_W_OR_32 = UAV_BURSTCOUNT_W > 32 ? 31 : UAV_BURSTCOUNT_W -1; + localparam UAV_ADDRESS_W_OR_32 = UAV_ADDRESS_W > 32 ? 31 : UAV_ADDRESS_W -1; + + + // -1 for burstcount restriction 2^(n-1) + + localparam BITS_PER_WORD_BURSTCOUNT = UAV_BURSTCOUNT_W == 1 ? 0 : BITS_PER_WORD; + localparam BITS_PER_WORD_ADDRESS = UAV_ADDRESS_W == 1 ? 0 : BITS_PER_WORD; + + localparam ADDRESS_LOW = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD_ADDRESS; + localparam BURSTCOUNT_LOW = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD_BURSTCOUNT; + + localparam ADDRESS_HIGH = UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_LOW ? AV_ADDRESS_W : UAV_ADDRESS_W - ADDRESS_LOW; + localparam BURSTCOUNT_HIGH = UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_LOW ? AV_BURSTCOUNT_W : UAV_BURSTCOUNT_W - BURSTCOUNT_LOW; + + function integer flog2; + input [31:0] Depth; + integer i; + begin + i = Depth; + if ( i <= 0 ) flog2 = 0; + else begin + for(flog2 = -1; i > 0; flog2 = flog2 + 1) + i = i >> 1; + end + end + + endfunction // flog2 + + function integer clog2; + input [31:0] Depth; + integer i; + begin + i = Depth; + for(clog2 = 0; i > 0; clog2 = clog2 + 1) + i = i >> 1; + end + + endfunction + + function integer pow2; + input [31:0] toShift; + begin + pow2=1; + pow2= pow2 << toShift; + end + endfunction // pow2 + + // ------------------------------------------------- + // Assign some constants to appropriately-sized signals to + // avoid synthesis warnings. This also helps some simulators + // with their inferred sensitivity lists. + // ------------------------------------------------- + // Calculate the symbols per word as the power of 2 extended symbols per word + wire [31:0] symbols_per_word_int = 2**(clog2(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_W_OR_32 : 0] - 1)); + wire [UAV_BURSTCOUNT_W_OR_32 : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_W_OR_32 : 0]; + + + reg internal_beginbursttransfer; + reg internal_begintransfer; + reg [UAV_ADDRESS_W - 1: 0 ] uav_address_pre; + reg [UAV_BURSTCOUNT_W - 1 : 0 ] uav_burstcount_pre; + + + + reg uav_read_pre; + reg uav_write_pre; + reg read_accepted; + + //Passthru assignmenst + + assign uav_writedata = av_writedata; + assign av_readdata = uav_readdata; + assign uav_byteenable = av_byteenable; + assign uav_lock = av_lock; + assign av_readdatavalid = uav_readdatavalid; + assign uav_debugaccess = av_debugaccess; + assign uav_clken = av_clken; + + //Response signals + always_comb + begin + if (!USE_READRESPONSE && !USE_WRITERESPONSE) + av_response = '0; + else + av_response = uav_response; + if (USE_WRITERESPONSE) begin + uav_writeresponserequest = av_writeresponserequest; + av_writeresponsevalid = uav_writeresponsevalid; + end else begin + uav_writeresponserequest = '0; + av_writeresponsevalid = '0; + end + end + + //address + burstcount assignment + + reg [UAV_ADDRESS_W - 1 : 0] address_register; + reg [UAV_BURSTCOUNT_W - 1 : 0] burstcount_register; + + always @* begin + uav_address=uav_address_pre; + uav_burstcount=uav_burstcount_pre; + + if(AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~internal_beginbursttransfer) begin + uav_address=address_register; + uav_burstcount=burstcount_register; + end + end + + reg first_burst_stalled; + reg burst_stalled; + + + wire[UAV_ADDRESS_W-1:0] combi_burst_addr_reg; + wire [UAV_ADDRESS_W-1:0] combi_addr_reg; + generate + if(AV_LINEWRAPBURSTS && AV_MAX_SYMBOL_BURST!=0) begin + if(AV_MAX_SYMBOL_BURST > UAV_ADDRESS_W - 1) begin + assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; + assign combi_addr_reg = { address_register[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; + end + else begin + assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], uav_address_pre[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; + assign combi_addr_reg = { address_register[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], address_register[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; + end + end + else begin + assign combi_burst_addr_reg = + uav_address_pre + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W_OR_32:0]; + assign combi_addr_reg = + address_register + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W_OR_32:0]; + end + endgenerate + + always@(posedge clk, posedge reset) begin + + if(reset) begin + address_register <= '0; + burstcount_register <= '0; + first_burst_stalled <= 1'b0; + burst_stalled <= 1'b0; + end + else begin + address_register <= address_register; + burstcount_register <= burstcount_register; + + if(internal_beginbursttransfer||first_burst_stalled) begin + + if(av_waitrequest) begin + first_burst_stalled <= 1'b1; + address_register <= uav_address_pre; + burstcount_register <= uav_burstcount_pre; + end else begin + first_burst_stalled <= 1'b0; + address_register <= combi_burst_addr_reg; + burstcount_register <= uav_burstcount_pre - symbols_per_word; + end + end + + else if(internal_begintransfer || burst_stalled) begin + if(~av_waitrequest) begin + burst_stalled <= 1'b0; + address_register <= combi_addr_reg; + burstcount_register <= burstcount_register - symbols_per_word; + end else + burst_stalled<=1'b1; + end + end + + end + + //Address + always @* begin + uav_address_pre = '0; + + if(AV_ADDRESS_SYMBOLS) + uav_address_pre=av_address[ ( ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0 ) : 0 ]; + else begin + uav_address_pre[ UAV_ADDRESS_W - 1 : ADDRESS_LOW ] = av_address[( ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0 ]; + end + end + + //Burstcount + always@* begin + uav_burstcount_pre = symbols_per_word; // default to a single transfer + + if(USE_BURSTCOUNT) begin + uav_burstcount_pre = '0; + + if(AV_BURSTCOUNT_SYMBOLS) + uav_burstcount_pre = av_burstcount[( BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0 ) :0 ]; + else begin + uav_burstcount_pre[ UAV_BURSTCOUNT_W - 1 : BURSTCOUNT_LOW] = av_burstcount[( BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0 ) : 0 ]; + end + + end + + end + + + //waitrequest translation + + always@(posedge clk, posedge reset) begin + if(reset) + read_accepted <= 1'b0; + else begin + read_accepted <= read_accepted; + + if(read_accepted == 1 && uav_readdatavalid == 1) // reset acceptance only when rdv arrives + read_accepted <= 1'b0; + + if(read_accepted == 0) + read_accepted<=av_waitrequest ? uav_read_pre & ~uav_waitrequest : 1'b0; + end + + end + + reg write_accepted = 0; + generate if (AV_REGISTERINCOMINGSIGNALS) begin + always@(posedge clk, posedge reset) begin + if(reset) + write_accepted <= 1'b0; + else begin + write_accepted <= + ~av_waitrequest ? 1'b0 : + uav_write & ~uav_waitrequest? 1'b1 : + write_accepted; + end + end + end endgenerate + + always@* begin + av_waitrequest = uav_waitrequest; + + if(USE_READDATAVALID == 0 ) begin + av_waitrequest = uav_read_pre ? ~uav_readdatavalid : uav_waitrequest; + end + + if (AV_REGISTERINCOMINGSIGNALS) begin + av_waitrequest = + uav_read_pre ? ~uav_readdatavalid : + uav_write_pre ? (internal_begintransfer | uav_waitrequest) & ~write_accepted : + 1'b1; + end + + if(USE_WAITREQUEST == 0) begin + av_waitrequest = 0; + end + end + + //read/write generation + always@* begin + + uav_write = 1'b0; + uav_write_pre = 1'b0; + uav_read = 1'b0; + uav_read_pre = 1'b0; + + if(!USE_CHIPSELECT) begin + if (USE_READ) begin + uav_read_pre=av_read; + end + + if (USE_WRITE) begin + uav_write_pre=av_write; + end + end + else begin + if(!USE_WRITE && USE_READ) begin + uav_read_pre=av_read; + uav_write_pre=av_chipselect & ~av_read; + end + else if(!USE_READ && USE_WRITE) begin + uav_write_pre=av_write; + uav_read_pre = av_chipselect & ~av_write; + end + else if (USE_READ && USE_WRITE) begin + uav_write_pre=av_write; + uav_read_pre=av_read; + end + end + + if(USE_READDATAVALID == 0) + uav_read = uav_read_pre & ~read_accepted; + else + uav_read = uav_read_pre; + + if(AV_REGISTERINCOMINGSIGNALS == 0) + uav_write=uav_write_pre; + else + uav_write=uav_write_pre & ~write_accepted; + + + end + + // ------------------- + // Begintransfer Assigment + // ------------------- + + reg end_begintransfer; + + always@* begin + if(USE_BEGINTRANSFER) begin + internal_begintransfer = av_begintransfer; + end else begin + internal_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; + end + end + + always@ ( posedge clk or posedge reset ) begin + + if(reset) begin + end_begintransfer <= 1'b0; + end + else begin + + if(internal_begintransfer == 1 && uav_waitrequest) + end_begintransfer <= 1'b1; + else if(uav_waitrequest) + end_begintransfer <= end_begintransfer; + else + end_begintransfer <= 1'b0; + + end + + end + + // ------------------- + // Beginbursttransfer Assigment + // ------------------- + + reg end_beginbursttransfer; + wire last_burst_transfer_pre; + wire last_burst_transfer_reg; + wire last_burst_transfer; + + // compare values before the mux to shorten critical path; benchmark before changing + assign last_burst_transfer_pre = (uav_burstcount_pre == symbols_per_word); + assign last_burst_transfer_reg = (burstcount_register == symbols_per_word); + assign last_burst_transfer = (internal_beginbursttransfer) ? last_burst_transfer_pre : last_burst_transfer_reg; + + always@* begin + if(USE_BEGINBURSTTRANSFER) begin + internal_beginbursttransfer = av_beginbursttransfer; + end else begin + internal_beginbursttransfer = uav_read ? internal_begintransfer : internal_begintransfer && ~end_beginbursttransfer; + end + end + + always@ ( posedge clk or posedge reset ) begin + + if(reset) begin + end_beginbursttransfer <= 1'b0; + end + else begin + end_beginbursttransfer <= end_beginbursttransfer; + if( last_burst_transfer && internal_begintransfer || uav_read ) begin + end_beginbursttransfer <= 1'b0; + end + else if(uav_write && internal_begintransfer) begin + end_beginbursttransfer <= 1'b1; + end + end + + end + + // synthesis translate_off + + // ------------------------------------------------ + // check_1 : for waitrequest signal violation + // Ensure that when waitreqeust is asserted, the master is not allowed to change its controls + // Exception : begintransfer / beginbursttransfer + // : previously not in any transaction (idle) + // Note : Not checking clken which is not exactly part of Avalon controls/inputs + // : Not using system verilog assertions (seq/prop) since it is not supported if using Modelsim_SE + // ------------------------------------------------ + + reg av_waitrequest_r; + reg av_write_r,av_writeresponserequest_r,av_read_r,av_lock_r,av_chipselect_r,av_debugaccess_r; + reg [AV_ADDRESS_W-1:0] av_address_r; + reg [AV_BYTEENABLE_W-1:0] av_byteenable_r; + reg [AV_BURSTCOUNT_W-1:0] av_burstcount_r; + reg [AV_DATA_W-1:0] av_writedata_r; + + always @(posedge clk or posedge reset) begin + if (reset) begin + av_waitrequest_r <= '0; + av_write_r <= '0; + av_writeresponserequest_r <= '0; + av_read_r <= '0; + av_lock_r <= '0; + av_chipselect_r <= '0; + av_debugaccess_r <= '0; + av_address_r <= '0; + av_byteenable_r <= '0; + av_burstcount_r <= '0; + av_writedata_r <= '0; + + end + else begin + av_waitrequest_r <= av_waitrequest; + av_write_r <= av_write; + av_writeresponserequest_r <= av_writeresponserequest; + av_read_r <= av_read; + av_lock_r <= av_lock; + av_chipselect_r <= av_chipselect; + av_debugaccess_r <= av_debugaccess; + av_address_r <= av_address; + av_byteenable_r <= av_byteenable; + av_burstcount_r <= av_burstcount; + av_writedata_r <= av_writedata; + + if ( av_waitrequest_r && // When waitrequest is asserted + ( (av_write != av_write_r) || // Checks that : Input controls/data does not change + (av_writeresponserequest != av_writeresponserequest_r) || + (av_read != av_read_r) || + (av_lock != av_lock_r) || + (av_debugaccess != av_debugaccess_r) || + (av_address != av_address_r) || + (av_byteenable != av_byteenable_r) || + (av_burstcount != av_burstcount_r) + ) && + (av_write_r | av_read_r) && // Check only when : previously initiated a write/read + (!USE_CHIPSELECT | av_chipselect_r) // and chipselect was asserted (or unused) + ) + $display("%t: %m: Error: Input controls/data changed while av_waitrequest is asserted.\nav_address %x --> %x\nav_byteenable %x --> %x\nav_burstcount %x --> %x\nav_writedata %x --> %x\nav_writeresponserequest %x --> %x\nav_write %x --> %x\nav_read %x --> %x\nav_lock %x --> %x\nav_chipselect %x --> %x\nav_debugaccess %x --> %x ", $time(), + av_address_r , av_address, + av_byteenable_r , av_byteenable, + av_burstcount_r , av_burstcount, + av_writedata_r , av_writedata, + av_writeresponserequest_r, av_writeresponserequest, + av_write_r , av_write, + av_read_r , av_read, + av_lock_r , av_lock, + av_chipselect_r, av_chipselect, + av_debugaccess_r, av_debugaccess); + end + + // end check_1 + + end + + // synthesis translate_on + + + endmodule diff --git a/nios_system/synthesis/submodules/altera_merlin_slave_agent.sv b/nios_system/synthesis/submodules/altera_merlin_slave_agent.sv new file mode 100644 index 0000000..ea599eb --- /dev/null +++ b/nios_system/synthesis/submodules/altera_merlin_slave_agent.sv @@ -0,0 +1,588 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +`timescale 1 ns / 1 ns + +module altera_merlin_slave_agent +#( + // Packet parameters + parameter PKT_BEGIN_BURST = 81, + parameter PKT_DATA_H = 31, + parameter PKT_DATA_L = 0, + parameter PKT_SYMBOL_W = 8, + parameter PKT_BYTEEN_H = 71, + parameter PKT_BYTEEN_L = 68, + parameter PKT_ADDR_H = 63, + parameter PKT_ADDR_L = 32, + parameter PKT_TRANS_LOCK = 87, + parameter PKT_TRANS_COMPRESSED_READ = 67, + parameter PKT_TRANS_POSTED = 66, + parameter PKT_TRANS_WRITE = 65, + parameter PKT_TRANS_READ = 64, + parameter PKT_SRC_ID_H = 74, + parameter PKT_SRC_ID_L = 72, + parameter PKT_DEST_ID_H = 77, + parameter PKT_DEST_ID_L = 75, + parameter PKT_BURSTWRAP_H = 85, + parameter PKT_BURSTWRAP_L = 82, + parameter PKT_BYTE_CNT_H = 81, + parameter PKT_BYTE_CNT_L = 78, + parameter PKT_PROTECTION_H = 86, + parameter PKT_PROTECTION_L = 86, + parameter PKT_RESPONSE_STATUS_H = 89, + parameter PKT_RESPONSE_STATUS_L = 88, + parameter PKT_BURST_SIZE_H = 92, + parameter PKT_BURST_SIZE_L = 90, + parameter ST_DATA_W = 93, + parameter ST_CHANNEL_W = 32, + + // Slave parameters + parameter ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, + parameter AVS_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, + parameter AVS_BURSTCOUNT_W = 4, + parameter PKT_SYMBOLS = AVS_DATA_W / PKT_SYMBOL_W, + + // Slave agent parameters + parameter PREVENT_FIFO_OVERFLOW = 0, + parameter SUPPRESS_0_BYTEEN_CMD = 1, + parameter USE_READRESPONSE = 0, + parameter USE_WRITERESPONSE = 0, + + // Derived slave parameters + parameter AVS_BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, + parameter BURST_SIZE_W = 3, + + // Derived FIFO width + parameter FIFO_DATA_W = ST_DATA_W + 1 +) +( + + input clk, + input reset, + + // Universal-Avalon anti-slave + output [ADDR_W-1:0] m0_address, + output [AVS_BURSTCOUNT_W-1:0] m0_burstcount, + output [AVS_BE_W-1:0] m0_byteenable, + output m0_read, + input [AVS_DATA_W-1:0] m0_readdata, + input m0_waitrequest, + output m0_write, + output [AVS_DATA_W-1:0] m0_writedata, + input m0_readdatavalid, + output m0_debugaccess, + output m0_lock, + input [1:0] m0_response, + output m0_writeresponserequest, + input m0_writeresponsevalid, + + // Avalon-ST FIFO interfaces. + // Note: there's no need to include the "data" field here, at least for + // reads, since readdata is filled in from slave info. To keep life + // simple, have a data field, but fill it with 0s. + // Av-st response fifo source interface + output reg [FIFO_DATA_W-1:0] rf_source_data, + output rf_source_valid, + output rf_source_startofpacket, + output rf_source_endofpacket, + input rf_source_ready, + + // Av-st response fifo sink interface + input [FIFO_DATA_W-1:0] rf_sink_data, + input rf_sink_valid, + input rf_sink_startofpacket, + input rf_sink_endofpacket, + output rf_sink_ready, + + // Av-st readdata fifo src interface, data and response + // extra 2 bits for storing RESPONSE STATUS + output [AVS_DATA_W+1:0] rdata_fifo_src_data, + output rdata_fifo_src_valid, + input rdata_fifo_src_ready, + + // Av-st readdata fifo sink interface + input [AVS_DATA_W+1:0] rdata_fifo_sink_data, + input rdata_fifo_sink_valid, + output rdata_fifo_sink_ready, + + // Av-st sink command packet interface + output cp_ready, + input cp_valid, + input [ST_DATA_W-1:0] cp_data, + input [ST_CHANNEL_W-1:0] cp_channel, + input cp_startofpacket, + input cp_endofpacket, + + // Av-st source response packet interface + input rp_ready, + output reg rp_valid, + output reg [ST_DATA_W-1:0] rp_data, + output rp_startofpacket, + output rp_endofpacket +); + + // -------------------------------------------------- + // Ceil(log2()) function log2ceil of 4 = 2 + // -------------------------------------------------- + function integer log2ceil; + input reg[63:0] val; + reg [63:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + + // ------------------------------------------------ + // Local Parameters + // ------------------------------------------------ + localparam DATA_W = PKT_DATA_H - PKT_DATA_L + 1; + localparam BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1; + localparam MID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1; + localparam SID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1; + localparam BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1; + localparam BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1; + localparam BURSTSIZE_W = PKT_BURST_SIZE_H - PKT_BURST_SIZE_L + 1; + localparam BITS_TO_MASK = log2ceil(PKT_SYMBOLS); + + // ------------------------------------------------ + // Signals + // ------------------------------------------------ + wire [DATA_W-1:0] cmd_data; + wire [BE_W-1:0] cmd_byteen; + wire [ADDR_W-1:0] cmd_addr; + wire [MID_W-1:0] cmd_mid; + wire [SID_W-1:0] cmd_sid; + wire cmd_read; + wire cmd_write; + wire cmd_compressed; + wire cmd_posted; + wire [BYTE_CNT_W-1:0] cmd_byte_cnt; + wire [BURSTWRAP_W-1:0] cmd_burstwrap; + wire [BURSTSIZE_W-1:0] cmd_burstsize; + wire cmd_debugaccess; + + wire byteen_asserted; + wire needs_response_synthesis; + wire generate_response; + + // Assign command fields + assign cmd_data = cp_data[PKT_DATA_H :PKT_DATA_L ]; + assign cmd_byteen = cp_data[PKT_BYTEEN_H:PKT_BYTEEN_L]; + assign cmd_addr = cp_data[PKT_ADDR_H :PKT_ADDR_L ]; + assign cmd_compressed = cp_data[PKT_TRANS_COMPRESSED_READ]; + assign cmd_posted = cp_data[PKT_TRANS_POSTED]; + assign cmd_write = cp_data[PKT_TRANS_WRITE]; + assign cmd_read = cp_data[PKT_TRANS_READ]; + assign cmd_mid = cp_data[PKT_SRC_ID_H :PKT_SRC_ID_L]; + assign cmd_sid = cp_data[PKT_DEST_ID_H:PKT_DEST_ID_L]; + assign cmd_byte_cnt = cp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; + assign cmd_burstwrap = cp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; + assign cmd_burstsize = cp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; + assign cmd_debugaccess = cp_data[PKT_PROTECTION_L]; + + // Local "ready_for_command" signal: deasserted when the agent is unable to accept + // another command, e.g. rdv FIFO is full, (local readdata storage is full && + // ~rp_ready), ... + // Say, this could depend on the type of command, for example, even if the + // rdv FIFO is full, a write request can be accepted. For later. + wire ready_for_command; + + wire local_lock = cp_valid & cp_data[PKT_TRANS_LOCK]; + wire local_write = cp_valid & cp_data[PKT_TRANS_WRITE]; + wire local_read = cp_valid & cp_data[PKT_TRANS_READ]; + wire local_compressed_read = cp_valid & cp_data[PKT_TRANS_COMPRESSED_READ]; + wire nonposted_write_endofpacket = ~cp_data[PKT_TRANS_POSTED] & local_write & cp_endofpacket; + + // num_symbols is PKT_SYMBOLS, appropriately sized. + wire [31:0] int_num_symbols = PKT_SYMBOLS; + wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; + + generate + if (PREVENT_FIFO_OVERFLOW) begin : prevent_fifo_overflow + // --------------------------------------------------- + // Backpressure if the slave says to, or if FIFO overflow may occur. + // + // All commands are backpressured once the FIFO is full + // even if they don't need storage. This breaks a long + // combinatorial path from the master read/write through + // this logic and back to the master via the backpressure + // path. + // + // To avoid a loss of throughput the FIFO will be parameterized + // one slot deeper. The extra slot should never be used in normal + // operation, but should a slave misbehave and accept one more + // read than it should then backpressure will kick in. + // + // An example: assume a slave with MPRT = 2. It can accept a + // command sequence RRWW without backpressuring. If the FIFO is + // only 2 deep, we'd backpressure the writes leading to loss of + // throughput. If the FIFO is 3 deep, we'll only backpressure when + // RRR... which is an illegal condition anyway. + // --------------------------------------------------- + + assign ready_for_command = rf_source_ready; + assign cp_ready = (~m0_waitrequest | ~byteen_asserted) && ready_for_command; + + end else begin : no_prevent_fifo_overflow + + // Do not suppress the command or the slave will + // not be able to waitrequest + assign ready_for_command = 1'b1; + // Backpressure only if the slave says to. + assign cp_ready = ~m0_waitrequest | ~byteen_asserted; + + end + endgenerate + + generate if (SUPPRESS_0_BYTEEN_CMD) begin : suppress_0_byteen_cmd + assign byteen_asserted = |cmd_byteen; + end else begin : no_suppress_0_byteen_cmd + assign byteen_asserted = 1'b1; + end + endgenerate + + // ------------------------------------------------------------------- + // Extract avalon signals from command packet. + // ------------------------------------------------------------------- + // Mask off the lower bits of address. + // The burst adapter before this component will break narrow sized packets + // into sub-bursts of length 1. However, the packet addresses are preserved, + // which means this component may see size-aligned addresses. + // + // Masking ensures that the addresses seen by an Avalon slave are aligned to + // the full data width instead of the size. + // + // Example: + // output from burst adapter (datawidth=4, size=2 bytes): + // subburst1 addr=0, subburst2 addr=2, subburst3 addr=4, subburst4 addr=6 + // expected output from slave agent: + // subburst1 addr=0, subburst2 addr=0, subburst3 addr=4, subburst4 addr=4 + generate + if (BITS_TO_MASK > 0) begin : mask_address + + assign m0_address = { cmd_addr[ADDR_W-1:BITS_TO_MASK], {BITS_TO_MASK{1'b0}} }; + + end else begin : no_mask_address + + assign m0_address = cmd_addr; + + end + endgenerate + + assign m0_byteenable = cmd_byteen; + assign m0_writedata = cmd_data; + + // Note: no Avalon-MM slave in existence accepts uncompressed read bursts - + // this sort of burst exists only in merlin fabric ST packets. What to do + // if we see such a burst? All beats in that burst need to be transmitted + // to the slave so we have enough space-time for byteenable expression. + // + // There can be multiple bursts in a packet, but only one beat per burst + // in cases. The exception is when we've decided not to insert a + // burst adapter for efficiency reasons, in which case this agent is also + // responsible for driving burstcount to 1 on each beat of an uncompressed + // read burst. + + assign m0_read = ready_for_command & byteen_asserted & + (local_compressed_read | local_read); + + generate + begin : m0_burstcount_zero_pad + // AVS_BURSTCOUNT_W and BYTE_CNT_W may not be equal. Assign m0_burstcount + // from a sub-range, or 0-pad, as appropriate. + if (AVS_BURSTCOUNT_W > BYTE_CNT_W) begin + wire [AVS_BURSTCOUNT_W - BYTE_CNT_W - 1 : 0] zero_pad = + {(AVS_BURSTCOUNT_W - BYTE_CNT_W) {1'b0}}; + assign m0_burstcount = (local_read & ~local_compressed_read) ? + {zero_pad, num_symbols} : + {zero_pad, cmd_byte_cnt}; + end + else begin : m0_burstcount_no_pad + assign m0_burstcount = (local_read & ~local_compressed_read) ? + num_symbols[AVS_BURSTCOUNT_W-1:0] : + cmd_byte_cnt[AVS_BURSTCOUNT_W-1:0]; + end + end + endgenerate + + assign m0_write = ready_for_command & local_write & byteen_asserted; + assign m0_lock = ready_for_command & local_lock & (m0_read | m0_write); + assign m0_debugaccess = cmd_debugaccess; + // For now, to support write response + assign m0_writeresponserequest = ready_for_command & local_write & byteen_asserted & !cmd_posted; + //assign m0_writeresponserequest = '0; + + // ------------------------------------------------------------------- + // Indirection layer for response packet values. Some may always wire + // directly from the slave translator; others will no doubt emerge from + // various FIFOs. + // What to put in resp_data when a write occured? Answer: it does not + // matter, because only response status is needed for non-posted writes, + // and the packet already has a field for that. + + // tgngo:Use the rdata_fifo to store write response as well + // So that we wont lost response if master can back-pressured + // as well as it needs for write response merging + assign rdata_fifo_src_valid = m0_readdatavalid | m0_writeresponsevalid; + //assign rdata_fifo_src_valid = m0_readdatavalid; + assign rdata_fifo_src_data = {m0_response,m0_readdata}; + + // ------------------------------------------------------------------ + // Generate a token when read commands are suppressed. The token + // is stored in the response FIFO, and will be used to synthesize + // a read response. The same token is used for non-posted write + // response synthesis. + // + // Note: this token is not generated for suppressed uncompressed read cycles; + // the burst uncompression logic at the read side of the response FIFO + // generates the correct number of responses. + // ------------------------------------------------------------------ + // When the slave can return the response, let it does its works. Dont generate sysnthesis response + assign needs_response_synthesis = ((local_read | local_compressed_read) & !byteen_asserted) | (nonposted_write_endofpacket && !USE_WRITERESPONSE); + + // Avalon-ST interfaces to external response fifo: + // tgngo:Currently, with "generate response synthesis", only one write command is allowed to write in at eop of non-posted write + // To support response from slave, we need to store each sub-burst of write command into fifo. + // Each sub-burst will return a response and these two command and response are popped out together + // Resposne merging will happen and at end_of_packet of the command - the last sub-burst write + // the slave agent will send out the final merged response + + wire internal_cp_endofburst; + wire [31:0] minimum_bytecount_wire = PKT_SYMBOLS; // to solve qis warning + wire [AVS_BURSTCOUNT_W-1:0] minimum_bytecount; + assign minimum_bytecount = minimum_bytecount_wire[AVS_BURSTCOUNT_W-1:0]; + assign internal_cp_endofburst = (cmd_byte_cnt == minimum_bytecount); + wire local_nonposted_write = ~cp_data[PKT_TRANS_POSTED] & local_write; + wire nonposted_end_of_subburst = local_nonposted_write & internal_cp_endofburst; + + assign rf_source_valid = (local_read | local_compressed_read | (nonposted_write_endofpacket && !USE_WRITERESPONSE) | (USE_WRITERESPONSE && nonposted_end_of_subburst)) + & ready_for_command & cp_ready; + assign rf_source_startofpacket = cp_startofpacket; + assign rf_source_endofpacket = cp_endofpacket; + always @* begin + // default: assign every command packet field to the response FIFO... + rf_source_data = {1'b0, cp_data}; + + // ... and override select fields as needed. + rf_source_data[FIFO_DATA_W-1] = needs_response_synthesis; + rf_source_data[PKT_DATA_H :PKT_DATA_L] = {DATA_W {1'b0}}; + rf_source_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = cmd_byteen; + rf_source_data[PKT_ADDR_H :PKT_ADDR_L] = cmd_addr; + //rf_source_data[PKT_ADDR_H :PKT_ADDR_L] = m0_address; + rf_source_data[PKT_TRANS_COMPRESSED_READ] = cmd_compressed; + rf_source_data[PKT_TRANS_POSTED] = cmd_posted; + rf_source_data[PKT_TRANS_WRITE] = cmd_write; + rf_source_data[PKT_TRANS_READ] = cmd_read; + rf_source_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = cmd_mid; + rf_source_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = cmd_sid; + rf_source_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = cmd_byte_cnt; + rf_source_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = cmd_burstwrap; + rf_source_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = cmd_burstsize; + rf_source_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = '0; + rf_source_data[PKT_PROTECTION_L] = cmd_debugaccess; + end + + wire uncompressor_source_valid; + wire [BURSTSIZE_W-1:0] uncompressor_burstsize; + + //assign rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + // tgngo: last_write_response indicates the last response of the burst (incase need sub-burst) + // at this time, the final response merged will send out, and rp_valid is only asserted + // for one response for whole burst + generate + if (USE_READRESPONSE & USE_WRITERESPONSE) begin + wire last_write_response = rf_sink_data[PKT_TRANS_WRITE] & !rf_sink_data[PKT_TRANS_POSTED] & rf_sink_endofpacket; + always @* begin + if (rf_sink_data[PKT_TRANS_WRITE] == 1) + rp_valid = rdata_fifo_sink_valid & last_write_response; + else + rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + end + end else begin + always @* begin + rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + end + end + endgenerate + // ------------------------------------------------------------------ + // Response merging + // ------------------------------------------------------------------ + wire [1:0] current_response = rdata_fifo_sink_data[AVS_DATA_W+1:AVS_DATA_W]; + reg [1:0] response_merged; + generate + begin: response_merging + if (USE_READRESPONSE & USE_WRITERESPONSE) begin + reg first_write_response; + reg reset_merged_output; + reg [1:0] previous_response_in; + reg [1:0] previous_response; + + always_ff @(posedge clk, posedge reset) begin + if (reset) begin + first_write_response <= 1'b1; + end + else begin // Merging work for write response, for read: previous_response_in = current_response + if (rf_sink_valid & rdata_fifo_sink_valid & rf_sink_data[PKT_TRANS_WRITE]) begin + first_write_response <= 1'b0; + if (rf_sink_endofpacket) + first_write_response <= 1'b1; + end + end + end + + always_comb begin + reset_merged_output = first_write_response && rdata_fifo_sink_valid; + previous_response_in = reset_merged_output ? current_response : previous_response; + response_merged = current_response >= previous_response ? current_response: previous_response_in; + end + + always_ff @(posedge clk or posedge reset) begin + if (reset) begin + previous_response <= 2'b00; + end + else begin + if (rf_sink_valid & rdata_fifo_sink_valid) begin + previous_response <= response_merged; + end + end + end + end else begin + always @* begin + response_merged = current_response; + end + end + end + endgenerate + + assign generate_response = rf_sink_data[FIFO_DATA_W-1]; + + wire [BYTE_CNT_W-1:0] rf_sink_byte_cnt = rf_sink_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; + wire rf_sink_compressed = rf_sink_data[PKT_TRANS_COMPRESSED_READ]; + wire [BURSTWRAP_W-1:0] rf_sink_burstwrap = rf_sink_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; + wire [BURSTSIZE_W-1:0] rf_sink_burstsize = rf_sink_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; + wire [ADDR_W-1:0] rf_sink_addr = rf_sink_data[PKT_ADDR_H:PKT_ADDR_L]; + // a non posted write response is always completed in 1 cycle. Modify the startofpacket signal to 1'b1 instead of taking whatever is in the rf_fifo + wire rf_sink_startofpacket_wire = rf_sink_data[PKT_TRANS_WRITE] ? 1'b1 : rf_sink_startofpacket; + + wire [BYTE_CNT_W-1:0] burst_byte_cnt; + wire [BURSTWRAP_W-1:0] rp_burstwrap; + wire [ADDR_W-1:0] rp_address; + wire rp_is_compressed; + + // ------------------------------------------------------------------ + // Backpressure the readdata fifo if we're supposed to synthesize a response. + // This may be a read response (for suppressed reads) or a write response + // (for non-posted writes). + // ------------------------------------------------------------------ + assign rdata_fifo_sink_ready = rdata_fifo_sink_valid & rp_ready & ~(rf_sink_valid & generate_response); + + always @* begin + // By default, return all fields... + rp_data = rf_sink_data[ST_DATA_W - 1 : 0]; + + // ... and override specific fields. + rp_data[PKT_DATA_H :PKT_DATA_L] = rdata_fifo_sink_data[AVS_DATA_W-1:0]; + // Assignments directly from the response fifo. + rp_data[PKT_TRANS_POSTED] = rf_sink_data[PKT_TRANS_POSTED]; + rp_data[PKT_TRANS_WRITE] = rf_sink_data[PKT_TRANS_WRITE]; + rp_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = rf_sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + rp_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = rf_sink_data[PKT_SRC_ID_H : PKT_SRC_ID_L]; + rp_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = rf_sink_data[PKT_BYTEEN_H : PKT_BYTEEN_L]; + rp_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = rf_sink_data[PKT_PROTECTION_H:PKT_PROTECTION_L]; + + // Burst uncompressor assignments + rp_data[PKT_ADDR_H :PKT_ADDR_L] = rp_address; + rp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = rp_burstwrap; + rp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = burst_byte_cnt; + rp_data[PKT_TRANS_READ] = rf_sink_data[PKT_TRANS_READ] | rf_sink_data[PKT_TRANS_COMPRESSED_READ]; + rp_data[PKT_TRANS_COMPRESSED_READ] = rp_is_compressed; + + // avalon slaves always respond with "okay" -> not true for now + //rp_data[PKT_RESPONSE_STATUS_H:PKT_RESPONSE_STATUS_L] = {RESPONSE_W{ 1'b0 }}; + rp_data[PKT_RESPONSE_STATUS_H:PKT_RESPONSE_STATUS_L] = response_merged; + rp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = uncompressor_burstsize; + end + + // ------------------------------------------------------------------ + // Note: the burst uncompressor may be asked to generate responses for + // write packets; these are treated the same as single-cycle uncompressed + // reads. + // ------------------------------------------------------------------ + altera_merlin_burst_uncompressor #( + .ADDR_W (ADDR_W), + .BURSTWRAP_W (BURSTWRAP_W), + .BYTE_CNT_W (BYTE_CNT_W), + .PKT_SYMBOLS (PKT_SYMBOLS) + ) uncompressor + ( + .clk (clk), + .reset (reset), + .sink_startofpacket (rf_sink_startofpacket_wire), + .sink_endofpacket (rf_sink_endofpacket), + .sink_valid (rf_sink_valid & (rdata_fifo_sink_valid | generate_response)), + .sink_ready (rf_sink_ready), + .sink_addr (rf_sink_addr), + .sink_burstwrap (rf_sink_burstwrap), + .sink_byte_cnt (rf_sink_byte_cnt), + .sink_is_compressed (rf_sink_compressed), + .sink_burstsize (rf_sink_burstsize), + + .source_startofpacket (rp_startofpacket), + .source_endofpacket (rp_endofpacket), + .source_valid (uncompressor_source_valid), + .source_ready (rp_ready), + .source_addr (rp_address), + .source_burstwrap (rp_burstwrap), + .source_byte_cnt (burst_byte_cnt), + .source_is_compressed (rp_is_compressed), + .source_burstsize (uncompressor_burstsize) + ); + +//-------------------------------------- +// Assertion: In case slave support response. Yhe slave needs return response in order +// Ex: non-posted write followed by a read: write response must complete before read data +//-------------------------------------- +// synthesis translate_off +ERROR_write_response_and_read_response_cannot_happen_same_time: + assert property ( @(posedge clk) + disable iff (reset) !(m0_writeresponsevalid && m0_readdatavalid) + ); + +// synthesis translate_on +endmodule + diff --git a/nios_system/synthesis/submodules/altera_merlin_slave_translator.sv b/nios_system/synthesis/submodules/altera_merlin_slave_translator.sv new file mode 100644 index 0000000..bcb2311 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_merlin_slave_translator.sv @@ -0,0 +1,533 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator.sv#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Slave Translator +// +// Translates Universal Avalon MM Slave +// to any Avalon MM Slave +// ------------------------------------- +// +//Notable Note: 0 AV_READLATENCY is not allowed and will be converted to a 1 cycle readlatency in all cases but one +//If you declare a slave with fixed read timing requirements, the readlatency of such a slave will be allowed to be zero +//The key feature here is that no same cycle turnaround data is processed through the fabric. + +//import avalon_utilities_pkg::*; + +`timescale 1 ns / 1 ns + +module altera_merlin_slave_translator + #( + parameter + //Widths + AV_ADDRESS_W = 32, + AV_DATA_W = 32, + AV_BURSTCOUNT_W = 4, + AV_BYTEENABLE_W = 4, + UAV_BYTEENABLE_W = 4, + + //Read Latency + AV_READLATENCY = 1, + + //Timing + AV_READ_WAIT_CYCLES = 0, + AV_WRITE_WAIT_CYCLES = 0, + AV_SETUP_WAIT_CYCLES = 0, + AV_DATA_HOLD_CYCLES = 0, + + //Optional Port Declarations + USE_READDATAVALID = 1, + USE_WAITREQUEST = 1, + USE_READRESPONSE = 0, + USE_WRITERESPONSE = 0, + + //Variable Addressing + AV_SYMBOLS_PER_WORD = 4, + AV_ADDRESS_SYMBOLS = 0, + AV_BURSTCOUNT_SYMBOLS = 0, + BITS_PER_WORD = clog2_plusone(AV_SYMBOLS_PER_WORD - 1), + UAV_ADDRESS_W = 38, + UAV_BURSTCOUNT_W = 10, + UAV_DATA_W = 32, + + AV_CONSTANT_BURST_BEHAVIOR = 0, + UAV_CONSTANT_BURST_BEHAVIOR = 0, + CHIPSELECT_THROUGH_READLATENCY = 0, + + // Tightly-Coupled Options + USE_UAV_CLKEN = 0, + AV_REQUIRE_UNALIGNED_ADDRESSES = 0 + ) + ( + + // ------------------- + // Clock & Reset + // ------------------- + input wire clk, + input wire reset, + + // ------------------- + // Universal Avalon Slave + // ------------------- + + input wire [UAV_ADDRESS_W - 1 : 0] uav_address, + input wire [UAV_DATA_W - 1 : 0] uav_writedata, + input wire uav_write, + input wire uav_read, + input wire [UAV_BURSTCOUNT_W - 1 : 0] uav_burstcount, + input wire [UAV_BYTEENABLE_W - 1 : 0] uav_byteenable, + input wire uav_lock, + input wire uav_debugaccess, + input wire uav_clken, + + output logic uav_readdatavalid, + output logic uav_waitrequest, + output logic [UAV_DATA_W - 1 : 0] uav_readdata, + output logic [1:0] uav_response, + input wire uav_writeresponserequest, + output logic uav_writeresponsevalid, + + // ------------------- + // Customizable Avalon Master + // ------------------- + output logic [AV_ADDRESS_W - 1 : 0] av_address, + output logic [AV_DATA_W - 1 : 0] av_writedata, + output logic av_write, + output logic av_read, + output logic [AV_BURSTCOUNT_W - 1 : 0] av_burstcount, + output logic [AV_BYTEENABLE_W - 1 : 0] av_byteenable, + output logic [AV_BYTEENABLE_W - 1 : 0] av_writebyteenable, + output logic av_begintransfer, + output wire av_chipselect, + output logic av_beginbursttransfer, + output logic av_lock, + output wire av_clken, + output wire av_debugaccess, + output wire av_outputenable, + + input logic [AV_DATA_W - 1 : 0] av_readdata, + input logic av_readdatavalid, + input logic av_waitrequest, + + input logic [1:0] av_response, + output logic av_writeresponserequest, + input wire av_writeresponsevalid + + ); + + function integer clog2_plusone; + input [31:0] Depth; + integer i; + begin + i = Depth; + for(clog2_plusone = 0; i > 0; clog2_plusone = clog2_plusone + 1) + i = i >> 1; + end + + endfunction + + function integer max; + //returns the larger of two passed arguments + input [31:0] one; + input [31:0] two; + + if(one > two) + max=one; + else + max=two; + endfunction // int + + localparam AV_READ_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_READ_WAIT_CYCLES); + localparam AV_WRITE_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_WRITE_WAIT_CYCLES); + localparam AV_DATA_HOLD_INDEXED = (AV_WRITE_WAIT_INDEXED + AV_DATA_HOLD_CYCLES); + localparam LOG2_OF_LATENCY_SUM = max(clog2_plusone(AV_READ_WAIT_INDEXED + 1),clog2_plusone(AV_DATA_HOLD_INDEXED + 1)); + localparam BURSTCOUNT_SHIFT_SELECTOR = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD; + localparam ADDRESS_SHIFT_SELECTOR = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD; + + localparam ADDRESS_HIGH = ( UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_SHIFT_SELECTOR ) ? + AV_ADDRESS_W : + UAV_ADDRESS_W - ADDRESS_SHIFT_SELECTOR; + + localparam BURSTCOUNT_HIGH = ( UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_SHIFT_SELECTOR ) ? + AV_BURSTCOUNT_W : + UAV_BURSTCOUNT_W - BURSTCOUNT_SHIFT_SELECTOR; + localparam BYTEENABLE_ADDRESS_BITS = ( clog2_plusone(UAV_BYTEENABLE_W) - 1 ) >= 1 ? clog2_plusone(UAV_BYTEENABLE_W) - 1 : 1; + + + // Calculate the symbols per word as the power of 2 extended symbols per word + wire [31 : 0] symbols_per_word_int = 2**(clog2_plusone(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_W : 0] - 1)); + wire [UAV_BURSTCOUNT_W : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_W : 0]; + + // +-------------------------------- + // |Backwards Compatibility Signals + // +-------------------------------- + assign av_clken = (USE_UAV_CLKEN) ? uav_clken : 1'b1; + assign av_debugaccess = uav_debugaccess; + + // +------------------- + // |Passthru Signals + // +------------------- + always_comb + begin + if (!USE_READRESPONSE && !USE_WRITERESPONSE) begin + uav_response = '0; + end else begin + uav_response = av_response; + end + end + assign av_writeresponserequest = uav_writeresponserequest; + assign uav_writeresponsevalid = av_writeresponsevalid; + + //------------------------- + //Writedata and Byteenable + //------------------------- + + always@* begin + av_byteenable = '0; + av_byteenable = uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; + end + + always@* begin + av_writedata = '0; + av_writedata = uav_writedata[AV_DATA_W - 1 : 0]; + end + + // +------------------- + // |Calculated Signals + // +------------------- + + logic [UAV_ADDRESS_W - 1 : 0 ] real_uav_address; + + function [BYTEENABLE_ADDRESS_BITS - 1 : 0 ] decode_byteenable; + input [UAV_BYTEENABLE_W - 1 : 0 ] byteenable; + + for(int i = 0 ; i < UAV_BYTEENABLE_W; i++ ) begin + if(byteenable[i] == 1) begin + return i; + end + end + + return '0; + + endfunction + + reg [AV_BURSTCOUNT_W - 1 : 0] burstcount_reg; + reg [AV_ADDRESS_W - 1 : 0] address_reg; + + + always@(posedge clk, posedge reset) begin + if(reset) begin + burstcount_reg <= '0; + address_reg <= '0; + end + else begin + burstcount_reg <= burstcount_reg; + address_reg <= address_reg; + + if(av_beginbursttransfer) begin + burstcount_reg <= uav_burstcount [BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; + address_reg <= real_uav_address [ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; + + end + end + end + + + logic [BYTEENABLE_ADDRESS_BITS-1:0] temp_wire; + + always@* begin + if( AV_REQUIRE_UNALIGNED_ADDRESSES == 1) begin + temp_wire = decode_byteenable(uav_byteenable); + + real_uav_address = { uav_address[UAV_ADDRESS_W - 1 : BYTEENABLE_ADDRESS_BITS ], temp_wire[BYTEENABLE_ADDRESS_BITS - 1 : 0 ] }; + end + else begin + real_uav_address = uav_address; + end + + av_address = real_uav_address[ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; + + if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) + av_address = address_reg; + end + + always@* begin + av_burstcount=uav_burstcount[BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; + + if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) + av_burstcount = burstcount_reg; + end + + always@* begin + av_lock = uav_lock; + end + + // ------------------- + // Writebyteenable Assignment + // ------------------- + +always@* begin + av_writebyteenable = { (AV_BYTEENABLE_W){uav_write} } & uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; +end + + // ------------------- + // Waitrequest Assignment + // ------------------- + + reg av_waitrequest_generated; + reg av_waitrequest_generated_read; + reg av_waitrequest_generated_write; + reg waitrequest_reset_override; + + reg [ ( LOG2_OF_LATENCY_SUM ? LOG2_OF_LATENCY_SUM - 1 : 0 ) : 0 ] wait_latency_counter; + + always@(posedge reset, posedge clk) begin + + if(reset) begin + wait_latency_counter <= '0; + waitrequest_reset_override <= 1'h1; + end + else begin + waitrequest_reset_override <= 1'h0; + + wait_latency_counter <= '0; + + if( uav_read | uav_write ) + wait_latency_counter <= wait_latency_counter + 1'h1; + + if( ~uav_waitrequest | waitrequest_reset_override ) + wait_latency_counter <= '0; + + end + + end + + + always @* begin + + av_read = uav_read; + av_write = uav_write; + + av_waitrequest_generated = 1'h1; + av_waitrequest_generated_read = 1'h1; + av_waitrequest_generated_write = 1'h1; + + if(LOG2_OF_LATENCY_SUM == 1) + av_waitrequest_generated = 0; + + if(LOG2_OF_LATENCY_SUM > 1 && !USE_WAITREQUEST) begin + av_read = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_read; + av_write = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_write && wait_latency_counter <= AV_WRITE_WAIT_INDEXED; + + av_waitrequest_generated_read = wait_latency_counter != AV_READ_WAIT_INDEXED; + av_waitrequest_generated_write = wait_latency_counter != AV_DATA_HOLD_INDEXED; + + if(uav_write) + av_waitrequest_generated = av_waitrequest_generated_write; + else + av_waitrequest_generated = av_waitrequest_generated_read; + + end + + if(USE_WAITREQUEST) begin + uav_waitrequest = av_waitrequest; + end + else begin + uav_waitrequest = av_waitrequest_generated | waitrequest_reset_override; + end + + end + + // -------------- + // Readdata Assignment + // -------------- + + reg[(AV_DATA_W ? AV_DATA_W -1 : 0 ): 0] av_readdata_pre; + + always@(posedge clk, posedge reset) begin + if(reset) + av_readdata_pre <= 'b0; + else + av_readdata_pre <= av_readdata; + end + + always@* begin + uav_readdata = '0; + + if( AV_READLATENCY != 0 || USE_READDATAVALID ) begin + uav_readdata = av_readdata; + end + else begin + uav_readdata = av_readdata_pre; + end + end + // ------------------- + // Readdatavalid Assigment + // ------------------- + + reg[(AV_READLATENCY>0 ? AV_READLATENCY-1:0) :0] read_latency_shift_reg; + reg top_read_latency_shift_reg; + + + + always@* begin + + uav_readdatavalid=top_read_latency_shift_reg; + + if(USE_READDATAVALID) begin + uav_readdatavalid = av_readdatavalid; + end + + end + + always@* begin + + top_read_latency_shift_reg = uav_read & ~uav_waitrequest & ~waitrequest_reset_override; + + if(AV_READLATENCY == 1 || AV_READLATENCY == 0 ) begin + top_read_latency_shift_reg=read_latency_shift_reg; + end + + if (AV_READLATENCY > 1) begin + top_read_latency_shift_reg = read_latency_shift_reg[(AV_READLATENCY ? AV_READLATENCY-1 : 0)]; + end + + end + + always@(posedge reset, posedge clk) begin + + if (reset) begin + read_latency_shift_reg <= '0; + end + else if (av_clken) begin + + read_latency_shift_reg <= uav_read && ~uav_waitrequest & ~waitrequest_reset_override; + + for (int i=0; i+1 < AV_READLATENCY ; i+=1 ) begin + read_latency_shift_reg[i+1] <= read_latency_shift_reg[i]; + end + + end + + end + + // ------------ + // Chipselect and OutputEnable + // ------------ + + reg av_chipselect_pre; + wire cs_extension; + reg av_outputenable_pre; + + + assign av_chipselect = (uav_read | uav_write) ? 1'b1 : av_chipselect_pre; + assign cs_extension = ( (^ read_latency_shift_reg) & ~top_read_latency_shift_reg ) | ((| read_latency_shift_reg) & ~(^ read_latency_shift_reg)); + + assign av_outputenable = uav_read ? 1'b1 : av_outputenable_pre; + + always@(posedge reset, posedge clk) begin + if(reset) + av_outputenable_pre <= 1'b0; + else if( AV_READLATENCY == 0 && AV_READ_WAIT_INDEXED != 0 ) + av_outputenable_pre <= 0; + else + av_outputenable_pre <= cs_extension | uav_read; + end + + always@(posedge reset, posedge clk) begin + if(reset) begin + av_chipselect_pre <= 1'b0; + end + else begin + av_chipselect_pre <= 1'b0; + + if(AV_READLATENCY != 0 && CHIPSELECT_THROUGH_READLATENCY == 1) begin + //The AV_READLATENCY term is only here to prevent chipselect from remaining asserted while read and write fall. + //There is no functional impact as 0 cycle transactions are treated as 1 cycle on the other side of the translator. + if(uav_read) begin + av_chipselect_pre <= 1'b1; + end + else if(cs_extension == 1) begin + av_chipselect_pre <= 1'b1; + end + + end + end + end + + // ------------------- + // Begintransfer Assigment + // ------------------- + + reg end_begintransfer; + + always@* begin + av_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; + end + + always@ ( posedge clk or posedge reset ) begin + + if(reset) begin + end_begintransfer <= 1'b0; + end + else begin + + if(av_begintransfer == 1 && uav_waitrequest && ~waitrequest_reset_override) + end_begintransfer <= 1'b1; + else if(uav_waitrequest) + end_begintransfer <= end_begintransfer; + else + end_begintransfer <= 1'b0; + + end + + end + + // ------------------- + // Beginbursttransfer Assigment + // ------------------- + + reg end_beginbursttransfer; + reg in_transfer; + + + + always@* begin + av_beginbursttransfer = uav_read ? av_begintransfer : (av_begintransfer && ~end_beginbursttransfer && ~in_transfer); + end + + always@ ( posedge clk or posedge reset ) begin + if(reset) begin + end_beginbursttransfer <= 1'b0; + in_transfer <= 1'b0; + end + else begin + + end_beginbursttransfer <= uav_write & ( uav_burstcount != symbols_per_word ); + + if(uav_write && uav_burstcount == symbols_per_word) + in_transfer <=1'b0; + else if(uav_write) + in_transfer <=1'b1; + + end + + end + +endmodule diff --git a/nios_system/synthesis/submodules/altera_reset_controller.sdc b/nios_system/synthesis/submodules/altera_reset_controller.sdc new file mode 100644 index 0000000..d58dd05 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_reset_controller.sdc @@ -0,0 +1,33 @@ +# (C) 2001-2013 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any output +# files any of the foregoing (including device programming or simulation +# files), and any associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License Subscription +# Agreement, Altera MegaCore Function License Agreement, or other applicable +# license agreement, including, without limitation, that your use is for the +# sole purpose of programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the applicable +# agreement for further details. + + +# +--------------------------------------------------- +# | Cut the async clear paths +# +--------------------------------------------------- +set aclr_counter 0 +set clrn_counter 0 +set aclr_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] +set clrn_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] +foreach_in_collection aclr_pin $aclr_collection { + set aclr_counter [expr $aclr_counter + 1] +} +foreach_in_collection clrn_pin $clrn_collection { + set clrn_counter [expr $clrn_counter + 1] +} +if {$aclr_counter > 0} { + set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] +} + +if {$clrn_counter > 0} { + set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] +} diff --git a/nios_system/synthesis/submodules/altera_reset_controller.v b/nios_system/synthesis/submodules/altera_reset_controller.v new file mode 100644 index 0000000..7c250c7 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_reset_controller.v @@ -0,0 +1,206 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_reset_controller/altera_reset_controller.v#2 $ +// $Revision: #2 $ +// $Date: 2013/06/03 $ +// $Author: wkleong $ + +// -------------------------------------- +// Reset controller +// +// Combines all the input resets and synchronizes +// the result to the clk. +// ACDS13.1 - Added reset request as part of reset sequencing +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_reset_controller +#( + parameter NUM_RESET_INPUTS = 6, + parameter OUTPUT_RESET_SYNC_EDGES = "deassert", + parameter SYNC_DEPTH = 2, + parameter RESET_REQUEST_PRESENT = 0 +) +( + // -------------------------------------- + // We support up to 16 reset inputs, for now + // -------------------------------------- + input reset_in0, + input reset_in1, + input reset_in2, + input reset_in3, + input reset_in4, + input reset_in5, + input reset_in6, + input reset_in7, + input reset_in8, + input reset_in9, + input reset_in10, + input reset_in11, + input reset_in12, + input reset_in13, + input reset_in14, + input reset_in15, + + input clk, + output reg reset_out, + output reg reset_req +); + + localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert"); + + localparam DEPTH = 2; + localparam CLKEN_LAGS_RESET = 0; + localparam EARLY_RST_TAP = (CLKEN_LAGS_RESET != 0) ? 0 : 1; + + wire merged_reset; + wire reset_out_pre; + + // Registers and Interconnect + (*preserve*) reg [SYNC_DEPTH: 0] altera_reset_synchronizer_int_chain; + reg [(SYNC_DEPTH-1): 0] r_sync_rst_chain; + reg r_sync_rst_dly; + reg r_sync_rst; + reg r_early_rst; + + // -------------------------------------- + // "Or" all the input resets together + // -------------------------------------- + assign merged_reset = ( + reset_in0 | + reset_in1 | + reset_in2 | + reset_in3 | + reset_in4 | + reset_in5 | + reset_in6 | + reset_in7 | + reset_in8 | + reset_in9 | + reset_in10 | + reset_in11 | + reset_in12 | + reset_in13 | + reset_in14 | + reset_in15 + ); + + // -------------------------------------- + // And if required, synchronize it to the required clock domain, + // with the correct synchronization type + // -------------------------------------- + generate if (OUTPUT_RESET_SYNC_EDGES == "none") begin + + assign reset_out_pre = merged_reset; + + end else begin + + altera_reset_synchronizer + #( + .DEPTH (SYNC_DEPTH), + .ASYNC_RESET(ASYNC_RESET) + ) + alt_rst_sync_uq1 + ( + .clk (clk), + .reset_in (merged_reset), + .reset_out (reset_out_pre) + ); + + end + endgenerate + + generate if (RESET_REQUEST_PRESENT == 0) begin + always @* begin + reset_out = reset_out_pre; + reset_req = 1'b0; + end + end + else begin + + // 3-FF Metastability Synchronizer + initial + begin + altera_reset_synchronizer_int_chain <= 3'b111; + end + + always @(posedge clk) + begin + altera_reset_synchronizer_int_chain[2:0] <= {altera_reset_synchronizer_int_chain[1:0], reset_out_pre}; + end + + + // Synchronous reset pipe + initial + begin + r_sync_rst_chain <= {DEPTH{1'b1}}; + end + + always @(posedge clk) + begin + if (altera_reset_synchronizer_int_chain[2] == 1'b1) + begin + r_sync_rst_chain <= {DEPTH{1'b1}}; + end + else + begin + r_sync_rst_chain <= {1'b0, r_sync_rst_chain[DEPTH-1:1]}; + end + end + + // Standard synchronous reset output. From 0-1, the transition lags the early output. For 1->0, the transition + // matches the early input. + initial + begin + r_sync_rst_dly <= 1'b1; + r_sync_rst <= 1'b1; + r_early_rst <= 1'b1; + end + + always @(posedge clk) + begin + // Delayed reset pipeline register + r_sync_rst_dly <= r_sync_rst_chain[DEPTH-1]; + + case ({r_sync_rst_dly, r_sync_rst_chain[1], r_sync_rst}) + 3'b000: r_sync_rst <= 1'b0; // Not reset + 3'b001: r_sync_rst <= 1'b0; + 3'b010: r_sync_rst <= 1'b0; + 3'b011: r_sync_rst <= 1'b1; + 3'b100: r_sync_rst <= 1'b1; + 3'b101: r_sync_rst <= 1'b1; + 3'b110: r_sync_rst <= 1'b1; + 3'b111: r_sync_rst <= 1'b1; // In Reset + default: r_sync_rst <= 1'b1; + endcase + + case ({r_sync_rst_chain[DEPTH-1], r_sync_rst_chain[EARLY_RST_TAP]}) + 2'b00: r_early_rst <= 1'b0; // Not reset + 2'b01: r_early_rst <= 1'b1; // Coming out of reset + 2'b10: r_early_rst <= 1'b0; // Spurious reset - should not be possible via synchronous design. + 2'b11: r_early_rst <= 1'b1; // Held in reset + default: r_early_rst <= 1'b1; + endcase + end + + always @* begin + reset_out = r_sync_rst; + reset_req = r_early_rst; + end + + end + endgenerate + +endmodule diff --git a/nios_system/synthesis/submodules/altera_reset_synchronizer.v b/nios_system/synthesis/submodules/altera_reset_synchronizer.v new file mode 100644 index 0000000..14936c3 --- /dev/null +++ b/nios_system/synthesis/submodules/altera_reset_synchronizer.v @@ -0,0 +1,87 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ----------------------------------------------- +// Reset Synchronizer +// ----------------------------------------------- +`timescale 1 ns / 1 ns + +module altera_reset_synchronizer +#( + parameter ASYNC_RESET = 1, + parameter DEPTH = 2 +) +( + input reset_in /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */, + + input clk, + output reset_out +); + + // ----------------------------------------------- + // Synchronizer register chain. We cannot reuse the + // standard synchronizer in this implementation + // because our timing constraints are different. + // + // Instead of cutting the timing path to the d-input + // on the first flop we need to cut the aclr input. + // + // We omit the "preserve" attribute on the final + // output register, so that the synthesis tool can + // duplicate it where needed. + // ----------------------------------------------- + (*preserve*) reg [DEPTH-1:0] altera_reset_synchronizer_int_chain; + reg altera_reset_synchronizer_int_chain_out; + + generate if (ASYNC_RESET) begin + + // ----------------------------------------------- + // Assert asynchronously, deassert synchronously. + // ----------------------------------------------- + always @(posedge clk or posedge reset_in) begin + if (reset_in) begin + altera_reset_synchronizer_int_chain <= {DEPTH{1'b1}}; + altera_reset_synchronizer_int_chain_out <= 1'b1; + end + else begin + altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; + altera_reset_synchronizer_int_chain[DEPTH-1] <= 0; + altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; + end + end + + assign reset_out = altera_reset_synchronizer_int_chain_out; + + end else begin + + // ----------------------------------------------- + // Assert synchronously, deassert synchronously. + // ----------------------------------------------- + always @(posedge clk) begin + altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; + altera_reset_synchronizer_int_chain[DEPTH-1] <= reset_in; + altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; + end + + assign reset_out = altera_reset_synchronizer_int_chain_out; + + end + endgenerate + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_LEDRs.v b/nios_system/synthesis/submodules/nios_system_LEDRs.v new file mode 100644 index 0000000..63ed909 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_LEDRs.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_LEDRs ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output [ 17: 0] out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg [ 17: 0] data_out; + wire [ 17: 0] out_port; + wire [ 17: 0] read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {18 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata[17 : 0]; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_LEDs.v b/nios_system/synthesis/submodules/nios_system_LEDs.v new file mode 100644 index 0000000..4e22fe4 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_LEDs.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_LEDs ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output [ 7: 0] out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg [ 7: 0] data_out; + wire [ 7: 0] out_port; + wire [ 7: 0] read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {8 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata[7 : 0]; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_addr_router.sv b/nios_system/synthesis/submodules/nios_system_addr_router.sv new file mode 100644 index 0000000..3c8760e --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_addr_router.sv @@ -0,0 +1,224 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_addr_router_default_decode + #( + parameter DEFAULT_CHANNEL = 1, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 15 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_addr_router +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 0; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + localparam PAD0 = log2ceil(64'h40000 - 64'h0); + localparam PAD1 = log2ceil(64'h41000 - 64'h40800); + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h41000; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH-1; + + wire [PKT_ADDR_W-1 : 0] address = sink_data[OPTIMIZED_ADDR_H : PKT_ADDR_L]; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_addr_router_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = default_destid; + + // -------------------------------------------------- + // Address Decoder + // Sets the channel and destination ID based on the address + // -------------------------------------------------- + + // ( 0x0 .. 0x40000 ) + if ( {address[RG:PAD0],{PAD0{1'b0}}} == 19'h0 ) begin + src_channel = 18'b10; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 15; + end + + // ( 0x40800 .. 0x41000 ) + if ( {address[RG:PAD1],{PAD1{1'b0}}} == 19'h40800 ) begin + src_channel = 18'b01; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 14; + end + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_addr_router_001.sv b/nios_system/synthesis/submodules/nios_system_addr_router_001.sv new file mode 100644 index 0000000..7b8c2d0 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_addr_router_001.sv @@ -0,0 +1,336 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_addr_router_001_default_decode + #( + parameter DEFAULT_CHANNEL = 1, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 15 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_addr_router_001 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 0; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + localparam PAD0 = log2ceil(64'h40000 - 64'h0); + localparam PAD1 = log2ceil(64'h41000 - 64'h40800); + localparam PAD2 = log2ceil(64'h41020 - 64'h41010); + localparam PAD3 = log2ceil(64'h41030 - 64'h41020); + localparam PAD4 = log2ceil(64'h41040 - 64'h41030); + localparam PAD5 = log2ceil(64'h41050 - 64'h41040); + localparam PAD6 = log2ceil(64'h41060 - 64'h41050); + localparam PAD7 = log2ceil(64'h41070 - 64'h41060); + localparam PAD8 = log2ceil(64'h41080 - 64'h41070); + localparam PAD9 = log2ceil(64'h41090 - 64'h41080); + localparam PAD10 = log2ceil(64'h410a0 - 64'h41090); + localparam PAD11 = log2ceil(64'h410b0 - 64'h410a0); + localparam PAD12 = log2ceil(64'h410c0 - 64'h410b0); + localparam PAD13 = log2ceil(64'h410d0 - 64'h410c0); + localparam PAD14 = log2ceil(64'h410e0 - 64'h410d0); + localparam PAD15 = log2ceil(64'h410f0 - 64'h410e0); + localparam PAD16 = log2ceil(64'h41100 - 64'h410f0); + localparam PAD17 = log2ceil(64'h41108 - 64'h41100); + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h41108; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH-1; + + wire [PKT_ADDR_W-1 : 0] address = sink_data[OPTIMIZED_ADDR_H : PKT_ADDR_L]; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_addr_router_001_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = default_destid; + + // -------------------------------------------------- + // Address Decoder + // Sets the channel and destination ID based on the address + // -------------------------------------------------- + + // ( 0x0 .. 0x40000 ) + if ( {address[RG:PAD0],{PAD0{1'b0}}} == 19'h0 ) begin + src_channel = 18'b000000000000000010; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 15; + end + + // ( 0x40800 .. 0x41000 ) + if ( {address[RG:PAD1],{PAD1{1'b0}}} == 19'h40800 ) begin + src_channel = 18'b000000000000000001; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 14; + end + + // ( 0x41010 .. 0x41020 ) + if ( {address[RG:PAD2],{PAD2{1'b0}}} == 19'h41010 ) begin + src_channel = 18'b010000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 13; + end + + // ( 0x41020 .. 0x41030 ) + if ( {address[RG:PAD3],{PAD3{1'b0}}} == 19'h41020 ) begin + src_channel = 18'b100000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 12; + end + + // ( 0x41030 .. 0x41040 ) + if ( {address[RG:PAD4],{PAD4{1'b0}}} == 19'h41030 ) begin + src_channel = 18'b001000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 11; + end + + // ( 0x41040 .. 0x41050 ) + if ( {address[RG:PAD5],{PAD5{1'b0}}} == 19'h41040 ) begin + src_channel = 18'b000100000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 9; + end + + // ( 0x41050 .. 0x41060 ) + if ( {address[RG:PAD6],{PAD6{1'b0}}} == 19'h41050 ) begin + src_channel = 18'b000010000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 8; + end + + // ( 0x41060 .. 0x41070 ) + if ( {address[RG:PAD7],{PAD7{1'b0}}} == 19'h41060 ) begin + src_channel = 18'b000001000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 7; + end + + // ( 0x41070 .. 0x41080 ) + if ( {address[RG:PAD8],{PAD8{1'b0}}} == 19'h41070 ) begin + src_channel = 18'b000000100000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6; + end + + // ( 0x41080 .. 0x41090 ) + if ( {address[RG:PAD9],{PAD9{1'b0}}} == 19'h41080 ) begin + src_channel = 18'b000000010000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5; + end + + // ( 0x41090 .. 0x410a0 ) + if ( {address[RG:PAD10],{PAD10{1'b0}}} == 19'h41090 ) begin + src_channel = 18'b000000001000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; + end + + // ( 0x410a0 .. 0x410b0 ) + if ( {address[RG:PAD11],{PAD11{1'b0}}} == 19'h410a0 ) begin + src_channel = 18'b000000000100000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3; + end + + // ( 0x410b0 .. 0x410c0 ) + if ( {address[RG:PAD12],{PAD12{1'b0}}} == 19'h410b0 ) begin + src_channel = 18'b000000000010000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2; + end + + // ( 0x410c0 .. 0x410d0 ) + if ( {address[RG:PAD13],{PAD13{1'b0}}} == 19'h410c0 ) begin + src_channel = 18'b000000000001000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 16; + end + + // ( 0x410d0 .. 0x410e0 ) + if ( {address[RG:PAD14],{PAD14{1'b0}}} == 19'h410d0 ) begin + src_channel = 18'b000000000000100000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 17; + end + + // ( 0x410e0 .. 0x410f0 ) + if ( {address[RG:PAD15],{PAD15{1'b0}}} == 19'h410e0 ) begin + src_channel = 18'b000000000000010000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; + end + + // ( 0x410f0 .. 0x41100 ) + if ( {address[RG:PAD16],{PAD16{1'b0}}} == 19'h410f0 ) begin + src_channel = 18'b000000000000000100; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1; + end + + // ( 0x41100 .. 0x41108 ) + if ( {address[RG:PAD17],{PAD17{1'b0}}} == 19'h41100 ) begin + src_channel = 18'b000000000000001000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 10; + end + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_cmd_xbar_demux.sv b/nios_system/synthesis/submodules/nios_system_cmd_xbar_demux.sv new file mode 100644 index 0000000..0aef300 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_cmd_xbar_demux.sv @@ -0,0 +1,116 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_cmd_xbar_demux +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 2 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_cmd_xbar_demux +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + output reg src1_valid, + output reg [96-1 : 0] src1_data, // ST_DATA_W=96 + output reg [18-1 : 0] src1_channel, // ST_CHANNEL_W=18 + output reg src1_startofpacket, + output reg src1_endofpacket, + input src1_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 2; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + src1_data = sink_data; + src1_startofpacket = sink_startofpacket; + src1_endofpacket = sink_endofpacket; + src1_channel = sink_channel >> NUM_OUTPUTS; + + src1_valid = sink_channel[1] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + assign ready_vector[1] = src1_ready; + + assign sink_ready = |(sink_channel & {{16{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_cmd_xbar_demux_001.sv b/nios_system/synthesis/submodules/nios_system_cmd_xbar_demux_001.sv new file mode 100644 index 0000000..433e8d0 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_cmd_xbar_demux_001.sv @@ -0,0 +1,356 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_cmd_xbar_demux_001 +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 18 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_cmd_xbar_demux_001 +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + output reg src1_valid, + output reg [96-1 : 0] src1_data, // ST_DATA_W=96 + output reg [18-1 : 0] src1_channel, // ST_CHANNEL_W=18 + output reg src1_startofpacket, + output reg src1_endofpacket, + input src1_ready, + + output reg src2_valid, + output reg [96-1 : 0] src2_data, // ST_DATA_W=96 + output reg [18-1 : 0] src2_channel, // ST_CHANNEL_W=18 + output reg src2_startofpacket, + output reg src2_endofpacket, + input src2_ready, + + output reg src3_valid, + output reg [96-1 : 0] src3_data, // ST_DATA_W=96 + output reg [18-1 : 0] src3_channel, // ST_CHANNEL_W=18 + output reg src3_startofpacket, + output reg src3_endofpacket, + input src3_ready, + + output reg src4_valid, + output reg [96-1 : 0] src4_data, // ST_DATA_W=96 + output reg [18-1 : 0] src4_channel, // ST_CHANNEL_W=18 + output reg src4_startofpacket, + output reg src4_endofpacket, + input src4_ready, + + output reg src5_valid, + output reg [96-1 : 0] src5_data, // ST_DATA_W=96 + output reg [18-1 : 0] src5_channel, // ST_CHANNEL_W=18 + output reg src5_startofpacket, + output reg src5_endofpacket, + input src5_ready, + + output reg src6_valid, + output reg [96-1 : 0] src6_data, // ST_DATA_W=96 + output reg [18-1 : 0] src6_channel, // ST_CHANNEL_W=18 + output reg src6_startofpacket, + output reg src6_endofpacket, + input src6_ready, + + output reg src7_valid, + output reg [96-1 : 0] src7_data, // ST_DATA_W=96 + output reg [18-1 : 0] src7_channel, // ST_CHANNEL_W=18 + output reg src7_startofpacket, + output reg src7_endofpacket, + input src7_ready, + + output reg src8_valid, + output reg [96-1 : 0] src8_data, // ST_DATA_W=96 + output reg [18-1 : 0] src8_channel, // ST_CHANNEL_W=18 + output reg src8_startofpacket, + output reg src8_endofpacket, + input src8_ready, + + output reg src9_valid, + output reg [96-1 : 0] src9_data, // ST_DATA_W=96 + output reg [18-1 : 0] src9_channel, // ST_CHANNEL_W=18 + output reg src9_startofpacket, + output reg src9_endofpacket, + input src9_ready, + + output reg src10_valid, + output reg [96-1 : 0] src10_data, // ST_DATA_W=96 + output reg [18-1 : 0] src10_channel, // ST_CHANNEL_W=18 + output reg src10_startofpacket, + output reg src10_endofpacket, + input src10_ready, + + output reg src11_valid, + output reg [96-1 : 0] src11_data, // ST_DATA_W=96 + output reg [18-1 : 0] src11_channel, // ST_CHANNEL_W=18 + output reg src11_startofpacket, + output reg src11_endofpacket, + input src11_ready, + + output reg src12_valid, + output reg [96-1 : 0] src12_data, // ST_DATA_W=96 + output reg [18-1 : 0] src12_channel, // ST_CHANNEL_W=18 + output reg src12_startofpacket, + output reg src12_endofpacket, + input src12_ready, + + output reg src13_valid, + output reg [96-1 : 0] src13_data, // ST_DATA_W=96 + output reg [18-1 : 0] src13_channel, // ST_CHANNEL_W=18 + output reg src13_startofpacket, + output reg src13_endofpacket, + input src13_ready, + + output reg src14_valid, + output reg [96-1 : 0] src14_data, // ST_DATA_W=96 + output reg [18-1 : 0] src14_channel, // ST_CHANNEL_W=18 + output reg src14_startofpacket, + output reg src14_endofpacket, + input src14_ready, + + output reg src15_valid, + output reg [96-1 : 0] src15_data, // ST_DATA_W=96 + output reg [18-1 : 0] src15_channel, // ST_CHANNEL_W=18 + output reg src15_startofpacket, + output reg src15_endofpacket, + input src15_ready, + + output reg src16_valid, + output reg [96-1 : 0] src16_data, // ST_DATA_W=96 + output reg [18-1 : 0] src16_channel, // ST_CHANNEL_W=18 + output reg src16_startofpacket, + output reg src16_endofpacket, + input src16_ready, + + output reg src17_valid, + output reg [96-1 : 0] src17_data, // ST_DATA_W=96 + output reg [18-1 : 0] src17_channel, // ST_CHANNEL_W=18 + output reg src17_startofpacket, + output reg src17_endofpacket, + input src17_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 18; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + src1_data = sink_data; + src1_startofpacket = sink_startofpacket; + src1_endofpacket = sink_endofpacket; + src1_channel = sink_channel >> NUM_OUTPUTS; + + src1_valid = sink_channel[1] && sink_valid; + + src2_data = sink_data; + src2_startofpacket = sink_startofpacket; + src2_endofpacket = sink_endofpacket; + src2_channel = sink_channel >> NUM_OUTPUTS; + + src2_valid = sink_channel[2] && sink_valid; + + src3_data = sink_data; + src3_startofpacket = sink_startofpacket; + src3_endofpacket = sink_endofpacket; + src3_channel = sink_channel >> NUM_OUTPUTS; + + src3_valid = sink_channel[3] && sink_valid; + + src4_data = sink_data; + src4_startofpacket = sink_startofpacket; + src4_endofpacket = sink_endofpacket; + src4_channel = sink_channel >> NUM_OUTPUTS; + + src4_valid = sink_channel[4] && sink_valid; + + src5_data = sink_data; + src5_startofpacket = sink_startofpacket; + src5_endofpacket = sink_endofpacket; + src5_channel = sink_channel >> NUM_OUTPUTS; + + src5_valid = sink_channel[5] && sink_valid; + + src6_data = sink_data; + src6_startofpacket = sink_startofpacket; + src6_endofpacket = sink_endofpacket; + src6_channel = sink_channel >> NUM_OUTPUTS; + + src6_valid = sink_channel[6] && sink_valid; + + src7_data = sink_data; + src7_startofpacket = sink_startofpacket; + src7_endofpacket = sink_endofpacket; + src7_channel = sink_channel >> NUM_OUTPUTS; + + src7_valid = sink_channel[7] && sink_valid; + + src8_data = sink_data; + src8_startofpacket = sink_startofpacket; + src8_endofpacket = sink_endofpacket; + src8_channel = sink_channel >> NUM_OUTPUTS; + + src8_valid = sink_channel[8] && sink_valid; + + src9_data = sink_data; + src9_startofpacket = sink_startofpacket; + src9_endofpacket = sink_endofpacket; + src9_channel = sink_channel >> NUM_OUTPUTS; + + src9_valid = sink_channel[9] && sink_valid; + + src10_data = sink_data; + src10_startofpacket = sink_startofpacket; + src10_endofpacket = sink_endofpacket; + src10_channel = sink_channel >> NUM_OUTPUTS; + + src10_valid = sink_channel[10] && sink_valid; + + src11_data = sink_data; + src11_startofpacket = sink_startofpacket; + src11_endofpacket = sink_endofpacket; + src11_channel = sink_channel >> NUM_OUTPUTS; + + src11_valid = sink_channel[11] && sink_valid; + + src12_data = sink_data; + src12_startofpacket = sink_startofpacket; + src12_endofpacket = sink_endofpacket; + src12_channel = sink_channel >> NUM_OUTPUTS; + + src12_valid = sink_channel[12] && sink_valid; + + src13_data = sink_data; + src13_startofpacket = sink_startofpacket; + src13_endofpacket = sink_endofpacket; + src13_channel = sink_channel >> NUM_OUTPUTS; + + src13_valid = sink_channel[13] && sink_valid; + + src14_data = sink_data; + src14_startofpacket = sink_startofpacket; + src14_endofpacket = sink_endofpacket; + src14_channel = sink_channel >> NUM_OUTPUTS; + + src14_valid = sink_channel[14] && sink_valid; + + src15_data = sink_data; + src15_startofpacket = sink_startofpacket; + src15_endofpacket = sink_endofpacket; + src15_channel = sink_channel >> NUM_OUTPUTS; + + src15_valid = sink_channel[15] && sink_valid; + + src16_data = sink_data; + src16_startofpacket = sink_startofpacket; + src16_endofpacket = sink_endofpacket; + src16_channel = sink_channel >> NUM_OUTPUTS; + + src16_valid = sink_channel[16] && sink_valid; + + src17_data = sink_data; + src17_startofpacket = sink_startofpacket; + src17_endofpacket = sink_endofpacket; + src17_channel = sink_channel >> NUM_OUTPUTS; + + src17_valid = sink_channel[17] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + assign ready_vector[1] = src1_ready; + assign ready_vector[2] = src2_ready; + assign ready_vector[3] = src3_ready; + assign ready_vector[4] = src4_ready; + assign ready_vector[5] = src5_ready; + assign ready_vector[6] = src6_ready; + assign ready_vector[7] = src7_ready; + assign ready_vector[8] = src8_ready; + assign ready_vector[9] = src9_ready; + assign ready_vector[10] = src10_ready; + assign ready_vector[11] = src11_ready; + assign ready_vector[12] = src12_ready; + assign ready_vector[13] = src13_ready; + assign ready_vector[14] = src14_ready; + assign ready_vector[15] = src15_ready; + assign ready_vector[16] = src16_ready; + assign ready_vector[17] = src17_ready; + + assign sink_ready = |(sink_channel & ready_vector); + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_cmd_xbar_mux.sv b/nios_system/synthesis/submodules/nios_system_cmd_xbar_mux.sv new file mode 100644 index 0000000..76ec5b4 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_cmd_xbar_mux.sv @@ -0,0 +1,308 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Multiplexer +// ------------------------------------------ + +`timescale 1 ns / 1 ns + + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_cmd_xbar_mux +// NUM_INPUTS: 2 +// ARBITRATION_SHARES: 1 1 +// ARBITRATION_SCHEME "round-robin" +// PIPELINE_ARB: 1 +// PKT_TRANS_LOCK: 59 (arbitration locking enabled) +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// ------------------------------------------ + +module nios_system_cmd_xbar_mux +( + // ---------------------- + // Sinks + // ---------------------- + input sink0_valid, + input [96-1 : 0] sink0_data, + input [18-1: 0] sink0_channel, + input sink0_startofpacket, + input sink0_endofpacket, + output sink0_ready, + + input sink1_valid, + input [96-1 : 0] sink1_data, + input [18-1: 0] sink1_channel, + input sink1_startofpacket, + input sink1_endofpacket, + output sink1_ready, + + + // ---------------------- + // Source + // ---------------------- + output src_valid, + output [96-1 : 0] src_data, + output [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready, + + // ---------------------- + // Clock & Reset + // ---------------------- + input clk, + input reset +); + localparam PAYLOAD_W = 96 + 18 + 2; + localparam NUM_INPUTS = 2; + localparam SHARE_COUNTER_W = 1; + localparam PIPELINE_ARB = 1; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam PKT_TRANS_LOCK = 59; + + // ------------------------------------------ + // Signals + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] request; + wire [NUM_INPUTS - 1 : 0] valid; + wire [NUM_INPUTS - 1 : 0] grant; + wire [NUM_INPUTS - 1 : 0] next_grant; + reg [NUM_INPUTS - 1 : 0] saved_grant; + reg [PAYLOAD_W - 1 : 0] src_payload; + wire last_cycle; + reg packet_in_progress; + reg update_grant; + + wire [PAYLOAD_W - 1 : 0] sink0_payload; + wire [PAYLOAD_W - 1 : 0] sink1_payload; + + assign valid[0] = sink0_valid; + assign valid[1] = sink1_valid; + + wire [NUM_INPUTS - 1 : 0] eop; + assign eop[0] = sink0_endofpacket; + assign eop[1] = sink1_endofpacket; + + // ------------------------------------------ + // ------------------------------------------ + // Grant Logic & Updates + // ------------------------------------------ + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] lock; + always @* begin + lock[0] = sink0_data[59]; + lock[1] = sink1_data[59]; + end + reg [NUM_INPUTS - 1 : 0] locked = '0; + always @(posedge clk or posedge reset) begin + if (reset) begin + locked <= '0; + end + else begin + locked <= next_grant & lock; + end + end + + assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); + + // ------------------------------------------ + // We're working on a packet at any time valid is high, except + // when this is the endofpacket. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + packet_in_progress <= 1'b0; + end + else begin + if (src_valid) + packet_in_progress <= 1'b1; + if (last_cycle) + packet_in_progress <= 1'b0; + end + end + + + // ------------------------------------------ + // Shares + // + // Special case: all-equal shares _should_ be optimized into assigning a + // constant to next_grant_share. + // Special case: all-1's shares _should_ result in the share counter + // being optimized away. + // ------------------------------------------ + // Input | arb shares | counter load value + // 0 | 1 | 0 + // 1 | 1 | 0 + wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; + + // ------------------------------------------ + // Choose the share value corresponding to the grant. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] next_grant_share; + always @* begin + next_grant_share = + share_0 & { SHARE_COUNTER_W {next_grant[0]} } | + share_1 & { SHARE_COUNTER_W {next_grant[1]} }; + end + + // ------------------------------------------ + // Flag to indicate first packet of an arb sequence. + // ------------------------------------------ + + // ------------------------------------------ + // Compute the next share-count value. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] p1_share_count; + reg [SHARE_COUNTER_W - 1 : 0] share_count; + reg share_count_zero_flag; + + always @* begin + // Update the counter, but don't decrement below 0. + p1_share_count = share_count_zero_flag ? '0 : share_count - 1'b1; + end + + // ------------------------------------------ + // Update the share counter and share-counter=zero flag. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + share_count <= '0; + share_count_zero_flag <= 1'b1; + end + else begin + if (update_grant) begin + share_count <= next_grant_share; + share_count_zero_flag <= (next_grant_share == '0); + end + else if (last_cycle) begin + share_count <= p1_share_count; + share_count_zero_flag <= (p1_share_count == '0); + end + end + end + + + always @* begin + update_grant = 0; + + // ------------------------------------------ + // The pipeline delays grant by one cycle, so + // we have to calculate the update_grant signal + // one cycle ahead of time. + // + // Possible optimization: omit the first clause + // "if (!packet_in_progress & ~src_valid) ..." + // cost: one idle cycle at the the beginning of each + // grant cycle. + // benefit: save a small amount of logic. + // ------------------------------------------ + if (!packet_in_progress & !src_valid) + update_grant = 1; + if (last_cycle && share_count_zero_flag) + update_grant = 1; + end + + wire save_grant; + assign save_grant = update_grant; + assign grant = saved_grant; + + always @(posedge clk, posedge reset) begin + if (reset) + saved_grant <= '0; + else if (save_grant) + saved_grant <= next_grant; + end + + // ------------------------------------------ + // ------------------------------------------ + // Arbitrator + // ------------------------------------------ + // ------------------------------------------ + + // ------------------------------------------ + // Create a request vector that stays high during + // the packet for unpipelined arbitration. + // + // The pipelined arbitration scheme does not require + // request to be held high during the packet. + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] prev_request; + always @(posedge clk, posedge reset) begin + if (reset) + prev_request <= '0; + else + prev_request <= request & ~(valid & eop); + end + + assign request = (PIPELINE_ARB == 1) ? valid | locked : + prev_request | valid | locked; + + + altera_merlin_arbitrator + #( + .NUM_REQUESTERS(NUM_INPUTS), + .SCHEME ("round-robin"), + .PIPELINE (1) + ) arb ( + .clk (clk), + .reset (reset), + .request (request), + .grant (next_grant), + .save_top_priority (src_valid), + .increment_top_priority (update_grant) + ); + + // ------------------------------------------ + // ------------------------------------------ + // Mux + // + // Implemented as a sum of products. + // ------------------------------------------ + // ------------------------------------------ + + assign sink0_ready = src_ready && grant[0]; + assign sink1_ready = src_ready && grant[1]; + + assign src_valid = |(grant & valid); + + always @* begin + src_payload = + sink0_payload & {PAYLOAD_W {grant[0]} } | + sink1_payload & {PAYLOAD_W {grant[1]} }; + end + + // ------------------------------------------ + // Mux Payload Mapping + // ------------------------------------------ + + assign sink0_payload = {sink0_channel,sink0_data, + sink0_startofpacket,sink0_endofpacket}; + assign sink1_payload = {sink1_channel,sink1_data, + sink1_startofpacket,sink1_endofpacket}; + + assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; + +endmodule + + + diff --git a/nios_system/synthesis/submodules/nios_system_hex0.v b/nios_system/synthesis/submodules/nios_system_hex0.v new file mode 100644 index 0000000..365eed9 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_hex0.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_hex0 ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output [ 6: 0] out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg [ 6: 0] data_out; + wire [ 6: 0] out_port; + wire [ 6: 0] read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {7 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata[6 : 0]; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_id_router.sv b/nios_system/synthesis/submodules/nios_system_id_router.sv new file mode 100644 index 0000000..90937e8 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_id_router.sv @@ -0,0 +1,221 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_id_router_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 1 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_id_router +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_id_router_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 1 ) begin + src_channel = 18'b01; + end + + if (destid == 0 ) begin + src_channel = 18'b10; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_id_router_002.sv b/nios_system/synthesis/submodules/nios_system_id_router_002.sv new file mode 100644 index 0000000..a80e831 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_id_router_002.sv @@ -0,0 +1,217 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_id_router_002_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 0 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_id_router_002 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_id_router_002_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 0 ) begin + src_channel = 18'b1; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_id_router_003.sv b/nios_system/synthesis/submodules/nios_system_id_router_003.sv new file mode 100644 index 0000000..5eb343c --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_id_router_003.sv @@ -0,0 +1,217 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_id_router_003_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 0 + ) + (output [85 - 81 : 0] default_destination_id, + output [18-1 : 0] default_wr_channel, + output [18-1 : 0] default_rd_channel, + output [18-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[85 - 81 : 0]; + + generate begin : default_decode + if (DEFAULT_CHANNEL == -1) begin + assign default_src_channel = '0; + end + else begin + assign default_src_channel = 18'b1 << DEFAULT_CHANNEL; + end + end + endgenerate + + generate begin : default_decode_rw + if (DEFAULT_RD_CHANNEL == -1) begin + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin + assign default_wr_channel = 18'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 18'b1 << DEFAULT_RD_CHANNEL; + end + end + endgenerate + +endmodule + + +module nios_system_id_router_003 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [96-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [96-1 : 0] src_data, + output reg [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 54; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 85; + localparam PKT_DEST_ID_L = 81; + localparam PKT_PROTECTION_H = 89; + localparam PKT_PROTECTION_L = 87; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 57; + localparam PKT_TRANS_READ = 58; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + + wire [PKT_DEST_ID_W-1:0] default_destid; + wire [18-1 : 0] default_src_channel; + + + + + + nios_system_id_router_003_default_decode the_default_decode( + .default_destination_id (default_destid), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 0 ) begin + src_channel = 18'b1; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_irq_mapper.sv b/nios_system/synthesis/submodules/nios_system_irq_mapper.sv new file mode 100644 index 0000000..cc0a8bb --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_irq_mapper.sv @@ -0,0 +1,59 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_irq_mapper/altera_irq_mapper.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------------------- +// Altera IRQ Mapper +// +// Parameters +// NUM_RCVRS : 1 +// SENDER_IRW_WIDTH : 32 +// IRQ_MAP : 0:5 +// +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module nios_system_irq_mapper +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // IRQ Receivers + // ------------------- + input receiver0_irq, + + // ------------------- + // Command Source (Output) + // ------------------- + output reg [31 : 0] sender_irq +); + + + always @* begin + sender_irq = 0; + + sender_irq[5] = receiver0_irq; + end + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_jtag_uart.v b/nios_system/synthesis/submodules/nios_system_jtag_uart.v new file mode 100644 index 0000000..0d02445 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_jtag_uart.v @@ -0,0 +1,583 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_sim_scfifo_w ( + // inputs: + clk, + fifo_wdata, + fifo_wr, + + // outputs: + fifo_FF, + r_dat, + wfifo_empty, + wfifo_used + ) +; + + output fifo_FF; + output [ 7: 0] r_dat; + output wfifo_empty; + output [ 5: 0] wfifo_used; + input clk; + input [ 7: 0] fifo_wdata; + input fifo_wr; + + wire fifo_FF; + wire [ 7: 0] r_dat; + wire wfifo_empty; + wire [ 5: 0] wfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + always @(posedge clk) + begin + if (fifo_wr) + $write("%c", fifo_wdata); + end + + + assign wfifo_used = {6{1'b0}}; + assign r_dat = {8{1'b0}}; + assign fifo_FF = 1'b0; + assign wfifo_empty = 1'b1; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_scfifo_w ( + // inputs: + clk, + fifo_clear, + fifo_wdata, + fifo_wr, + rd_wfifo, + + // outputs: + fifo_FF, + r_dat, + wfifo_empty, + wfifo_used + ) +; + + output fifo_FF; + output [ 7: 0] r_dat; + output wfifo_empty; + output [ 5: 0] wfifo_used; + input clk; + input fifo_clear; + input [ 7: 0] fifo_wdata; + input fifo_wr; + input rd_wfifo; + + wire fifo_FF; + wire [ 7: 0] r_dat; + wire wfifo_empty; + wire [ 5: 0] wfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + nios_system_jtag_uart_sim_scfifo_w the_nios_system_jtag_uart_sim_scfifo_w + ( + .clk (clk), + .fifo_FF (fifo_FF), + .fifo_wdata (fifo_wdata), + .fifo_wr (fifo_wr), + .r_dat (r_dat), + .wfifo_empty (wfifo_empty), + .wfifo_used (wfifo_used) + ); + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// scfifo wfifo +// ( +// .aclr (fifo_clear), +// .clock (clk), +// .data (fifo_wdata), +// .empty (wfifo_empty), +// .full (fifo_FF), +// .q (r_dat), +// .rdreq (rd_wfifo), +// .usedw (wfifo_used), +// .wrreq (fifo_wr) +// ); +// +// defparam wfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO", +// wfifo.lpm_numwords = 64, +// wfifo.lpm_showahead = "OFF", +// wfifo.lpm_type = "scfifo", +// wfifo.lpm_width = 8, +// wfifo.lpm_widthu = 6, +// wfifo.overflow_checking = "OFF", +// wfifo.underflow_checking = "OFF", +// wfifo.use_eab = "ON"; +// +//synthesis read_comments_as_HDL off + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_sim_scfifo_r ( + // inputs: + clk, + fifo_rd, + rst_n, + + // outputs: + fifo_EF, + fifo_rdata, + rfifo_full, + rfifo_used + ) +; + + output fifo_EF; + output [ 7: 0] fifo_rdata; + output rfifo_full; + output [ 5: 0] rfifo_used; + input clk; + input fifo_rd; + input rst_n; + + reg [ 31: 0] bytes_left; + wire fifo_EF; + reg fifo_rd_d; + wire [ 7: 0] fifo_rdata; + wire new_rom; + wire [ 31: 0] num_bytes; + wire [ 6: 0] rfifo_entries; + wire rfifo_full; + wire [ 5: 0] rfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + // Generate rfifo_entries for simulation + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + begin + bytes_left <= 32'h0; + fifo_rd_d <= 1'b0; + end + else + begin + fifo_rd_d <= fifo_rd; + // decrement on read + if (fifo_rd_d) + bytes_left <= bytes_left - 1'b1; + // catch new contents + if (new_rom) + bytes_left <= num_bytes; + end + end + + + assign fifo_EF = bytes_left == 32'b0; + assign rfifo_full = bytes_left > 7'h40; + assign rfifo_entries = (rfifo_full) ? 7'h40 : bytes_left; + assign rfifo_used = rfifo_entries[5 : 0]; + assign new_rom = 1'b0; + assign num_bytes = 32'b0; + assign fifo_rdata = 8'b0; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart_scfifo_r ( + // inputs: + clk, + fifo_clear, + fifo_rd, + rst_n, + t_dat, + wr_rfifo, + + // outputs: + fifo_EF, + fifo_rdata, + rfifo_full, + rfifo_used + ) +; + + output fifo_EF; + output [ 7: 0] fifo_rdata; + output rfifo_full; + output [ 5: 0] rfifo_used; + input clk; + input fifo_clear; + input fifo_rd; + input rst_n; + input [ 7: 0] t_dat; + input wr_rfifo; + + wire fifo_EF; + wire [ 7: 0] fifo_rdata; + wire rfifo_full; + wire [ 5: 0] rfifo_used; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + nios_system_jtag_uart_sim_scfifo_r the_nios_system_jtag_uart_sim_scfifo_r + ( + .clk (clk), + .fifo_EF (fifo_EF), + .fifo_rd (fifo_rd), + .fifo_rdata (fifo_rdata), + .rfifo_full (rfifo_full), + .rfifo_used (rfifo_used), + .rst_n (rst_n) + ); + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// scfifo rfifo +// ( +// .aclr (fifo_clear), +// .clock (clk), +// .data (t_dat), +// .empty (fifo_EF), +// .full (rfifo_full), +// .q (fifo_rdata), +// .rdreq (fifo_rd), +// .usedw (rfifo_used), +// .wrreq (wr_rfifo) +// ); +// +// defparam rfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO", +// rfifo.lpm_numwords = 64, +// rfifo.lpm_showahead = "OFF", +// rfifo.lpm_type = "scfifo", +// rfifo.lpm_width = 8, +// rfifo.lpm_widthu = 6, +// rfifo.overflow_checking = "OFF", +// rfifo.underflow_checking = "OFF", +// rfifo.use_eab = "ON"; +// +//synthesis read_comments_as_HDL off + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_jtag_uart ( + // inputs: + av_address, + av_chipselect, + av_read_n, + av_write_n, + av_writedata, + clk, + rst_n, + + // outputs: + av_irq, + av_readdata, + av_waitrequest, + dataavailable, + readyfordata + ) + /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R101,C106,D101,D103\"" */ ; + + output av_irq; + output [ 31: 0] av_readdata; + output av_waitrequest; + output dataavailable; + output readyfordata; + input av_address; + input av_chipselect; + input av_read_n; + input av_write_n; + input [ 31: 0] av_writedata; + input clk; + input rst_n; + + reg ac; + wire activity; + wire av_irq; + wire [ 31: 0] av_readdata; + reg av_waitrequest; + reg dataavailable; + reg fifo_AE; + reg fifo_AF; + wire fifo_EF; + wire fifo_FF; + wire fifo_clear; + wire fifo_rd; + wire [ 7: 0] fifo_rdata; + wire [ 7: 0] fifo_wdata; + reg fifo_wr; + reg ien_AE; + reg ien_AF; + wire ipen_AE; + wire ipen_AF; + reg pause_irq; + wire [ 7: 0] r_dat; + wire r_ena; + reg r_val; + wire rd_wfifo; + reg read_0; + reg readyfordata; + wire rfifo_full; + wire [ 5: 0] rfifo_used; + reg rvalid; + reg sim_r_ena; + reg sim_t_dat; + reg sim_t_ena; + reg sim_t_pause; + wire [ 7: 0] t_dat; + reg t_dav; + wire t_ena; + wire t_pause; + wire wfifo_empty; + wire [ 5: 0] wfifo_used; + reg woverflow; + wire wr_rfifo; + //avalon_jtag_slave, which is an e_avalon_slave + assign rd_wfifo = r_ena & ~wfifo_empty; + assign wr_rfifo = t_ena & ~rfifo_full; + assign fifo_clear = ~rst_n; + nios_system_jtag_uart_scfifo_w the_nios_system_jtag_uart_scfifo_w + ( + .clk (clk), + .fifo_FF (fifo_FF), + .fifo_clear (fifo_clear), + .fifo_wdata (fifo_wdata), + .fifo_wr (fifo_wr), + .r_dat (r_dat), + .rd_wfifo (rd_wfifo), + .wfifo_empty (wfifo_empty), + .wfifo_used (wfifo_used) + ); + + nios_system_jtag_uart_scfifo_r the_nios_system_jtag_uart_scfifo_r + ( + .clk (clk), + .fifo_EF (fifo_EF), + .fifo_clear (fifo_clear), + .fifo_rd (fifo_rd), + .fifo_rdata (fifo_rdata), + .rfifo_full (rfifo_full), + .rfifo_used (rfifo_used), + .rst_n (rst_n), + .t_dat (t_dat), + .wr_rfifo (wr_rfifo) + ); + + assign ipen_AE = ien_AE & fifo_AE; + assign ipen_AF = ien_AF & (pause_irq | fifo_AF); + assign av_irq = ipen_AE | ipen_AF; + assign activity = t_pause | t_ena; + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + pause_irq <= 1'b0; + else // only if fifo is not empty... + if (t_pause & ~fifo_EF) + pause_irq <= 1'b1; + else if (read_0) + pause_irq <= 1'b0; + end + + + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + begin + r_val <= 1'b0; + t_dav <= 1'b1; + end + else + begin + r_val <= r_ena & ~wfifo_empty; + t_dav <= ~rfifo_full; + end + end + + + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + begin + fifo_AE <= 1'b0; + fifo_AF <= 1'b0; + fifo_wr <= 1'b0; + rvalid <= 1'b0; + read_0 <= 1'b0; + ien_AE <= 1'b0; + ien_AF <= 1'b0; + ac <= 1'b0; + woverflow <= 1'b0; + av_waitrequest <= 1'b1; + end + else + begin + fifo_AE <= {fifo_FF,wfifo_used} <= 8; + fifo_AF <= (7'h40 - {rfifo_full,rfifo_used}) <= 8; + fifo_wr <= 1'b0; + read_0 <= 1'b0; + av_waitrequest <= ~(av_chipselect & (~av_write_n | ~av_read_n) & av_waitrequest); + if (activity) + ac <= 1'b1; + // write + if (av_chipselect & ~av_write_n & av_waitrequest) + // addr 1 is control; addr 0 is data + if (av_address) + begin + ien_AF <= av_writedata[0]; + ien_AE <= av_writedata[1]; + if (av_writedata[10] & ~activity) + ac <= 1'b0; + end + else + begin + fifo_wr <= ~fifo_FF; + woverflow <= fifo_FF; + end + // read + if (av_chipselect & ~av_read_n & av_waitrequest) + begin + // addr 1 is interrupt; addr 0 is data + if (~av_address) + rvalid <= ~fifo_EF; + read_0 <= ~av_address; + end + end + end + + + assign fifo_wdata = av_writedata[7 : 0]; + assign fifo_rd = (av_chipselect & ~av_read_n & av_waitrequest & ~av_address) ? ~fifo_EF : 1'b0; + assign av_readdata = read_0 ? { {9{1'b0}},rfifo_full,rfifo_used,rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,fifo_rdata } : { {9{1'b0}},(7'h40 - {fifo_FF,wfifo_used}),rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,{6{1'b0}},ien_AE,ien_AF }; + always @(posedge clk or negedge rst_n) + begin + if (rst_n == 0) + readyfordata <= 0; + else + readyfordata <= ~fifo_FF; + end + + + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + // Tie off Atlantic Interface signals not used for simulation + always @(posedge clk) + begin + sim_t_pause <= 1'b0; + sim_t_ena <= 1'b0; + sim_t_dat <= t_dav ? r_dat : {8{r_val}}; + sim_r_ena <= 1'b0; + end + + + assign r_ena = sim_r_ena; + assign t_ena = sim_t_ena; + assign t_dat = sim_t_dat; + assign t_pause = sim_t_pause; + always @(fifo_EF) + begin + dataavailable = ~fifo_EF; + end + + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// alt_jtag_atlantic nios_system_jtag_uart_alt_jtag_atlantic +// ( +// .clk (clk), +// .r_dat (r_dat), +// .r_ena (r_ena), +// .r_val (r_val), +// .rst_n (rst_n), +// .t_dat (t_dat), +// .t_dav (t_dav), +// .t_ena (t_ena), +// .t_pause (t_pause) +// ); +// +// defparam nios_system_jtag_uart_alt_jtag_atlantic.INSTANCE_ID = 0, +// nios_system_jtag_uart_alt_jtag_atlantic.LOG2_RXFIFO_DEPTH = 6, +// nios_system_jtag_uart_alt_jtag_atlantic.LOG2_TXFIFO_DEPTH = 6, +// nios_system_jtag_uart_alt_jtag_atlantic.SLD_AUTO_INSTANCE_INDEX = "YES"; +// +// always @(posedge clk or negedge rst_n) +// begin +// if (rst_n == 0) +// dataavailable <= 0; +// else +// dataavailable <= ~fifo_EF; +// end +// +// +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_lcd.v b/nios_system/synthesis/submodules/nios_system_lcd.v new file mode 100644 index 0000000..18c2d15 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_lcd.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd ( + // inputs: + address, + begintransfer, + clk, + read, + reset_n, + write, + writedata, + + // outputs: + LCD_E, + LCD_RS, + LCD_RW, + LCD_data, + readdata + ) +; + + output LCD_E; + output LCD_RS; + output LCD_RW; + inout [ 7: 0] LCD_data; + output [ 7: 0] readdata; + input [ 1: 0] address; + input begintransfer; + input clk; + input read; + input reset_n; + input write; + input [ 7: 0] writedata; + + wire LCD_E; + wire LCD_RS; + wire LCD_RW; + wire [ 7: 0] LCD_data; + wire [ 7: 0] readdata; + assign LCD_RW = address[0]; + assign LCD_RS = address[1]; + assign LCD_E = read | write; + assign LCD_data = (address[0]) ? {8{1'bz}} : writedata; + assign readdata = LCD_data; + //control_slave, which is an e_avalon_slave + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_lcd_16207_0.v b/nios_system/synthesis/submodules/nios_system_lcd_16207_0.v new file mode 100644 index 0000000..f58d30f --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_lcd_16207_0.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd_16207_0 ( + // inputs: + address, + begintransfer, + clk, + read, + reset_n, + write, + writedata, + + // outputs: + LCD_E, + LCD_RS, + LCD_RW, + LCD_data, + readdata + ) +; + + output LCD_E; + output LCD_RS; + output LCD_RW; + inout [ 7: 0] LCD_data; + output [ 7: 0] readdata; + input [ 1: 0] address; + input begintransfer; + input clk; + input read; + input reset_n; + input write; + input [ 7: 0] writedata; + + wire LCD_E; + wire LCD_RS; + wire LCD_RW; + wire [ 7: 0] LCD_data; + wire [ 7: 0] readdata; + assign LCD_RW = address[0]; + assign LCD_RS = address[1]; + assign LCD_E = read | write; + assign LCD_data = (address[0]) ? {8{1'bz}} : writedata; + assign readdata = LCD_data; + //control_slave, which is an e_avalon_slave + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_lcd_E.v b/nios_system/synthesis/submodules/nios_system_lcd_E.v new file mode 100644 index 0000000..c5680d0 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_lcd_E.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd_E ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg data_out; + wire out_port; + wire read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {1 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_lcd_on.v b/nios_system/synthesis/submodules/nios_system_lcd_on.v new file mode 100644 index 0000000..4e9a8dc --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_lcd_on.v @@ -0,0 +1,66 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_lcd_on ( + // inputs: + address, + chipselect, + clk, + reset_n, + write_n, + writedata, + + // outputs: + out_port, + readdata + ) +; + + output out_port; + output [ 31: 0] readdata; + input [ 1: 0] address; + input chipselect; + input clk; + input reset_n; + input write_n; + input [ 31: 0] writedata; + + wire clk_en; + reg data_out; + wire out_port; + wire read_mux_out; + wire [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {1 {(address == 0)}} & data_out; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + data_out <= 0; + else if (chipselect && ~write_n && (address == 0)) + data_out <= writedata; + end + + + assign readdata = {32'b0 | read_mux_out}; + assign out_port = data_out; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor.sdc b/nios_system/synthesis/submodules/nios_system_nios2_processor.sdc new file mode 100644 index 0000000..4848c5a --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor.sdc @@ -0,0 +1,53 @@ +# Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +# use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any +# output files any of the foregoing (including device programming or +# simulation files), and any associated documentation or information are +# expressly subject to the terms and conditions of the Altera Program +# License Subscription Agreement or other applicable license agreement, +# including, without limitation, that your use is for the sole purpose +# of programming logic devices manufactured by Altera and sold by Altera +# or its authorized distributors. Please refer to the applicable +# agreement for further details. + +#************************************************************** +# Timequest JTAG clock definition +# Uncommenting the following lines will define the JTAG +# clock in TimeQuest Timing Analyzer +#************************************************************** + +#create_clock -period 10MHz {altera_reserved_tck} +#set_clock_groups -asynchronous -group {altera_reserved_tck} + +#************************************************************** +# Set TCL Path Variables +#************************************************************** + +set nios_system_nios2_processor nios_system_nios2_processor:* +set nios_system_nios2_processor_oci nios_system_nios2_processor_nios2_oci:the_nios_system_nios2_processor_nios2_oci +set nios_system_nios2_processor_oci_break nios_system_nios2_processor_nios2_oci_break:the_nios_system_nios2_processor_nios2_oci_break +set nios_system_nios2_processor_ocimem nios_system_nios2_processor_nios2_ocimem:the_nios_system_nios2_processor_nios2_ocimem +set nios_system_nios2_processor_oci_debug nios_system_nios2_processor_nios2_oci_debug:the_nios_system_nios2_processor_nios2_oci_debug +set nios_system_nios2_processor_wrapper nios_system_nios2_processor_jtag_debug_module_wrapper:the_nios_system_nios2_processor_jtag_debug_module_wrapper +set nios_system_nios2_processor_jtag_tck nios_system_nios2_processor_jtag_debug_module_tck:the_nios_system_nios2_processor_jtag_debug_module_tck +set nios_system_nios2_processor_jtag_sysclk nios_system_nios2_processor_jtag_debug_module_sysclk:the_nios_system_nios2_processor_jtag_debug_module_sysclk +set nios_system_nios2_processor_oci_path [format "%s|%s" $nios_system_nios2_processor $nios_system_nios2_processor_oci] +set nios_system_nios2_processor_oci_break_path [format "%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_oci_break] +set nios_system_nios2_processor_ocimem_path [format "%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_ocimem] +set nios_system_nios2_processor_oci_debug_path [format "%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_oci_debug] +set nios_system_nios2_processor_jtag_tck_path [format "%s|%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_wrapper $nios_system_nios2_processor_jtag_tck] +set nios_system_nios2_processor_jtag_sysclk_path [format "%s|%s|%s" $nios_system_nios2_processor_oci_path $nios_system_nios2_processor_wrapper $nios_system_nios2_processor_jtag_sysclk] +set nios_system_nios2_processor_jtag_sr [format "%s|*sr" $nios_system_nios2_processor_jtag_tck_path] + +#************************************************************** +# Set False Paths +#************************************************************** + +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_break_path|break_readreg*] -to [get_keepers *$nios_system_nios2_processor_jtag_sr*] +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_debug_path|*resetlatch] -to [get_keepers *$nios_system_nios2_processor_jtag_sr[33]] +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_debug_path|monitor_ready] -to [get_keepers *$nios_system_nios2_processor_jtag_sr[0]] +set_false_path -from [get_keepers *$nios_system_nios2_processor_oci_debug_path|monitor_error] -to [get_keepers *$nios_system_nios2_processor_jtag_sr[34]] +set_false_path -from [get_keepers *$nios_system_nios2_processor_ocimem_path|*MonDReg*] -to [get_keepers *$nios_system_nios2_processor_jtag_sr*] +set_false_path -from *$nios_system_nios2_processor_jtag_sr* -to *$nios_system_nios2_processor_jtag_sysclk_path|*jdo* +set_false_path -from sld_hub:*|irf_reg* -to *$nios_system_nios2_processor_jtag_sysclk_path|ir* +set_false_path -from sld_hub:*|sld_shadow_jsm:shadow_jsm|state[1] -to *$nios_system_nios2_processor_oci_debug_path|monitor_go diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor.v b/nios_system/synthesis/submodules/nios_system_nios2_processor.v new file mode 100644 index 0000000..7e8e063 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor.v @@ -0,0 +1,5672 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_register_bank_a_module ( + // inputs: + clock, + data, + rdaddress, + wraddress, + wren, + + // outputs: + q + ) +; + + parameter lpm_file = "UNUSED"; + + + output [ 31: 0] q; + input clock; + input [ 31: 0] data; + input [ 4: 0] rdaddress; + input [ 4: 0] wraddress; + input wren; + + wire [ 31: 0] q; + wire [ 31: 0] ram_q; + assign q = ram_q; + altsyncram the_altsyncram + ( + .address_a (wraddress), + .address_b (rdaddress), + .clock0 (clock), + .data_a (data), + .q_b (ram_q), + .wren_a (wren) + ); + + defparam the_altsyncram.address_reg_b = "CLOCK0", + the_altsyncram.init_file = lpm_file, + the_altsyncram.maximum_depth = 0, + the_altsyncram.numwords_a = 32, + the_altsyncram.numwords_b = 32, + the_altsyncram.operation_mode = "DUAL_PORT", + the_altsyncram.outdata_reg_b = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.rdcontrol_reg_b = "CLOCK0", + the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", + the_altsyncram.width_a = 32, + the_altsyncram.width_b = 32, + the_altsyncram.widthad_a = 5, + the_altsyncram.widthad_b = 5; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_register_bank_b_module ( + // inputs: + clock, + data, + rdaddress, + wraddress, + wren, + + // outputs: + q + ) +; + + parameter lpm_file = "UNUSED"; + + + output [ 31: 0] q; + input clock; + input [ 31: 0] data; + input [ 4: 0] rdaddress; + input [ 4: 0] wraddress; + input wren; + + wire [ 31: 0] q; + wire [ 31: 0] ram_q; + assign q = ram_q; + altsyncram the_altsyncram + ( + .address_a (wraddress), + .address_b (rdaddress), + .clock0 (clock), + .data_a (data), + .q_b (ram_q), + .wren_a (wren) + ); + + defparam the_altsyncram.address_reg_b = "CLOCK0", + the_altsyncram.init_file = lpm_file, + the_altsyncram.maximum_depth = 0, + the_altsyncram.numwords_a = 32, + the_altsyncram.numwords_b = 32, + the_altsyncram.operation_mode = "DUAL_PORT", + the_altsyncram.outdata_reg_b = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.rdcontrol_reg_b = "CLOCK0", + the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", + the_altsyncram.width_a = 32, + the_altsyncram.width_b = 32, + the_altsyncram.widthad_a = 5, + the_altsyncram.widthad_b = 5; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_debug ( + // inputs: + clk, + dbrk_break, + debugreq, + hbreak_enabled, + jdo, + jrst_n, + ocireg_ers, + ocireg_mrs, + reset, + st_ready_test_idle, + take_action_ocimem_a, + take_action_ocireg, + xbrk_break, + + // outputs: + debugack, + monitor_error, + monitor_go, + monitor_ready, + oci_hbreak_req, + resetlatch, + resetrequest + ) +; + + output debugack; + output monitor_error; + output monitor_go; + output monitor_ready; + output oci_hbreak_req; + output resetlatch; + output resetrequest; + input clk; + input dbrk_break; + input debugreq; + input hbreak_enabled; + input [ 37: 0] jdo; + input jrst_n; + input ocireg_ers; + input ocireg_mrs; + input reset; + input st_ready_test_idle; + input take_action_ocimem_a; + input take_action_ocireg; + input xbrk_break; + + reg break_on_reset /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + wire debugack; + reg jtag_break /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg monitor_error /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + reg monitor_go /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + reg monitor_ready /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + wire oci_hbreak_req; + wire reset_sync; + reg resetlatch /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg resetrequest /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + wire unxcomplemented_resetxx0; + assign unxcomplemented_resetxx0 = jrst_n; + altera_std_synchronizer the_altera_std_synchronizer + ( + .clk (clk), + .din (reset), + .dout (reset_sync), + .reset_n (unxcomplemented_resetxx0) + ); + + defparam the_altera_std_synchronizer.depth = 2; + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + break_on_reset <= 1'b0; + resetrequest <= 1'b0; + jtag_break <= 1'b0; + end + else if (take_action_ocimem_a) + begin + resetrequest <= jdo[22]; + jtag_break <= jdo[21] ? 1 + : jdo[20] ? 0 + : jtag_break; + + break_on_reset <= jdo[19] ? 1 + : jdo[18] ? 0 + : break_on_reset; + + resetlatch <= jdo[24] ? 0 : resetlatch; + end + else if (reset_sync) + begin + jtag_break <= break_on_reset; + resetlatch <= 1; + end + else if (debugreq & ~debugack & break_on_reset) + jtag_break <= 1'b1; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + monitor_ready <= 1'b0; + monitor_error <= 1'b0; + monitor_go <= 1'b0; + end + else + begin + if (take_action_ocimem_a && jdo[25]) + monitor_ready <= 1'b0; + else if (take_action_ocireg && ocireg_mrs) + monitor_ready <= 1'b1; + if (take_action_ocimem_a && jdo[25]) + monitor_error <= 1'b0; + else if (take_action_ocireg && ocireg_ers) + monitor_error <= 1'b1; + if (take_action_ocimem_a && jdo[23]) + monitor_go <= 1'b1; + else if (st_ready_test_idle) + monitor_go <= 1'b0; + end + end + + + assign oci_hbreak_req = jtag_break | dbrk_break | xbrk_break | debugreq; + assign debugack = ~hbreak_enabled; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_ociram_sp_ram_module ( + // inputs: + address, + byteenable, + clock, + data, + wren, + + // outputs: + q + ) +; + + parameter lpm_file = "UNUSED"; + + + output [ 31: 0] q; + input [ 7: 0] address; + input [ 3: 0] byteenable; + input clock; + input [ 31: 0] data; + input wren; + + wire [ 31: 0] q; + wire [ 31: 0] ram_q; + assign q = ram_q; + altsyncram the_altsyncram + ( + .address_a (address), + .byteena_a (byteenable), + .clock0 (clock), + .data_a (data), + .q_a (ram_q), + .wren_a (wren) + ); + + defparam the_altsyncram.init_file = lpm_file, + the_altsyncram.maximum_depth = 0, + the_altsyncram.numwords_a = 256, + the_altsyncram.operation_mode = "SINGLE_PORT", + the_altsyncram.outdata_reg_a = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.width_a = 32, + the_altsyncram.width_byteena_a = 4, + the_altsyncram.widthad_a = 8; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_ocimem ( + // inputs: + address, + byteenable, + clk, + debugaccess, + jdo, + jrst_n, + read, + take_action_ocimem_a, + take_action_ocimem_b, + take_no_action_ocimem_a, + write, + writedata, + + // outputs: + MonDReg, + ociram_readdata, + waitrequest + ) +; + + output [ 31: 0] MonDReg; + output [ 31: 0] ociram_readdata; + output waitrequest; + input [ 8: 0] address; + input [ 3: 0] byteenable; + input clk; + input debugaccess; + input [ 37: 0] jdo; + input jrst_n; + input read; + input take_action_ocimem_a; + input take_action_ocimem_b; + input take_no_action_ocimem_a; + input write; + input [ 31: 0] writedata; + + reg [ 10: 0] MonAReg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire [ 8: 0] MonARegAddrInc; + wire MonARegAddrIncAccessingRAM; + reg [ 31: 0] MonDReg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg avalon_ociram_readdata_ready /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire avalon_ram_wr; + wire [ 31: 0] cfgrom_readdata; + reg jtag_ram_access /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_ram_rd /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_ram_rd_d1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_ram_wr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_rd /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + reg jtag_rd_d1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire [ 7: 0] ociram_addr; + wire [ 3: 0] ociram_byteenable; + wire [ 31: 0] ociram_readdata; + wire [ 31: 0] ociram_wr_data; + wire ociram_wr_en; + reg waitrequest /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + jtag_rd <= 1'b0; + jtag_rd_d1 <= 1'b0; + jtag_ram_wr <= 1'b0; + jtag_ram_rd <= 1'b0; + jtag_ram_rd_d1 <= 1'b0; + jtag_ram_access <= 1'b0; + MonAReg <= 0; + MonDReg <= 0; + waitrequest <= 1'b1; + avalon_ociram_readdata_ready <= 1'b0; + end + else + begin + if (take_no_action_ocimem_a) + begin + MonAReg[10 : 2] <= MonARegAddrInc; + jtag_rd <= 1'b1; + jtag_ram_rd <= MonARegAddrIncAccessingRAM; + jtag_ram_access <= MonARegAddrIncAccessingRAM; + end + else if (take_action_ocimem_a) + begin + MonAReg[10 : 2] <= { jdo[17], + jdo[33 : 26] }; + + jtag_rd <= 1'b1; + jtag_ram_rd <= ~jdo[17]; + jtag_ram_access <= ~jdo[17]; + end + else if (take_action_ocimem_b) + begin + MonAReg[10 : 2] <= MonARegAddrInc; + MonDReg <= jdo[34 : 3]; + jtag_ram_wr <= MonARegAddrIncAccessingRAM; + jtag_ram_access <= MonARegAddrIncAccessingRAM; + end + else + begin + jtag_rd <= 0; + jtag_ram_wr <= 0; + jtag_ram_rd <= 0; + jtag_ram_access <= 0; + if (jtag_rd_d1) + MonDReg <= jtag_ram_rd_d1 ? ociram_readdata : cfgrom_readdata; + end + jtag_rd_d1 <= jtag_rd; + jtag_ram_rd_d1 <= jtag_ram_rd; + if (~waitrequest) + begin + waitrequest <= 1'b1; + avalon_ociram_readdata_ready <= 1'b0; + end + else if (write) + waitrequest <= ~address[8] & jtag_ram_access; + else if (read) + begin + avalon_ociram_readdata_ready <= ~(~address[8] & jtag_ram_access); + waitrequest <= ~avalon_ociram_readdata_ready; + end + else + begin + waitrequest <= 1'b1; + avalon_ociram_readdata_ready <= 1'b0; + end + end + end + + + assign MonARegAddrInc = MonAReg[10 : 2]+1; + assign MonARegAddrIncAccessingRAM = ~MonARegAddrInc[8]; + assign avalon_ram_wr = write & ~address[8] & debugaccess; + assign ociram_addr = jtag_ram_access ? MonAReg[9 : 2] : address[7 : 0]; + assign ociram_wr_data = jtag_ram_access ? MonDReg[31 : 0] : writedata; + assign ociram_byteenable = jtag_ram_access ? 4'b1111 : byteenable; + assign ociram_wr_en = jtag_ram_wr | avalon_ram_wr; +//nios_system_nios2_processor_ociram_sp_ram, which is an nios_sp_ram +nios_system_nios2_processor_ociram_sp_ram_module nios_system_nios2_processor_ociram_sp_ram + ( + .address (ociram_addr), + .byteenable (ociram_byteenable), + .clock (clk), + .data (ociram_wr_data), + .q (ociram_readdata), + .wren (ociram_wr_en) + ); + +//synthesis translate_off +`ifdef NO_PLI +defparam nios_system_nios2_processor_ociram_sp_ram.lpm_file = "nios_system_nios2_processor_ociram_default_contents.dat"; +`else +defparam nios_system_nios2_processor_ociram_sp_ram.lpm_file = "nios_system_nios2_processor_ociram_default_contents.hex"; +`endif +//synthesis translate_on +//synthesis read_comments_as_HDL on +//defparam nios_system_nios2_processor_ociram_sp_ram.lpm_file = "nios_system_nios2_processor_ociram_default_contents.mif"; +//synthesis read_comments_as_HDL off + assign cfgrom_readdata = (MonAReg[4 : 2] == 3'd0)? 32'h00000020 : + (MonAReg[4 : 2] == 3'd1)? 32'h00001313 : + (MonAReg[4 : 2] == 3'd2)? 32'h00040000 : + (MonAReg[4 : 2] == 3'd3)? 32'h00000000 : + (MonAReg[4 : 2] == 3'd4)? 32'h20000000 : + (MonAReg[4 : 2] == 3'd5)? 32'h00000000 : + (MonAReg[4 : 2] == 3'd6)? 32'h00000000 : + 32'h00000000; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_avalon_reg ( + // inputs: + address, + clk, + debugaccess, + monitor_error, + monitor_go, + monitor_ready, + reset_n, + write, + writedata, + + // outputs: + oci_ienable, + oci_reg_readdata, + oci_single_step_mode, + ocireg_ers, + ocireg_mrs, + take_action_ocireg + ) +; + + output [ 31: 0] oci_ienable; + output [ 31: 0] oci_reg_readdata; + output oci_single_step_mode; + output ocireg_ers; + output ocireg_mrs; + output take_action_ocireg; + input [ 8: 0] address; + input clk; + input debugaccess; + input monitor_error; + input monitor_go; + input monitor_ready; + input reset_n; + input write; + input [ 31: 0] writedata; + + reg [ 31: 0] oci_ienable; + wire oci_reg_00_addressed; + wire oci_reg_01_addressed; + wire [ 31: 0] oci_reg_readdata; + reg oci_single_step_mode; + wire ocireg_ers; + wire ocireg_mrs; + wire ocireg_sstep; + wire take_action_oci_intr_mask_reg; + wire take_action_ocireg; + wire write_strobe; + assign oci_reg_00_addressed = address == 9'h100; + assign oci_reg_01_addressed = address == 9'h101; + assign write_strobe = write & debugaccess; + assign take_action_ocireg = write_strobe & oci_reg_00_addressed; + assign take_action_oci_intr_mask_reg = write_strobe & oci_reg_01_addressed; + assign ocireg_ers = writedata[1]; + assign ocireg_mrs = writedata[0]; + assign ocireg_sstep = writedata[3]; + assign oci_reg_readdata = oci_reg_00_addressed ? {28'b0, oci_single_step_mode, monitor_go, + monitor_ready, monitor_error} : + oci_reg_01_addressed ? oci_ienable : + 32'b0; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + oci_single_step_mode <= 1'b0; + else if (take_action_ocireg) + oci_single_step_mode <= ocireg_sstep; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + oci_ienable <= 32'b00000000000000000000000000100000; + else if (take_action_oci_intr_mask_reg) + oci_ienable <= writedata | ~(32'b00000000000000000000000000100000); + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_break ( + // inputs: + clk, + dbrk_break, + dbrk_goto0, + dbrk_goto1, + jdo, + jrst_n, + reset_n, + take_action_break_a, + take_action_break_b, + take_action_break_c, + take_no_action_break_a, + take_no_action_break_b, + take_no_action_break_c, + xbrk_goto0, + xbrk_goto1, + + // outputs: + break_readreg, + dbrk_hit0_latch, + dbrk_hit1_latch, + dbrk_hit2_latch, + dbrk_hit3_latch, + trigbrktype, + trigger_state_0, + trigger_state_1, + xbrk_ctrl0, + xbrk_ctrl1, + xbrk_ctrl2, + xbrk_ctrl3 + ) +; + + output [ 31: 0] break_readreg; + output dbrk_hit0_latch; + output dbrk_hit1_latch; + output dbrk_hit2_latch; + output dbrk_hit3_latch; + output trigbrktype; + output trigger_state_0; + output trigger_state_1; + output [ 7: 0] xbrk_ctrl0; + output [ 7: 0] xbrk_ctrl1; + output [ 7: 0] xbrk_ctrl2; + output [ 7: 0] xbrk_ctrl3; + input clk; + input dbrk_break; + input dbrk_goto0; + input dbrk_goto1; + input [ 37: 0] jdo; + input jrst_n; + input reset_n; + input take_action_break_a; + input take_action_break_b; + input take_action_break_c; + input take_no_action_break_a; + input take_no_action_break_b; + input take_no_action_break_c; + input xbrk_goto0; + input xbrk_goto1; + + wire [ 3: 0] break_a_wpr; + wire [ 1: 0] break_a_wpr_high_bits; + wire [ 1: 0] break_a_wpr_low_bits; + wire [ 1: 0] break_b_rr; + wire [ 1: 0] break_c_rr; + reg [ 31: 0] break_readreg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + wire dbrk0_high_value; + wire dbrk0_low_value; + wire dbrk1_high_value; + wire dbrk1_low_value; + wire dbrk2_high_value; + wire dbrk2_low_value; + wire dbrk3_high_value; + wire dbrk3_low_value; + wire dbrk_hit0_latch; + wire dbrk_hit1_latch; + wire dbrk_hit2_latch; + wire dbrk_hit3_latch; + wire take_action_any_break; + reg trigbrktype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg trigger_state; + wire trigger_state_0; + wire trigger_state_1; + wire [ 31: 0] xbrk0_value; + wire [ 31: 0] xbrk1_value; + wire [ 31: 0] xbrk2_value; + wire [ 31: 0] xbrk3_value; + reg [ 7: 0] xbrk_ctrl0 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 7: 0] xbrk_ctrl1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 7: 0] xbrk_ctrl2 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 7: 0] xbrk_ctrl3 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + assign break_a_wpr = jdo[35 : 32]; + assign break_a_wpr_high_bits = break_a_wpr[3 : 2]; + assign break_a_wpr_low_bits = break_a_wpr[1 : 0]; + assign break_b_rr = jdo[33 : 32]; + assign break_c_rr = jdo[33 : 32]; + assign take_action_any_break = take_action_break_a | take_action_break_b | take_action_break_c; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + xbrk_ctrl0 <= 0; + xbrk_ctrl1 <= 0; + xbrk_ctrl2 <= 0; + xbrk_ctrl3 <= 0; + trigbrktype <= 0; + end + else + begin + if (take_action_any_break) + trigbrktype <= 0; + else if (dbrk_break) + trigbrktype <= 1; + if (take_action_break_b) + begin + if ((break_b_rr == 2'b00) && (0 >= 1)) + begin + xbrk_ctrl0[0] <= jdo[27]; + xbrk_ctrl0[1] <= jdo[28]; + xbrk_ctrl0[2] <= jdo[29]; + xbrk_ctrl0[3] <= jdo[30]; + xbrk_ctrl0[4] <= jdo[21]; + xbrk_ctrl0[5] <= jdo[20]; + xbrk_ctrl0[6] <= jdo[19]; + xbrk_ctrl0[7] <= jdo[18]; + end + if ((break_b_rr == 2'b01) && (0 >= 2)) + begin + xbrk_ctrl1[0] <= jdo[27]; + xbrk_ctrl1[1] <= jdo[28]; + xbrk_ctrl1[2] <= jdo[29]; + xbrk_ctrl1[3] <= jdo[30]; + xbrk_ctrl1[4] <= jdo[21]; + xbrk_ctrl1[5] <= jdo[20]; + xbrk_ctrl1[6] <= jdo[19]; + xbrk_ctrl1[7] <= jdo[18]; + end + if ((break_b_rr == 2'b10) && (0 >= 3)) + begin + xbrk_ctrl2[0] <= jdo[27]; + xbrk_ctrl2[1] <= jdo[28]; + xbrk_ctrl2[2] <= jdo[29]; + xbrk_ctrl2[3] <= jdo[30]; + xbrk_ctrl2[4] <= jdo[21]; + xbrk_ctrl2[5] <= jdo[20]; + xbrk_ctrl2[6] <= jdo[19]; + xbrk_ctrl2[7] <= jdo[18]; + end + if ((break_b_rr == 2'b11) && (0 >= 4)) + begin + xbrk_ctrl3[0] <= jdo[27]; + xbrk_ctrl3[1] <= jdo[28]; + xbrk_ctrl3[2] <= jdo[29]; + xbrk_ctrl3[3] <= jdo[30]; + xbrk_ctrl3[4] <= jdo[21]; + xbrk_ctrl3[5] <= jdo[20]; + xbrk_ctrl3[6] <= jdo[19]; + xbrk_ctrl3[7] <= jdo[18]; + end + end + end + end + + + assign dbrk_hit0_latch = 1'b0; + assign dbrk0_low_value = 0; + assign dbrk0_high_value = 0; + assign dbrk_hit1_latch = 1'b0; + assign dbrk1_low_value = 0; + assign dbrk1_high_value = 0; + assign dbrk_hit2_latch = 1'b0; + assign dbrk2_low_value = 0; + assign dbrk2_high_value = 0; + assign dbrk_hit3_latch = 1'b0; + assign dbrk3_low_value = 0; + assign dbrk3_high_value = 0; + assign xbrk0_value = 32'b0; + assign xbrk1_value = 32'b0; + assign xbrk2_value = 32'b0; + assign xbrk3_value = 32'b0; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + break_readreg <= 32'b0; + else if (take_action_any_break) + break_readreg <= jdo[31 : 0]; + else if (take_no_action_break_a) + case (break_a_wpr_high_bits) + + 2'd0: begin + case (break_a_wpr_low_bits) // synthesis full_case + + 2'd0: begin + break_readreg <= xbrk0_value; + end // 2'd0 + + 2'd1: begin + break_readreg <= xbrk1_value; + end // 2'd1 + + 2'd2: begin + break_readreg <= xbrk2_value; + end // 2'd2 + + 2'd3: begin + break_readreg <= xbrk3_value; + end // 2'd3 + + endcase // break_a_wpr_low_bits + end // 2'd0 + + 2'd1: begin + break_readreg <= 32'b0; + end // 2'd1 + + 2'd2: begin + case (break_a_wpr_low_bits) // synthesis full_case + + 2'd0: begin + break_readreg <= dbrk0_low_value; + end // 2'd0 + + 2'd1: begin + break_readreg <= dbrk1_low_value; + end // 2'd1 + + 2'd2: begin + break_readreg <= dbrk2_low_value; + end // 2'd2 + + 2'd3: begin + break_readreg <= dbrk3_low_value; + end // 2'd3 + + endcase // break_a_wpr_low_bits + end // 2'd2 + + 2'd3: begin + case (break_a_wpr_low_bits) // synthesis full_case + + 2'd0: begin + break_readreg <= dbrk0_high_value; + end // 2'd0 + + 2'd1: begin + break_readreg <= dbrk1_high_value; + end // 2'd1 + + 2'd2: begin + break_readreg <= dbrk2_high_value; + end // 2'd2 + + 2'd3: begin + break_readreg <= dbrk3_high_value; + end // 2'd3 + + endcase // break_a_wpr_low_bits + end // 2'd3 + + endcase // break_a_wpr_high_bits + else if (take_no_action_break_b) + break_readreg <= jdo[31 : 0]; + else if (take_no_action_break_c) + break_readreg <= jdo[31 : 0]; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + trigger_state <= 0; + else if (trigger_state_1 & (xbrk_goto0 | dbrk_goto0)) + trigger_state <= 0; + else if (trigger_state_0 & (xbrk_goto1 | dbrk_goto1)) + trigger_state <= -1; + end + + + assign trigger_state_0 = ~trigger_state; + assign trigger_state_1 = trigger_state; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_xbrk ( + // inputs: + D_valid, + E_valid, + F_pc, + clk, + reset_n, + trigger_state_0, + trigger_state_1, + xbrk_ctrl0, + xbrk_ctrl1, + xbrk_ctrl2, + xbrk_ctrl3, + + // outputs: + xbrk_break, + xbrk_goto0, + xbrk_goto1, + xbrk_traceoff, + xbrk_traceon, + xbrk_trigout + ) +; + + output xbrk_break; + output xbrk_goto0; + output xbrk_goto1; + output xbrk_traceoff; + output xbrk_traceon; + output xbrk_trigout; + input D_valid; + input E_valid; + input [ 16: 0] F_pc; + input clk; + input reset_n; + input trigger_state_0; + input trigger_state_1; + input [ 7: 0] xbrk_ctrl0; + input [ 7: 0] xbrk_ctrl1; + input [ 7: 0] xbrk_ctrl2; + input [ 7: 0] xbrk_ctrl3; + + wire D_cpu_addr_en; + wire E_cpu_addr_en; + reg E_xbrk_goto0; + reg E_xbrk_goto1; + reg E_xbrk_traceoff; + reg E_xbrk_traceon; + reg E_xbrk_trigout; + wire [ 18: 0] cpu_i_address; + wire xbrk0_armed; + wire xbrk0_break_hit; + wire xbrk0_goto0_hit; + wire xbrk0_goto1_hit; + wire xbrk0_toff_hit; + wire xbrk0_ton_hit; + wire xbrk0_tout_hit; + wire xbrk1_armed; + wire xbrk1_break_hit; + wire xbrk1_goto0_hit; + wire xbrk1_goto1_hit; + wire xbrk1_toff_hit; + wire xbrk1_ton_hit; + wire xbrk1_tout_hit; + wire xbrk2_armed; + wire xbrk2_break_hit; + wire xbrk2_goto0_hit; + wire xbrk2_goto1_hit; + wire xbrk2_toff_hit; + wire xbrk2_ton_hit; + wire xbrk2_tout_hit; + wire xbrk3_armed; + wire xbrk3_break_hit; + wire xbrk3_goto0_hit; + wire xbrk3_goto1_hit; + wire xbrk3_toff_hit; + wire xbrk3_ton_hit; + wire xbrk3_tout_hit; + reg xbrk_break; + wire xbrk_break_hit; + wire xbrk_goto0; + wire xbrk_goto0_hit; + wire xbrk_goto1; + wire xbrk_goto1_hit; + wire xbrk_toff_hit; + wire xbrk_ton_hit; + wire xbrk_tout_hit; + wire xbrk_traceoff; + wire xbrk_traceon; + wire xbrk_trigout; + assign cpu_i_address = {F_pc, 2'b00}; + assign D_cpu_addr_en = D_valid; + assign E_cpu_addr_en = E_valid; + assign xbrk0_break_hit = 0; + assign xbrk0_ton_hit = 0; + assign xbrk0_toff_hit = 0; + assign xbrk0_tout_hit = 0; + assign xbrk0_goto0_hit = 0; + assign xbrk0_goto1_hit = 0; + assign xbrk1_break_hit = 0; + assign xbrk1_ton_hit = 0; + assign xbrk1_toff_hit = 0; + assign xbrk1_tout_hit = 0; + assign xbrk1_goto0_hit = 0; + assign xbrk1_goto1_hit = 0; + assign xbrk2_break_hit = 0; + assign xbrk2_ton_hit = 0; + assign xbrk2_toff_hit = 0; + assign xbrk2_tout_hit = 0; + assign xbrk2_goto0_hit = 0; + assign xbrk2_goto1_hit = 0; + assign xbrk3_break_hit = 0; + assign xbrk3_ton_hit = 0; + assign xbrk3_toff_hit = 0; + assign xbrk3_tout_hit = 0; + assign xbrk3_goto0_hit = 0; + assign xbrk3_goto1_hit = 0; + assign xbrk_break_hit = (xbrk0_break_hit) | (xbrk1_break_hit) | (xbrk2_break_hit) | (xbrk3_break_hit); + assign xbrk_ton_hit = (xbrk0_ton_hit) | (xbrk1_ton_hit) | (xbrk2_ton_hit) | (xbrk3_ton_hit); + assign xbrk_toff_hit = (xbrk0_toff_hit) | (xbrk1_toff_hit) | (xbrk2_toff_hit) | (xbrk3_toff_hit); + assign xbrk_tout_hit = (xbrk0_tout_hit) | (xbrk1_tout_hit) | (xbrk2_tout_hit) | (xbrk3_tout_hit); + assign xbrk_goto0_hit = (xbrk0_goto0_hit) | (xbrk1_goto0_hit) | (xbrk2_goto0_hit) | (xbrk3_goto0_hit); + assign xbrk_goto1_hit = (xbrk0_goto1_hit) | (xbrk1_goto1_hit) | (xbrk2_goto1_hit) | (xbrk3_goto1_hit); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + xbrk_break <= 0; + else if (E_cpu_addr_en) + xbrk_break <= xbrk_break_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_traceon <= 0; + else if (E_cpu_addr_en) + E_xbrk_traceon <= xbrk_ton_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_traceoff <= 0; + else if (E_cpu_addr_en) + E_xbrk_traceoff <= xbrk_toff_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_trigout <= 0; + else if (E_cpu_addr_en) + E_xbrk_trigout <= xbrk_tout_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_goto0 <= 0; + else if (E_cpu_addr_en) + E_xbrk_goto0 <= xbrk_goto0_hit; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_xbrk_goto1 <= 0; + else if (E_cpu_addr_en) + E_xbrk_goto1 <= xbrk_goto1_hit; + end + + + assign xbrk_traceon = 1'b0; + assign xbrk_traceoff = 1'b0; + assign xbrk_trigout = 1'b0; + assign xbrk_goto0 = 1'b0; + assign xbrk_goto1 = 1'b0; + assign xbrk0_armed = (xbrk_ctrl0[4] & trigger_state_0) || + (xbrk_ctrl0[5] & trigger_state_1); + + assign xbrk1_armed = (xbrk_ctrl1[4] & trigger_state_0) || + (xbrk_ctrl1[5] & trigger_state_1); + + assign xbrk2_armed = (xbrk_ctrl2[4] & trigger_state_0) || + (xbrk_ctrl2[5] & trigger_state_1); + + assign xbrk3_armed = (xbrk_ctrl3[4] & trigger_state_0) || + (xbrk_ctrl3[5] & trigger_state_1); + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_dbrk ( + // inputs: + E_st_data, + av_ld_data_aligned_filtered, + clk, + d_address, + d_read, + d_waitrequest, + d_write, + debugack, + reset_n, + + // outputs: + cpu_d_address, + cpu_d_read, + cpu_d_readdata, + cpu_d_wait, + cpu_d_write, + cpu_d_writedata, + dbrk_break, + dbrk_goto0, + dbrk_goto1, + dbrk_traceme, + dbrk_traceoff, + dbrk_traceon, + dbrk_trigout + ) +; + + output [ 18: 0] cpu_d_address; + output cpu_d_read; + output [ 31: 0] cpu_d_readdata; + output cpu_d_wait; + output cpu_d_write; + output [ 31: 0] cpu_d_writedata; + output dbrk_break; + output dbrk_goto0; + output dbrk_goto1; + output dbrk_traceme; + output dbrk_traceoff; + output dbrk_traceon; + output dbrk_trigout; + input [ 31: 0] E_st_data; + input [ 31: 0] av_ld_data_aligned_filtered; + input clk; + input [ 18: 0] d_address; + input d_read; + input d_waitrequest; + input d_write; + input debugack; + input reset_n; + + wire [ 18: 0] cpu_d_address; + wire cpu_d_read; + wire [ 31: 0] cpu_d_readdata; + wire cpu_d_wait; + wire cpu_d_write; + wire [ 31: 0] cpu_d_writedata; + wire dbrk0_armed; + wire dbrk0_break_pulse; + wire dbrk0_goto0; + wire dbrk0_goto1; + wire dbrk0_traceme; + wire dbrk0_traceoff; + wire dbrk0_traceon; + wire dbrk0_trigout; + wire dbrk1_armed; + wire dbrk1_break_pulse; + wire dbrk1_goto0; + wire dbrk1_goto1; + wire dbrk1_traceme; + wire dbrk1_traceoff; + wire dbrk1_traceon; + wire dbrk1_trigout; + wire dbrk2_armed; + wire dbrk2_break_pulse; + wire dbrk2_goto0; + wire dbrk2_goto1; + wire dbrk2_traceme; + wire dbrk2_traceoff; + wire dbrk2_traceon; + wire dbrk2_trigout; + wire dbrk3_armed; + wire dbrk3_break_pulse; + wire dbrk3_goto0; + wire dbrk3_goto1; + wire dbrk3_traceme; + wire dbrk3_traceoff; + wire dbrk3_traceon; + wire dbrk3_trigout; + reg dbrk_break; + reg dbrk_break_pulse; + wire [ 31: 0] dbrk_data; + reg dbrk_goto0; + reg dbrk_goto1; + reg dbrk_traceme; + reg dbrk_traceoff; + reg dbrk_traceon; + reg dbrk_trigout; + assign cpu_d_address = d_address; + assign cpu_d_readdata = av_ld_data_aligned_filtered; + assign cpu_d_read = d_read; + assign cpu_d_writedata = E_st_data; + assign cpu_d_write = d_write; + assign cpu_d_wait = d_waitrequest; + assign dbrk_data = cpu_d_write ? cpu_d_writedata : cpu_d_readdata; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + dbrk_break <= 0; + else + dbrk_break <= dbrk_break ? ~debugack + : dbrk_break_pulse; + + end + + + assign dbrk0_armed = 1'b0; + assign dbrk0_trigout = 1'b0; + assign dbrk0_break_pulse = 1'b0; + assign dbrk0_traceoff = 1'b0; + assign dbrk0_traceon = 1'b0; + assign dbrk0_traceme = 1'b0; + assign dbrk0_goto0 = 1'b0; + assign dbrk0_goto1 = 1'b0; + assign dbrk1_armed = 1'b0; + assign dbrk1_trigout = 1'b0; + assign dbrk1_break_pulse = 1'b0; + assign dbrk1_traceoff = 1'b0; + assign dbrk1_traceon = 1'b0; + assign dbrk1_traceme = 1'b0; + assign dbrk1_goto0 = 1'b0; + assign dbrk1_goto1 = 1'b0; + assign dbrk2_armed = 1'b0; + assign dbrk2_trigout = 1'b0; + assign dbrk2_break_pulse = 1'b0; + assign dbrk2_traceoff = 1'b0; + assign dbrk2_traceon = 1'b0; + assign dbrk2_traceme = 1'b0; + assign dbrk2_goto0 = 1'b0; + assign dbrk2_goto1 = 1'b0; + assign dbrk3_armed = 1'b0; + assign dbrk3_trigout = 1'b0; + assign dbrk3_break_pulse = 1'b0; + assign dbrk3_traceoff = 1'b0; + assign dbrk3_traceon = 1'b0; + assign dbrk3_traceme = 1'b0; + assign dbrk3_goto0 = 1'b0; + assign dbrk3_goto1 = 1'b0; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + dbrk_trigout <= 0; + dbrk_break_pulse <= 0; + dbrk_traceoff <= 0; + dbrk_traceon <= 0; + dbrk_traceme <= 0; + dbrk_goto0 <= 0; + dbrk_goto1 <= 0; + end + else + begin + dbrk_trigout <= dbrk0_trigout | dbrk1_trigout | dbrk2_trigout | dbrk3_trigout; + dbrk_break_pulse <= dbrk0_break_pulse | dbrk1_break_pulse | dbrk2_break_pulse | dbrk3_break_pulse; + dbrk_traceoff <= dbrk0_traceoff | dbrk1_traceoff | dbrk2_traceoff | dbrk3_traceoff; + dbrk_traceon <= dbrk0_traceon | dbrk1_traceon | dbrk2_traceon | dbrk3_traceon; + dbrk_traceme <= dbrk0_traceme | dbrk1_traceme | dbrk2_traceme | dbrk3_traceme; + dbrk_goto0 <= dbrk0_goto0 | dbrk1_goto0 | dbrk2_goto0 | dbrk3_goto0; + dbrk_goto1 <= dbrk0_goto1 | dbrk1_goto1 | dbrk2_goto1 | dbrk3_goto1; + end + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_itrace ( + // inputs: + clk, + dbrk_traceoff, + dbrk_traceon, + jdo, + jrst_n, + take_action_tracectrl, + trc_enb, + xbrk_traceoff, + xbrk_traceon, + xbrk_wrap_traceoff, + + // outputs: + dct_buffer, + dct_count, + itm, + trc_ctrl, + trc_on + ) +; + + output [ 29: 0] dct_buffer; + output [ 3: 0] dct_count; + output [ 35: 0] itm; + output [ 15: 0] trc_ctrl; + output trc_on; + input clk; + input dbrk_traceoff; + input dbrk_traceon; + input [ 15: 0] jdo; + input jrst_n; + input take_action_tracectrl; + input trc_enb; + input xbrk_traceoff; + input xbrk_traceon; + input xbrk_wrap_traceoff; + + wire curr_pid; + reg [ 29: 0] dct_buffer /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 1: 0] dct_code; + reg [ 3: 0] dct_count /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire dct_is_taken; + wire [ 31: 0] excaddr; + wire instr_retired; + wire is_advanced_exception; + wire is_cond_dct; + wire is_dct; + wire is_exception_no_break; + wire is_fast_tlb_miss_exception; + wire is_idct; + reg [ 35: 0] itm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire not_in_debug_mode; + reg pending_curr_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg [ 31: 0] pending_excaddr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg pending_exctype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg [ 3: 0] pending_frametype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg pending_prev_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg prev_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg prev_pid_valid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire record_dct_outcome_in_sync; + wire record_itrace; + wire [ 31: 0] retired_pcb; + reg snapped_curr_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg snapped_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg snapped_prev_pid /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 1: 0] sync_code; + wire [ 6: 0] sync_interval; + wire sync_pending; + reg [ 6: 0] sync_timer /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 6: 0] sync_timer_next; + reg trc_clear /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + wire [ 15: 0] trc_ctrl; + reg [ 10: 0] trc_ctrl_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire trc_on; + assign is_cond_dct = 1'b0; + assign is_dct = 1'b0; + assign dct_is_taken = 1'b0; + assign is_idct = 1'b0; + assign retired_pcb = 32'b0; + assign not_in_debug_mode = 1'b0; + assign instr_retired = 1'b0; + assign is_advanced_exception = 1'b0; + assign is_exception_no_break = 1'b0; + assign is_fast_tlb_miss_exception = 1'b0; + assign curr_pid = 1'b0; + assign excaddr = 32'b0; + assign sync_code = trc_ctrl[3 : 2]; + assign sync_interval = { sync_code[1] & sync_code[0], 1'b0, sync_code[1] & ~sync_code[0], 1'b0, ~sync_code[1] & sync_code[0], 2'b00 }; + assign sync_pending = sync_timer == 0; + assign record_dct_outcome_in_sync = dct_is_taken & sync_pending; + assign sync_timer_next = sync_pending ? sync_timer : (sync_timer - 1); + assign record_itrace = trc_on & trc_ctrl[4]; + assign dct_code = {is_cond_dct, dct_is_taken}; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + trc_clear <= 0; + else + trc_clear <= ~trc_enb & + take_action_tracectrl & jdo[4]; + + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + itm <= 0; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= 0; + pending_frametype <= 4'b0000; + pending_exctype <= 1'b0; + pending_excaddr <= 0; + prev_pid <= 0; + prev_pid_valid <= 0; + snapped_pid <= 0; + snapped_curr_pid <= 0; + snapped_prev_pid <= 0; + pending_curr_pid <= 0; + pending_prev_pid <= 0; + end + else if (trc_clear || (!0 && !0)) + begin + itm <= 0; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= 0; + pending_frametype <= 4'b0000; + pending_exctype <= 1'b0; + pending_excaddr <= 0; + prev_pid <= 0; + prev_pid_valid <= 0; + snapped_pid <= 0; + snapped_curr_pid <= 0; + snapped_prev_pid <= 0; + pending_curr_pid <= 0; + pending_prev_pid <= 0; + end + else + begin + if (!prev_pid_valid) + begin + prev_pid <= curr_pid; + prev_pid_valid <= 1; + end + if ((curr_pid != prev_pid) & prev_pid_valid & !snapped_pid) + begin + snapped_pid <= 1; + snapped_curr_pid <= curr_pid; + snapped_prev_pid <= prev_pid; + prev_pid <= curr_pid; + prev_pid_valid <= 1; + end + if (instr_retired | is_advanced_exception) + begin + if (~record_itrace) + pending_frametype <= 4'b1010; + else if (is_exception_no_break) + begin + pending_frametype <= 4'b0010; + pending_excaddr <= excaddr; + if (is_fast_tlb_miss_exception) + pending_exctype <= 1'b1; + else + pending_exctype <= 1'b0; + end + else if (is_idct) + pending_frametype <= 4'b1001; + else if (record_dct_outcome_in_sync) + pending_frametype <= 4'b1000; + else if (!is_dct & snapped_pid) + begin + pending_frametype <= 4'b0011; + pending_curr_pid <= snapped_curr_pid; + pending_prev_pid <= snapped_prev_pid; + snapped_pid <= 0; + end + else + pending_frametype <= 4'b0000; + if ((dct_count != 0) & + (~record_itrace | + is_exception_no_break | + is_idct | + record_dct_outcome_in_sync | + (!is_dct & snapped_pid))) + begin + itm <= {4'b0001, dct_buffer, 2'b00}; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= sync_timer_next; + end + else + begin + if (record_itrace & (is_dct & (dct_count != 4'd15)) & ~record_dct_outcome_in_sync & ~is_advanced_exception) + begin + dct_buffer <= {dct_code, dct_buffer[29 : 2]}; + dct_count <= dct_count + 1; + end + if (record_itrace & (pending_frametype == 4'b0010)) + itm <= {4'b0010, pending_excaddr[31 : 1], pending_exctype}; + else if (record_itrace & ( + (pending_frametype == 4'b1000) | + (pending_frametype == 4'b1010) | + (pending_frametype == 4'b1001))) + begin + itm <= {pending_frametype, retired_pcb}; + sync_timer <= sync_interval; + if (0 & + ((pending_frametype == 4'b1000) | (pending_frametype == 4'b1010)) & + !snapped_pid & prev_pid_valid) + begin + snapped_pid <= 1; + snapped_curr_pid <= curr_pid; + snapped_prev_pid <= prev_pid; + end + end + else if (record_itrace & + 0 & (pending_frametype == 4'b0011)) + itm <= {4'b0011, 2'b00, pending_prev_pid, 2'b00, pending_curr_pid}; + else if (record_itrace & is_dct) + begin + if (dct_count == 4'd15) + begin + itm <= {4'b0001, dct_code, dct_buffer}; + dct_buffer <= 0; + dct_count <= 0; + sync_timer <= sync_timer_next; + end + else + itm <= 4'b0000; + end + else + itm <= {4'b0000, 32'b0}; + end + end + else + itm <= {4'b0000, 32'b0}; + end + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + trc_ctrl_reg[0] <= 1'b0; + trc_ctrl_reg[1] <= 1'b0; + trc_ctrl_reg[3 : 2] <= 2'b00; + trc_ctrl_reg[4] <= 1'b0; + trc_ctrl_reg[7 : 5] <= 3'b000; + trc_ctrl_reg[8] <= 0; + trc_ctrl_reg[9] <= 1'b0; + trc_ctrl_reg[10] <= 1'b0; + end + else if (take_action_tracectrl) + begin + trc_ctrl_reg[0] <= jdo[5]; + trc_ctrl_reg[1] <= jdo[6]; + trc_ctrl_reg[3 : 2] <= jdo[8 : 7]; + trc_ctrl_reg[4] <= jdo[9]; + trc_ctrl_reg[9] <= jdo[14]; + trc_ctrl_reg[10] <= jdo[2]; + if (0) + trc_ctrl_reg[7 : 5] <= jdo[12 : 10]; + if (0 & 0) + trc_ctrl_reg[8] <= jdo[13]; + end + else if (xbrk_wrap_traceoff) + begin + trc_ctrl_reg[1] <= 0; + trc_ctrl_reg[0] <= 0; + end + else if (dbrk_traceoff | xbrk_traceoff) + trc_ctrl_reg[1] <= 0; + else if (trc_ctrl_reg[0] & + (dbrk_traceon | xbrk_traceon)) + trc_ctrl_reg[1] <= 1; + end + + + assign trc_ctrl = (0 || 0) ? {6'b000000, trc_ctrl_reg} : 0; + assign trc_on = trc_ctrl[1] & (trc_ctrl[9] | not_in_debug_mode); + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_td_mode ( + // inputs: + ctrl, + + // outputs: + td_mode + ) +; + + output [ 3: 0] td_mode; + input [ 8: 0] ctrl; + + wire [ 2: 0] ctrl_bits_for_mux; + reg [ 3: 0] td_mode; + assign ctrl_bits_for_mux = ctrl[7 : 5]; + always @(ctrl_bits_for_mux) + begin + case (ctrl_bits_for_mux) + + 3'b000: begin + td_mode = 4'b0000; + end // 3'b000 + + 3'b001: begin + td_mode = 4'b1000; + end // 3'b001 + + 3'b010: begin + td_mode = 4'b0100; + end // 3'b010 + + 3'b011: begin + td_mode = 4'b1100; + end // 3'b011 + + 3'b100: begin + td_mode = 4'b0010; + end // 3'b100 + + 3'b101: begin + td_mode = 4'b1010; + end // 3'b101 + + 3'b110: begin + td_mode = 4'b0101; + end // 3'b110 + + 3'b111: begin + td_mode = 4'b1111; + end // 3'b111 + + endcase // ctrl_bits_for_mux + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_dtrace ( + // inputs: + clk, + cpu_d_address, + cpu_d_read, + cpu_d_readdata, + cpu_d_wait, + cpu_d_write, + cpu_d_writedata, + jrst_n, + trc_ctrl, + + // outputs: + atm, + dtm + ) +; + + output [ 35: 0] atm; + output [ 35: 0] dtm; + input clk; + input [ 18: 0] cpu_d_address; + input cpu_d_read; + input [ 31: 0] cpu_d_readdata; + input cpu_d_wait; + input cpu_d_write; + input [ 31: 0] cpu_d_writedata; + input jrst_n; + input [ 15: 0] trc_ctrl; + + reg [ 35: 0] atm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 31: 0] cpu_d_address_0_padded; + wire [ 31: 0] cpu_d_readdata_0_padded; + wire [ 31: 0] cpu_d_writedata_0_padded; + reg [ 35: 0] dtm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire record_load_addr; + wire record_load_data; + wire record_store_addr; + wire record_store_data; + wire [ 3: 0] td_mode_trc_ctrl; + assign cpu_d_writedata_0_padded = cpu_d_writedata | 32'b0; + assign cpu_d_readdata_0_padded = cpu_d_readdata | 32'b0; + assign cpu_d_address_0_padded = cpu_d_address | 32'b0; + //nios_system_nios2_processor_nios2_oci_trc_ctrl_td_mode, which is an e_instance + nios_system_nios2_processor_nios2_oci_td_mode nios_system_nios2_processor_nios2_oci_trc_ctrl_td_mode + ( + .ctrl (trc_ctrl[8 : 0]), + .td_mode (td_mode_trc_ctrl) + ); + + assign {record_load_addr, record_store_addr, + record_load_data, record_store_data} = td_mode_trc_ctrl; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + atm <= 0; + dtm <= 0; + end + else if (0) + begin + if (cpu_d_write & ~cpu_d_wait & record_store_addr) + atm <= {4'b0101, cpu_d_address_0_padded}; + else if (cpu_d_read & ~cpu_d_wait & record_load_addr) + atm <= {4'b0100, cpu_d_address_0_padded}; + else + atm <= {4'b0000, cpu_d_address_0_padded}; + if (cpu_d_write & ~cpu_d_wait & record_store_data) + dtm <= {4'b0111, cpu_d_writedata_0_padded}; + else if (cpu_d_read & ~cpu_d_wait & record_load_data) + dtm <= {4'b0110, cpu_d_readdata_0_padded}; + else + dtm <= {4'b0000, cpu_d_readdata_0_padded}; + end + else + begin + atm <= 0; + dtm <= 0; + end + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_compute_tm_count ( + // inputs: + atm_valid, + dtm_valid, + itm_valid, + + // outputs: + compute_tm_count + ) +; + + output [ 1: 0] compute_tm_count; + input atm_valid; + input dtm_valid; + input itm_valid; + + reg [ 1: 0] compute_tm_count; + wire [ 2: 0] switch_for_mux; + assign switch_for_mux = {itm_valid, atm_valid, dtm_valid}; + always @(switch_for_mux) + begin + case (switch_for_mux) + + 3'b000: begin + compute_tm_count = 0; + end // 3'b000 + + 3'b001: begin + compute_tm_count = 1; + end // 3'b001 + + 3'b010: begin + compute_tm_count = 1; + end // 3'b010 + + 3'b011: begin + compute_tm_count = 2; + end // 3'b011 + + 3'b100: begin + compute_tm_count = 1; + end // 3'b100 + + 3'b101: begin + compute_tm_count = 2; + end // 3'b101 + + 3'b110: begin + compute_tm_count = 2; + end // 3'b110 + + 3'b111: begin + compute_tm_count = 3; + end // 3'b111 + + endcase // switch_for_mux + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_fifowp_inc ( + // inputs: + free2, + free3, + tm_count, + + // outputs: + fifowp_inc + ) +; + + output [ 3: 0] fifowp_inc; + input free2; + input free3; + input [ 1: 0] tm_count; + + reg [ 3: 0] fifowp_inc; + always @(free2 or free3 or tm_count) + begin + if (free3 & (tm_count == 3)) + fifowp_inc = 3; + else if (free2 & (tm_count >= 2)) + fifowp_inc = 2; + else if (tm_count >= 1) + fifowp_inc = 1; + else + fifowp_inc = 0; + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_fifocount_inc ( + // inputs: + empty, + free2, + free3, + tm_count, + + // outputs: + fifocount_inc + ) +; + + output [ 4: 0] fifocount_inc; + input empty; + input free2; + input free3; + input [ 1: 0] tm_count; + + reg [ 4: 0] fifocount_inc; + always @(empty or free2 or free3 or tm_count) + begin + if (empty) + fifocount_inc = tm_count[1 : 0]; + else if (free3 & (tm_count == 3)) + fifocount_inc = 2; + else if (free2 & (tm_count >= 2)) + fifocount_inc = 1; + else if (tm_count >= 1) + fifocount_inc = 0; + else + fifocount_inc = {5{1'b1}}; + end + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_fifo ( + // inputs: + atm, + clk, + dbrk_traceme, + dbrk_traceoff, + dbrk_traceon, + dct_buffer, + dct_count, + dtm, + itm, + jrst_n, + reset_n, + test_ending, + test_has_ended, + trc_on, + + // outputs: + tw + ) +; + + output [ 35: 0] tw; + input [ 35: 0] atm; + input clk; + input dbrk_traceme; + input dbrk_traceoff; + input dbrk_traceon; + input [ 29: 0] dct_buffer; + input [ 3: 0] dct_count; + input [ 35: 0] dtm; + input [ 35: 0] itm; + input jrst_n; + input reset_n; + input test_ending; + input test_has_ended; + input trc_on; + + wire atm_valid; + wire [ 1: 0] compute_tm_count_tm_count; + wire dtm_valid; + wire empty; + reg [ 35: 0] fifo_0; + wire fifo_0_enable; + wire [ 35: 0] fifo_0_mux; + reg [ 35: 0] fifo_1; + reg [ 35: 0] fifo_10; + wire fifo_10_enable; + wire [ 35: 0] fifo_10_mux; + reg [ 35: 0] fifo_11; + wire fifo_11_enable; + wire [ 35: 0] fifo_11_mux; + reg [ 35: 0] fifo_12; + wire fifo_12_enable; + wire [ 35: 0] fifo_12_mux; + reg [ 35: 0] fifo_13; + wire fifo_13_enable; + wire [ 35: 0] fifo_13_mux; + reg [ 35: 0] fifo_14; + wire fifo_14_enable; + wire [ 35: 0] fifo_14_mux; + reg [ 35: 0] fifo_15; + wire fifo_15_enable; + wire [ 35: 0] fifo_15_mux; + wire fifo_1_enable; + wire [ 35: 0] fifo_1_mux; + reg [ 35: 0] fifo_2; + wire fifo_2_enable; + wire [ 35: 0] fifo_2_mux; + reg [ 35: 0] fifo_3; + wire fifo_3_enable; + wire [ 35: 0] fifo_3_mux; + reg [ 35: 0] fifo_4; + wire fifo_4_enable; + wire [ 35: 0] fifo_4_mux; + reg [ 35: 0] fifo_5; + wire fifo_5_enable; + wire [ 35: 0] fifo_5_mux; + reg [ 35: 0] fifo_6; + wire fifo_6_enable; + wire [ 35: 0] fifo_6_mux; + reg [ 35: 0] fifo_7; + wire fifo_7_enable; + wire [ 35: 0] fifo_7_mux; + reg [ 35: 0] fifo_8; + wire fifo_8_enable; + wire [ 35: 0] fifo_8_mux; + reg [ 35: 0] fifo_9; + wire fifo_9_enable; + wire [ 35: 0] fifo_9_mux; + wire [ 35: 0] fifo_read_mux; + reg [ 4: 0] fifocount /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 4: 0] fifocount_inc_fifocount; + wire [ 35: 0] fifohead; + reg [ 3: 0] fiforp /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg [ 3: 0] fifowp /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 3: 0] fifowp1; + wire [ 3: 0] fifowp2; + wire [ 3: 0] fifowp_inc_fifowp; + wire free2; + wire free3; + wire itm_valid; + reg ovf_pending /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 35: 0] ovr_pending_atm; + wire [ 35: 0] ovr_pending_dtm; + wire [ 1: 0] tm_count; + wire tm_count_ge1; + wire tm_count_ge2; + wire tm_count_ge3; + wire trc_this; + wire [ 35: 0] tw; + assign trc_this = trc_on | (dbrk_traceon & ~dbrk_traceoff) | dbrk_traceme; + assign itm_valid = |itm[35 : 32]; + assign atm_valid = |atm[35 : 32] & trc_this; + assign dtm_valid = |dtm[35 : 32] & trc_this; + assign free2 = ~fifocount[4]; + assign free3 = ~fifocount[4] & ~&fifocount[3 : 0]; + assign empty = ~|fifocount; + assign fifowp1 = fifowp + 1; + assign fifowp2 = fifowp + 2; + //nios_system_nios2_processor_nios2_oci_compute_tm_count_tm_count, which is an e_instance + nios_system_nios2_processor_nios2_oci_compute_tm_count nios_system_nios2_processor_nios2_oci_compute_tm_count_tm_count + ( + .atm_valid (atm_valid), + .compute_tm_count (compute_tm_count_tm_count), + .dtm_valid (dtm_valid), + .itm_valid (itm_valid) + ); + + assign tm_count = compute_tm_count_tm_count; + //nios_system_nios2_processor_nios2_oci_fifowp_inc_fifowp, which is an e_instance + nios_system_nios2_processor_nios2_oci_fifowp_inc nios_system_nios2_processor_nios2_oci_fifowp_inc_fifowp + ( + .fifowp_inc (fifowp_inc_fifowp), + .free2 (free2), + .free3 (free3), + .tm_count (tm_count) + ); + + //nios_system_nios2_processor_nios2_oci_fifocount_inc_fifocount, which is an e_instance + nios_system_nios2_processor_nios2_oci_fifocount_inc nios_system_nios2_processor_nios2_oci_fifocount_inc_fifocount + ( + .empty (empty), + .fifocount_inc (fifocount_inc_fifocount), + .free2 (free2), + .free3 (free3), + .tm_count (tm_count) + ); + + //the_nios_system_nios2_processor_oci_test_bench, which is an e_instance + nios_system_nios2_processor_oci_test_bench the_nios_system_nios2_processor_oci_test_bench + ( + .dct_buffer (dct_buffer), + .dct_count (dct_count), + .test_ending (test_ending), + .test_has_ended (test_has_ended) + ); + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + fiforp <= 0; + fifowp <= 0; + fifocount <= 0; + ovf_pending <= 1; + end + else + begin + fifowp <= fifowp + fifowp_inc_fifowp; + fifocount <= fifocount + fifocount_inc_fifocount; + if (~empty) + fiforp <= fiforp + 1; + if (~trc_this || (~free2 & tm_count[1]) || (~free3 & (&tm_count))) + ovf_pending <= 1; + else if (atm_valid | dtm_valid) + ovf_pending <= 0; + end + end + + + assign fifohead = fifo_read_mux; + assign tw = 0 ? { (empty ? 4'h0 : fifohead[35 : 32]), fifohead[31 : 0]} : itm; + assign fifo_0_enable = ((fifowp == 4'd0) && tm_count_ge1) || (free2 && (fifowp1== 4'd0) && tm_count_ge2) ||(free3 && (fifowp2== 4'd0) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_0 <= 0; + else if (fifo_0_enable) + fifo_0 <= fifo_0_mux; + end + + + assign fifo_0_mux = (((fifowp == 4'd0) && itm_valid))? itm : + (((fifowp == 4'd0) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd0) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd0) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd0) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd0) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_1_enable = ((fifowp == 4'd1) && tm_count_ge1) || (free2 && (fifowp1== 4'd1) && tm_count_ge2) ||(free3 && (fifowp2== 4'd1) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_1 <= 0; + else if (fifo_1_enable) + fifo_1 <= fifo_1_mux; + end + + + assign fifo_1_mux = (((fifowp == 4'd1) && itm_valid))? itm : + (((fifowp == 4'd1) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd1) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd1) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd1) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd1) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_2_enable = ((fifowp == 4'd2) && tm_count_ge1) || (free2 && (fifowp1== 4'd2) && tm_count_ge2) ||(free3 && (fifowp2== 4'd2) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_2 <= 0; + else if (fifo_2_enable) + fifo_2 <= fifo_2_mux; + end + + + assign fifo_2_mux = (((fifowp == 4'd2) && itm_valid))? itm : + (((fifowp == 4'd2) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd2) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd2) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd2) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd2) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_3_enable = ((fifowp == 4'd3) && tm_count_ge1) || (free2 && (fifowp1== 4'd3) && tm_count_ge2) ||(free3 && (fifowp2== 4'd3) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_3 <= 0; + else if (fifo_3_enable) + fifo_3 <= fifo_3_mux; + end + + + assign fifo_3_mux = (((fifowp == 4'd3) && itm_valid))? itm : + (((fifowp == 4'd3) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd3) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd3) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd3) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd3) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_4_enable = ((fifowp == 4'd4) && tm_count_ge1) || (free2 && (fifowp1== 4'd4) && tm_count_ge2) ||(free3 && (fifowp2== 4'd4) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_4 <= 0; + else if (fifo_4_enable) + fifo_4 <= fifo_4_mux; + end + + + assign fifo_4_mux = (((fifowp == 4'd4) && itm_valid))? itm : + (((fifowp == 4'd4) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd4) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd4) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd4) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd4) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_5_enable = ((fifowp == 4'd5) && tm_count_ge1) || (free2 && (fifowp1== 4'd5) && tm_count_ge2) ||(free3 && (fifowp2== 4'd5) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_5 <= 0; + else if (fifo_5_enable) + fifo_5 <= fifo_5_mux; + end + + + assign fifo_5_mux = (((fifowp == 4'd5) && itm_valid))? itm : + (((fifowp == 4'd5) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd5) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd5) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd5) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd5) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_6_enable = ((fifowp == 4'd6) && tm_count_ge1) || (free2 && (fifowp1== 4'd6) && tm_count_ge2) ||(free3 && (fifowp2== 4'd6) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_6 <= 0; + else if (fifo_6_enable) + fifo_6 <= fifo_6_mux; + end + + + assign fifo_6_mux = (((fifowp == 4'd6) && itm_valid))? itm : + (((fifowp == 4'd6) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd6) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd6) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd6) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd6) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_7_enable = ((fifowp == 4'd7) && tm_count_ge1) || (free2 && (fifowp1== 4'd7) && tm_count_ge2) ||(free3 && (fifowp2== 4'd7) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_7 <= 0; + else if (fifo_7_enable) + fifo_7 <= fifo_7_mux; + end + + + assign fifo_7_mux = (((fifowp == 4'd7) && itm_valid))? itm : + (((fifowp == 4'd7) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd7) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd7) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd7) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd7) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_8_enable = ((fifowp == 4'd8) && tm_count_ge1) || (free2 && (fifowp1== 4'd8) && tm_count_ge2) ||(free3 && (fifowp2== 4'd8) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_8 <= 0; + else if (fifo_8_enable) + fifo_8 <= fifo_8_mux; + end + + + assign fifo_8_mux = (((fifowp == 4'd8) && itm_valid))? itm : + (((fifowp == 4'd8) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd8) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd8) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd8) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd8) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_9_enable = ((fifowp == 4'd9) && tm_count_ge1) || (free2 && (fifowp1== 4'd9) && tm_count_ge2) ||(free3 && (fifowp2== 4'd9) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_9 <= 0; + else if (fifo_9_enable) + fifo_9 <= fifo_9_mux; + end + + + assign fifo_9_mux = (((fifowp == 4'd9) && itm_valid))? itm : + (((fifowp == 4'd9) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd9) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd9) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd9) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd9) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_10_enable = ((fifowp == 4'd10) && tm_count_ge1) || (free2 && (fifowp1== 4'd10) && tm_count_ge2) ||(free3 && (fifowp2== 4'd10) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_10 <= 0; + else if (fifo_10_enable) + fifo_10 <= fifo_10_mux; + end + + + assign fifo_10_mux = (((fifowp == 4'd10) && itm_valid))? itm : + (((fifowp == 4'd10) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd10) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd10) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd10) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd10) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_11_enable = ((fifowp == 4'd11) && tm_count_ge1) || (free2 && (fifowp1== 4'd11) && tm_count_ge2) ||(free3 && (fifowp2== 4'd11) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_11 <= 0; + else if (fifo_11_enable) + fifo_11 <= fifo_11_mux; + end + + + assign fifo_11_mux = (((fifowp == 4'd11) && itm_valid))? itm : + (((fifowp == 4'd11) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd11) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd11) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd11) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd11) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_12_enable = ((fifowp == 4'd12) && tm_count_ge1) || (free2 && (fifowp1== 4'd12) && tm_count_ge2) ||(free3 && (fifowp2== 4'd12) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_12 <= 0; + else if (fifo_12_enable) + fifo_12 <= fifo_12_mux; + end + + + assign fifo_12_mux = (((fifowp == 4'd12) && itm_valid))? itm : + (((fifowp == 4'd12) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd12) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd12) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd12) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd12) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_13_enable = ((fifowp == 4'd13) && tm_count_ge1) || (free2 && (fifowp1== 4'd13) && tm_count_ge2) ||(free3 && (fifowp2== 4'd13) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_13 <= 0; + else if (fifo_13_enable) + fifo_13 <= fifo_13_mux; + end + + + assign fifo_13_mux = (((fifowp == 4'd13) && itm_valid))? itm : + (((fifowp == 4'd13) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd13) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd13) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd13) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd13) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_14_enable = ((fifowp == 4'd14) && tm_count_ge1) || (free2 && (fifowp1== 4'd14) && tm_count_ge2) ||(free3 && (fifowp2== 4'd14) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_14 <= 0; + else if (fifo_14_enable) + fifo_14 <= fifo_14_mux; + end + + + assign fifo_14_mux = (((fifowp == 4'd14) && itm_valid))? itm : + (((fifowp == 4'd14) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd14) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd14) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd14) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd14) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign fifo_15_enable = ((fifowp == 4'd15) && tm_count_ge1) || (free2 && (fifowp1== 4'd15) && tm_count_ge2) ||(free3 && (fifowp2== 4'd15) && tm_count_ge3); + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + fifo_15 <= 0; + else if (fifo_15_enable) + fifo_15 <= fifo_15_mux; + end + + + assign fifo_15_mux = (((fifowp == 4'd15) && itm_valid))? itm : + (((fifowp == 4'd15) && atm_valid))? ovr_pending_atm : + (((fifowp == 4'd15) && dtm_valid))? ovr_pending_dtm : + (((fifowp1 == 4'd15) && (free2 & itm_valid & atm_valid)))? ovr_pending_atm : + (((fifowp1 == 4'd15) && (free2 & itm_valid & dtm_valid)))? ovr_pending_dtm : + (((fifowp1 == 4'd15) && (free2 & atm_valid & dtm_valid)))? ovr_pending_dtm : + ovr_pending_dtm; + + assign tm_count_ge1 = |tm_count; + assign tm_count_ge2 = tm_count[1]; + assign tm_count_ge3 = &tm_count; + assign ovr_pending_atm = {ovf_pending, atm[34 : 0]}; + assign ovr_pending_dtm = {ovf_pending, dtm[34 : 0]}; + assign fifo_read_mux = (fiforp == 4'd0)? fifo_0 : + (fiforp == 4'd1)? fifo_1 : + (fiforp == 4'd2)? fifo_2 : + (fiforp == 4'd3)? fifo_3 : + (fiforp == 4'd4)? fifo_4 : + (fiforp == 4'd5)? fifo_5 : + (fiforp == 4'd6)? fifo_6 : + (fiforp == 4'd7)? fifo_7 : + (fiforp == 4'd8)? fifo_8 : + (fiforp == 4'd9)? fifo_9 : + (fiforp == 4'd10)? fifo_10 : + (fiforp == 4'd11)? fifo_11 : + (fiforp == 4'd12)? fifo_12 : + (fiforp == 4'd13)? fifo_13 : + (fiforp == 4'd14)? fifo_14 : + fifo_15; + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_pib ( + // inputs: + clk, + clkx2, + jrst_n, + tw, + + // outputs: + tr_clk, + tr_data + ) +; + + output tr_clk; + output [ 17: 0] tr_data; + input clk; + input clkx2; + input jrst_n; + input [ 35: 0] tw; + + wire phase; + wire tr_clk; + reg tr_clk_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + wire [ 17: 0] tr_data; + reg [ 17: 0] tr_data_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg x1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + reg x2 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */; + assign phase = x1^x2; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + x1 <= 0; + else + x1 <= ~x1; + end + + + always @(posedge clkx2 or negedge jrst_n) + begin + if (jrst_n == 0) + begin + x2 <= 0; + tr_clk_reg <= 0; + tr_data_reg <= 0; + end + else + begin + x2 <= x1; + tr_clk_reg <= ~phase; + tr_data_reg <= phase ? tw[17 : 0] : tw[35 : 18]; + end + end + + + assign tr_clk = 0 ? tr_clk_reg : 0; + assign tr_data = 0 ? tr_data_reg : 0; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci_im ( + // inputs: + clk, + jdo, + jrst_n, + reset_n, + take_action_tracectrl, + take_action_tracemem_a, + take_action_tracemem_b, + take_no_action_tracemem_a, + trc_ctrl, + tw, + + // outputs: + tracemem_on, + tracemem_trcdata, + tracemem_tw, + trc_enb, + trc_im_addr, + trc_wrap, + xbrk_wrap_traceoff + ) +; + + output tracemem_on; + output [ 35: 0] tracemem_trcdata; + output tracemem_tw; + output trc_enb; + output [ 6: 0] trc_im_addr; + output trc_wrap; + output xbrk_wrap_traceoff; + input clk; + input [ 37: 0] jdo; + input jrst_n; + input reset_n; + input take_action_tracectrl; + input take_action_tracemem_a; + input take_action_tracemem_b; + input take_no_action_tracemem_a; + input [ 15: 0] trc_ctrl; + input [ 35: 0] tw; + + wire tracemem_on; + wire [ 35: 0] tracemem_trcdata; + wire tracemem_tw; + wire trc_enb; + reg [ 6: 0] trc_im_addr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire [ 35: 0] trc_im_data; + reg [ 16: 0] trc_jtag_addr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */; + wire trc_on_chip; + reg trc_wrap /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire tw_valid; + wire xbrk_wrap_traceoff; + assign trc_im_data = tw; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + begin + trc_im_addr <= 0; + trc_wrap <= 0; + end + else if (!0) + begin + trc_im_addr <= 0; + trc_wrap <= 0; + end + else if (take_action_tracectrl && + (jdo[4] | jdo[3])) + begin + if (jdo[4]) + trc_im_addr <= 0; + if (jdo[3]) + trc_wrap <= 0; + end + else if (trc_enb & trc_on_chip & tw_valid) + begin + trc_im_addr <= trc_im_addr+1; + if (&trc_im_addr) + trc_wrap <= 1; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + trc_jtag_addr <= 0; + else if (take_action_tracemem_a || + take_no_action_tracemem_a || + take_action_tracemem_b) + trc_jtag_addr <= take_action_tracemem_a ? + jdo[35 : 19] : + trc_jtag_addr + 1; + + end + + + assign trc_enb = trc_ctrl[0]; + assign trc_on_chip = ~trc_ctrl[8]; + assign tw_valid = |trc_im_data[35 : 32]; + assign xbrk_wrap_traceoff = trc_ctrl[10] & trc_wrap; + assign tracemem_tw = trc_wrap; + assign tracemem_on = trc_enb; + assign tracemem_trcdata = 0; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_performance_monitors +; + + + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_nios2_oci ( + // inputs: + D_valid, + E_st_data, + E_valid, + F_pc, + address_nxt, + av_ld_data_aligned_filtered, + byteenable_nxt, + clk, + d_address, + d_read, + d_waitrequest, + d_write, + debugaccess_nxt, + hbreak_enabled, + read_nxt, + reset, + reset_n, + test_ending, + test_has_ended, + write_nxt, + writedata_nxt, + + // outputs: + jtag_debug_module_debugaccess_to_roms, + oci_hbreak_req, + oci_ienable, + oci_single_step_mode, + readdata, + resetrequest, + waitrequest + ) +; + + output jtag_debug_module_debugaccess_to_roms; + output oci_hbreak_req; + output [ 31: 0] oci_ienable; + output oci_single_step_mode; + output [ 31: 0] readdata; + output resetrequest; + output waitrequest; + input D_valid; + input [ 31: 0] E_st_data; + input E_valid; + input [ 16: 0] F_pc; + input [ 8: 0] address_nxt; + input [ 31: 0] av_ld_data_aligned_filtered; + input [ 3: 0] byteenable_nxt; + input clk; + input [ 18: 0] d_address; + input d_read; + input d_waitrequest; + input d_write; + input debugaccess_nxt; + input hbreak_enabled; + input read_nxt; + input reset; + input reset_n; + input test_ending; + input test_has_ended; + input write_nxt; + input [ 31: 0] writedata_nxt; + + wire [ 31: 0] MonDReg; + reg [ 8: 0] address; + wire [ 35: 0] atm; + wire [ 31: 0] break_readreg; + reg [ 3: 0] byteenable; + wire clkx2; + wire [ 18: 0] cpu_d_address; + wire cpu_d_read; + wire [ 31: 0] cpu_d_readdata; + wire cpu_d_wait; + wire cpu_d_write; + wire [ 31: 0] cpu_d_writedata; + wire dbrk_break; + wire dbrk_goto0; + wire dbrk_goto1; + wire dbrk_hit0_latch; + wire dbrk_hit1_latch; + wire dbrk_hit2_latch; + wire dbrk_hit3_latch; + wire dbrk_traceme; + wire dbrk_traceoff; + wire dbrk_traceon; + wire dbrk_trigout; + wire [ 29: 0] dct_buffer; + wire [ 3: 0] dct_count; + reg debugaccess; + wire debugack; + wire debugreq; + wire [ 35: 0] dtm; + wire dummy_sink; + wire [ 35: 0] itm; + wire [ 37: 0] jdo; + wire jrst_n; + wire jtag_debug_module_debugaccess_to_roms; + wire monitor_error; + wire monitor_go; + wire monitor_ready; + wire oci_hbreak_req; + wire [ 31: 0] oci_ienable; + wire [ 31: 0] oci_reg_readdata; + wire oci_single_step_mode; + wire [ 31: 0] ociram_readdata; + wire ocireg_ers; + wire ocireg_mrs; + reg read; + reg [ 31: 0] readdata; + wire resetlatch; + wire resetrequest; + wire st_ready_test_idle; + wire take_action_break_a; + wire take_action_break_b; + wire take_action_break_c; + wire take_action_ocimem_a; + wire take_action_ocimem_b; + wire take_action_ocireg; + wire take_action_tracectrl; + wire take_action_tracemem_a; + wire take_action_tracemem_b; + wire take_no_action_break_a; + wire take_no_action_break_b; + wire take_no_action_break_c; + wire take_no_action_ocimem_a; + wire take_no_action_tracemem_a; + wire tr_clk; + wire [ 17: 0] tr_data; + wire tracemem_on; + wire [ 35: 0] tracemem_trcdata; + wire tracemem_tw; + wire [ 15: 0] trc_ctrl; + wire trc_enb; + wire [ 6: 0] trc_im_addr; + wire trc_on; + wire trc_wrap; + wire trigbrktype; + wire trigger_state_0; + wire trigger_state_1; + wire trigout; + wire [ 35: 0] tw; + wire waitrequest; + reg write; + reg [ 31: 0] writedata; + wire xbrk_break; + wire [ 7: 0] xbrk_ctrl0; + wire [ 7: 0] xbrk_ctrl1; + wire [ 7: 0] xbrk_ctrl2; + wire [ 7: 0] xbrk_ctrl3; + wire xbrk_goto0; + wire xbrk_goto1; + wire xbrk_traceoff; + wire xbrk_traceon; + wire xbrk_trigout; + wire xbrk_wrap_traceoff; + nios_system_nios2_processor_nios2_oci_debug the_nios_system_nios2_processor_nios2_oci_debug + ( + .clk (clk), + .dbrk_break (dbrk_break), + .debugack (debugack), + .debugreq (debugreq), + .hbreak_enabled (hbreak_enabled), + .jdo (jdo), + .jrst_n (jrst_n), + .monitor_error (monitor_error), + .monitor_go (monitor_go), + .monitor_ready (monitor_ready), + .oci_hbreak_req (oci_hbreak_req), + .ocireg_ers (ocireg_ers), + .ocireg_mrs (ocireg_mrs), + .reset (reset), + .resetlatch (resetlatch), + .resetrequest (resetrequest), + .st_ready_test_idle (st_ready_test_idle), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocireg (take_action_ocireg), + .xbrk_break (xbrk_break) + ); + + nios_system_nios2_processor_nios2_ocimem the_nios_system_nios2_processor_nios2_ocimem + ( + .MonDReg (MonDReg), + .address (address), + .byteenable (byteenable), + .clk (clk), + .debugaccess (debugaccess), + .jdo (jdo), + .jrst_n (jrst_n), + .ociram_readdata (ociram_readdata), + .read (read), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocimem_b (take_action_ocimem_b), + .take_no_action_ocimem_a (take_no_action_ocimem_a), + .waitrequest (waitrequest), + .write (write), + .writedata (writedata) + ); + + nios_system_nios2_processor_nios2_avalon_reg the_nios_system_nios2_processor_nios2_avalon_reg + ( + .address (address), + .clk (clk), + .debugaccess (debugaccess), + .monitor_error (monitor_error), + .monitor_go (monitor_go), + .monitor_ready (monitor_ready), + .oci_ienable (oci_ienable), + .oci_reg_readdata (oci_reg_readdata), + .oci_single_step_mode (oci_single_step_mode), + .ocireg_ers (ocireg_ers), + .ocireg_mrs (ocireg_mrs), + .reset_n (reset_n), + .take_action_ocireg (take_action_ocireg), + .write (write), + .writedata (writedata) + ); + + nios_system_nios2_processor_nios2_oci_break the_nios_system_nios2_processor_nios2_oci_break + ( + .break_readreg (break_readreg), + .clk (clk), + .dbrk_break (dbrk_break), + .dbrk_goto0 (dbrk_goto0), + .dbrk_goto1 (dbrk_goto1), + .dbrk_hit0_latch (dbrk_hit0_latch), + .dbrk_hit1_latch (dbrk_hit1_latch), + .dbrk_hit2_latch (dbrk_hit2_latch), + .dbrk_hit3_latch (dbrk_hit3_latch), + .jdo (jdo), + .jrst_n (jrst_n), + .reset_n (reset_n), + .take_action_break_a (take_action_break_a), + .take_action_break_b (take_action_break_b), + .take_action_break_c (take_action_break_c), + .take_no_action_break_a (take_no_action_break_a), + .take_no_action_break_b (take_no_action_break_b), + .take_no_action_break_c (take_no_action_break_c), + .trigbrktype (trigbrktype), + .trigger_state_0 (trigger_state_0), + .trigger_state_1 (trigger_state_1), + .xbrk_ctrl0 (xbrk_ctrl0), + .xbrk_ctrl1 (xbrk_ctrl1), + .xbrk_ctrl2 (xbrk_ctrl2), + .xbrk_ctrl3 (xbrk_ctrl3), + .xbrk_goto0 (xbrk_goto0), + .xbrk_goto1 (xbrk_goto1) + ); + + nios_system_nios2_processor_nios2_oci_xbrk the_nios_system_nios2_processor_nios2_oci_xbrk + ( + .D_valid (D_valid), + .E_valid (E_valid), + .F_pc (F_pc), + .clk (clk), + .reset_n (reset_n), + .trigger_state_0 (trigger_state_0), + .trigger_state_1 (trigger_state_1), + .xbrk_break (xbrk_break), + .xbrk_ctrl0 (xbrk_ctrl0), + .xbrk_ctrl1 (xbrk_ctrl1), + .xbrk_ctrl2 (xbrk_ctrl2), + .xbrk_ctrl3 (xbrk_ctrl3), + .xbrk_goto0 (xbrk_goto0), + .xbrk_goto1 (xbrk_goto1), + .xbrk_traceoff (xbrk_traceoff), + .xbrk_traceon (xbrk_traceon), + .xbrk_trigout (xbrk_trigout) + ); + + nios_system_nios2_processor_nios2_oci_dbrk the_nios_system_nios2_processor_nios2_oci_dbrk + ( + .E_st_data (E_st_data), + .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered), + .clk (clk), + .cpu_d_address (cpu_d_address), + .cpu_d_read (cpu_d_read), + .cpu_d_readdata (cpu_d_readdata), + .cpu_d_wait (cpu_d_wait), + .cpu_d_write (cpu_d_write), + .cpu_d_writedata (cpu_d_writedata), + .d_address (d_address), + .d_read (d_read), + .d_waitrequest (d_waitrequest), + .d_write (d_write), + .dbrk_break (dbrk_break), + .dbrk_goto0 (dbrk_goto0), + .dbrk_goto1 (dbrk_goto1), + .dbrk_traceme (dbrk_traceme), + .dbrk_traceoff (dbrk_traceoff), + .dbrk_traceon (dbrk_traceon), + .dbrk_trigout (dbrk_trigout), + .debugack (debugack), + .reset_n (reset_n) + ); + + nios_system_nios2_processor_nios2_oci_itrace the_nios_system_nios2_processor_nios2_oci_itrace + ( + .clk (clk), + .dbrk_traceoff (dbrk_traceoff), + .dbrk_traceon (dbrk_traceon), + .dct_buffer (dct_buffer), + .dct_count (dct_count), + .itm (itm), + .jdo (jdo), + .jrst_n (jrst_n), + .take_action_tracectrl (take_action_tracectrl), + .trc_ctrl (trc_ctrl), + .trc_enb (trc_enb), + .trc_on (trc_on), + .xbrk_traceoff (xbrk_traceoff), + .xbrk_traceon (xbrk_traceon), + .xbrk_wrap_traceoff (xbrk_wrap_traceoff) + ); + + nios_system_nios2_processor_nios2_oci_dtrace the_nios_system_nios2_processor_nios2_oci_dtrace + ( + .atm (atm), + .clk (clk), + .cpu_d_address (cpu_d_address), + .cpu_d_read (cpu_d_read), + .cpu_d_readdata (cpu_d_readdata), + .cpu_d_wait (cpu_d_wait), + .cpu_d_write (cpu_d_write), + .cpu_d_writedata (cpu_d_writedata), + .dtm (dtm), + .jrst_n (jrst_n), + .trc_ctrl (trc_ctrl) + ); + + nios_system_nios2_processor_nios2_oci_fifo the_nios_system_nios2_processor_nios2_oci_fifo + ( + .atm (atm), + .clk (clk), + .dbrk_traceme (dbrk_traceme), + .dbrk_traceoff (dbrk_traceoff), + .dbrk_traceon (dbrk_traceon), + .dct_buffer (dct_buffer), + .dct_count (dct_count), + .dtm (dtm), + .itm (itm), + .jrst_n (jrst_n), + .reset_n (reset_n), + .test_ending (test_ending), + .test_has_ended (test_has_ended), + .trc_on (trc_on), + .tw (tw) + ); + + nios_system_nios2_processor_nios2_oci_pib the_nios_system_nios2_processor_nios2_oci_pib + ( + .clk (clk), + .clkx2 (clkx2), + .jrst_n (jrst_n), + .tr_clk (tr_clk), + .tr_data (tr_data), + .tw (tw) + ); + + nios_system_nios2_processor_nios2_oci_im the_nios_system_nios2_processor_nios2_oci_im + ( + .clk (clk), + .jdo (jdo), + .jrst_n (jrst_n), + .reset_n (reset_n), + .take_action_tracectrl (take_action_tracectrl), + .take_action_tracemem_a (take_action_tracemem_a), + .take_action_tracemem_b (take_action_tracemem_b), + .take_no_action_tracemem_a (take_no_action_tracemem_a), + .tracemem_on (tracemem_on), + .tracemem_trcdata (tracemem_trcdata), + .tracemem_tw (tracemem_tw), + .trc_ctrl (trc_ctrl), + .trc_enb (trc_enb), + .trc_im_addr (trc_im_addr), + .trc_wrap (trc_wrap), + .tw (tw), + .xbrk_wrap_traceoff (xbrk_wrap_traceoff) + ); + + assign trigout = dbrk_trigout | xbrk_trigout; + assign jtag_debug_module_debugaccess_to_roms = debugack; + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + address <= 0; + else + address <= address_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + byteenable <= 0; + else + byteenable <= byteenable_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + writedata <= 0; + else + writedata <= writedata_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + debugaccess <= 0; + else + debugaccess <= debugaccess_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + read <= 0; + else + read <= read ? waitrequest : read_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + write <= 0; + else + write <= write ? waitrequest : write_nxt; + end + + + always @(posedge clk or negedge jrst_n) + begin + if (jrst_n == 0) + readdata <= 0; + else + readdata <= address[8] ? oci_reg_readdata : ociram_readdata; + end + + + nios_system_nios2_processor_jtag_debug_module_wrapper the_nios_system_nios2_processor_jtag_debug_module_wrapper + ( + .MonDReg (MonDReg), + .break_readreg (break_readreg), + .clk (clk), + .dbrk_hit0_latch (dbrk_hit0_latch), + .dbrk_hit1_latch (dbrk_hit1_latch), + .dbrk_hit2_latch (dbrk_hit2_latch), + .dbrk_hit3_latch (dbrk_hit3_latch), + .debugack (debugack), + .jdo (jdo), + .jrst_n (jrst_n), + .monitor_error (monitor_error), + .monitor_ready (monitor_ready), + .reset_n (reset_n), + .resetlatch (resetlatch), + .st_ready_test_idle (st_ready_test_idle), + .take_action_break_a (take_action_break_a), + .take_action_break_b (take_action_break_b), + .take_action_break_c (take_action_break_c), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocimem_b (take_action_ocimem_b), + .take_action_tracectrl (take_action_tracectrl), + .take_action_tracemem_a (take_action_tracemem_a), + .take_action_tracemem_b (take_action_tracemem_b), + .take_no_action_break_a (take_no_action_break_a), + .take_no_action_break_b (take_no_action_break_b), + .take_no_action_break_c (take_no_action_break_c), + .take_no_action_ocimem_a (take_no_action_ocimem_a), + .take_no_action_tracemem_a (take_no_action_tracemem_a), + .tracemem_on (tracemem_on), + .tracemem_trcdata (tracemem_trcdata), + .tracemem_tw (tracemem_tw), + .trc_im_addr (trc_im_addr), + .trc_on (trc_on), + .trc_wrap (trc_wrap), + .trigbrktype (trigbrktype), + .trigger_state_1 (trigger_state_1) + ); + + //dummy sink, which is an e_mux + assign dummy_sink = tr_clk | + tr_data | + trigout | + debugack; + + assign debugreq = 0; + assign clkx2 = 0; + +endmodule + + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor ( + // inputs: + clk, + d_irq, + d_readdata, + d_waitrequest, + i_readdata, + i_waitrequest, + jtag_debug_module_address, + jtag_debug_module_byteenable, + jtag_debug_module_debugaccess, + jtag_debug_module_read, + jtag_debug_module_write, + jtag_debug_module_writedata, + reset_n, + + // outputs: + d_address, + d_byteenable, + d_read, + d_write, + d_writedata, + i_address, + i_read, + jtag_debug_module_debugaccess_to_roms, + jtag_debug_module_readdata, + jtag_debug_module_resetrequest, + jtag_debug_module_waitrequest, + no_ci_readra + ) +; + + output [ 18: 0] d_address; + output [ 3: 0] d_byteenable; + output d_read; + output d_write; + output [ 31: 0] d_writedata; + output [ 18: 0] i_address; + output i_read; + output jtag_debug_module_debugaccess_to_roms; + output [ 31: 0] jtag_debug_module_readdata; + output jtag_debug_module_resetrequest; + output jtag_debug_module_waitrequest; + output no_ci_readra; + input clk; + input [ 31: 0] d_irq; + input [ 31: 0] d_readdata; + input d_waitrequest; + input [ 31: 0] i_readdata; + input i_waitrequest; + input [ 8: 0] jtag_debug_module_address; + input [ 3: 0] jtag_debug_module_byteenable; + input jtag_debug_module_debugaccess; + input jtag_debug_module_read; + input jtag_debug_module_write; + input [ 31: 0] jtag_debug_module_writedata; + input reset_n; + + wire [ 1: 0] D_compare_op; + wire D_ctrl_alu_force_xor; + wire D_ctrl_alu_signed_comparison; + wire D_ctrl_alu_subtract; + wire D_ctrl_b_is_dst; + wire D_ctrl_br; + wire D_ctrl_br_cmp; + wire D_ctrl_br_uncond; + wire D_ctrl_break; + wire D_ctrl_crst; + wire D_ctrl_custom; + wire D_ctrl_custom_multi; + wire D_ctrl_exception; + wire D_ctrl_force_src2_zero; + wire D_ctrl_hi_imm16; + wire D_ctrl_ignore_dst; + wire D_ctrl_implicit_dst_eretaddr; + wire D_ctrl_implicit_dst_retaddr; + wire D_ctrl_jmp_direct; + wire D_ctrl_jmp_indirect; + wire D_ctrl_ld; + wire D_ctrl_ld_io; + wire D_ctrl_ld_non_io; + wire D_ctrl_ld_signed; + wire D_ctrl_logic; + wire D_ctrl_rdctl_inst; + wire D_ctrl_retaddr; + wire D_ctrl_rot_right; + wire D_ctrl_shift_logical; + wire D_ctrl_shift_right_arith; + wire D_ctrl_shift_rot; + wire D_ctrl_shift_rot_right; + wire D_ctrl_src2_choose_imm; + wire D_ctrl_st; + wire D_ctrl_uncond_cti_non_br; + wire D_ctrl_unsigned_lo_imm16; + wire D_ctrl_wrctl_inst; + wire [ 4: 0] D_dst_regnum; + wire [ 55: 0] D_inst; + reg [ 31: 0] D_iw /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire [ 4: 0] D_iw_a; + wire [ 4: 0] D_iw_b; + wire [ 4: 0] D_iw_c; + wire [ 2: 0] D_iw_control_regnum; + wire [ 7: 0] D_iw_custom_n; + wire D_iw_custom_readra; + wire D_iw_custom_readrb; + wire D_iw_custom_writerc; + wire [ 15: 0] D_iw_imm16; + wire [ 25: 0] D_iw_imm26; + wire [ 4: 0] D_iw_imm5; + wire [ 1: 0] D_iw_memsz; + wire [ 5: 0] D_iw_op; + wire [ 5: 0] D_iw_opx; + wire [ 4: 0] D_iw_shift_imm5; + wire [ 4: 0] D_iw_trap_break_imm5; + wire [ 16: 0] D_jmp_direct_target_waddr; + wire [ 1: 0] D_logic_op; + wire [ 1: 0] D_logic_op_raw; + wire D_mem16; + wire D_mem32; + wire D_mem8; + wire D_op_add; + wire D_op_addi; + wire D_op_and; + wire D_op_andhi; + wire D_op_andi; + wire D_op_beq; + wire D_op_bge; + wire D_op_bgeu; + wire D_op_blt; + wire D_op_bltu; + wire D_op_bne; + wire D_op_br; + wire D_op_break; + wire D_op_bret; + wire D_op_call; + wire D_op_callr; + wire D_op_cmpeq; + wire D_op_cmpeqi; + wire D_op_cmpge; + wire D_op_cmpgei; + wire D_op_cmpgeu; + wire D_op_cmpgeui; + wire D_op_cmplt; + wire D_op_cmplti; + wire D_op_cmpltu; + wire D_op_cmpltui; + wire D_op_cmpne; + wire D_op_cmpnei; + wire D_op_crst; + wire D_op_custom; + wire D_op_div; + wire D_op_divu; + wire D_op_eret; + wire D_op_flushd; + wire D_op_flushda; + wire D_op_flushi; + wire D_op_flushp; + wire D_op_hbreak; + wire D_op_initd; + wire D_op_initda; + wire D_op_initi; + wire D_op_intr; + wire D_op_jmp; + wire D_op_jmpi; + wire D_op_ldb; + wire D_op_ldbio; + wire D_op_ldbu; + wire D_op_ldbuio; + wire D_op_ldh; + wire D_op_ldhio; + wire D_op_ldhu; + wire D_op_ldhuio; + wire D_op_ldl; + wire D_op_ldw; + wire D_op_ldwio; + wire D_op_mul; + wire D_op_muli; + wire D_op_mulxss; + wire D_op_mulxsu; + wire D_op_mulxuu; + wire D_op_nextpc; + wire D_op_nor; + wire D_op_opx; + wire D_op_or; + wire D_op_orhi; + wire D_op_ori; + wire D_op_rdctl; + wire D_op_rdprs; + wire D_op_ret; + wire D_op_rol; + wire D_op_roli; + wire D_op_ror; + wire D_op_rsv02; + wire D_op_rsv09; + wire D_op_rsv10; + wire D_op_rsv17; + wire D_op_rsv18; + wire D_op_rsv25; + wire D_op_rsv26; + wire D_op_rsv33; + wire D_op_rsv34; + wire D_op_rsv41; + wire D_op_rsv42; + wire D_op_rsv49; + wire D_op_rsv57; + wire D_op_rsv61; + wire D_op_rsv62; + wire D_op_rsv63; + wire D_op_rsvx00; + wire D_op_rsvx10; + wire D_op_rsvx15; + wire D_op_rsvx17; + wire D_op_rsvx21; + wire D_op_rsvx25; + wire D_op_rsvx33; + wire D_op_rsvx34; + wire D_op_rsvx35; + wire D_op_rsvx42; + wire D_op_rsvx43; + wire D_op_rsvx44; + wire D_op_rsvx47; + wire D_op_rsvx50; + wire D_op_rsvx51; + wire D_op_rsvx55; + wire D_op_rsvx56; + wire D_op_rsvx60; + wire D_op_rsvx63; + wire D_op_sll; + wire D_op_slli; + wire D_op_sra; + wire D_op_srai; + wire D_op_srl; + wire D_op_srli; + wire D_op_stb; + wire D_op_stbio; + wire D_op_stc; + wire D_op_sth; + wire D_op_sthio; + wire D_op_stw; + wire D_op_stwio; + wire D_op_sub; + wire D_op_sync; + wire D_op_trap; + wire D_op_wrctl; + wire D_op_wrprs; + wire D_op_xor; + wire D_op_xorhi; + wire D_op_xori; + reg D_valid; + wire [ 55: 0] D_vinst; + wire D_wr_dst_reg; + wire [ 31: 0] E_alu_result; + reg E_alu_sub; + wire [ 32: 0] E_arith_result; + wire [ 31: 0] E_arith_src1; + wire [ 31: 0] E_arith_src2; + wire E_ci_multi_stall; + wire [ 31: 0] E_ci_result; + wire E_cmp_result; + wire [ 31: 0] E_control_rd_data; + wire E_eq; + reg E_invert_arith_src_msb; + wire E_ld_stall; + wire [ 31: 0] E_logic_result; + wire E_logic_result_is_0; + wire E_lt; + wire [ 18: 0] E_mem_baddr; + wire [ 3: 0] E_mem_byte_en; + reg E_new_inst; + reg [ 4: 0] E_shift_rot_cnt; + wire [ 4: 0] E_shift_rot_cnt_nxt; + wire E_shift_rot_done; + wire E_shift_rot_fill_bit; + reg [ 31: 0] E_shift_rot_result; + wire [ 31: 0] E_shift_rot_result_nxt; + wire E_shift_rot_stall; + reg [ 31: 0] E_src1; + reg [ 31: 0] E_src2; + wire [ 31: 0] E_st_data; + wire E_st_stall; + wire E_stall; + reg E_valid; + wire [ 55: 0] E_vinst; + wire E_wrctl_bstatus; + wire E_wrctl_estatus; + wire E_wrctl_ienable; + wire E_wrctl_status; + wire [ 31: 0] F_av_iw; + wire [ 4: 0] F_av_iw_a; + wire [ 4: 0] F_av_iw_b; + wire [ 4: 0] F_av_iw_c; + wire [ 2: 0] F_av_iw_control_regnum; + wire [ 7: 0] F_av_iw_custom_n; + wire F_av_iw_custom_readra; + wire F_av_iw_custom_readrb; + wire F_av_iw_custom_writerc; + wire [ 15: 0] F_av_iw_imm16; + wire [ 25: 0] F_av_iw_imm26; + wire [ 4: 0] F_av_iw_imm5; + wire [ 1: 0] F_av_iw_memsz; + wire [ 5: 0] F_av_iw_op; + wire [ 5: 0] F_av_iw_opx; + wire [ 4: 0] F_av_iw_shift_imm5; + wire [ 4: 0] F_av_iw_trap_break_imm5; + wire F_av_mem16; + wire F_av_mem32; + wire F_av_mem8; + wire [ 55: 0] F_inst; + wire [ 31: 0] F_iw; + wire [ 4: 0] F_iw_a; + wire [ 4: 0] F_iw_b; + wire [ 4: 0] F_iw_c; + wire [ 2: 0] F_iw_control_regnum; + wire [ 7: 0] F_iw_custom_n; + wire F_iw_custom_readra; + wire F_iw_custom_readrb; + wire F_iw_custom_writerc; + wire [ 15: 0] F_iw_imm16; + wire [ 25: 0] F_iw_imm26; + wire [ 4: 0] F_iw_imm5; + wire [ 1: 0] F_iw_memsz; + wire [ 5: 0] F_iw_op; + wire [ 5: 0] F_iw_opx; + wire [ 4: 0] F_iw_shift_imm5; + wire [ 4: 0] F_iw_trap_break_imm5; + wire F_mem16; + wire F_mem32; + wire F_mem8; + wire F_op_add; + wire F_op_addi; + wire F_op_and; + wire F_op_andhi; + wire F_op_andi; + wire F_op_beq; + wire F_op_bge; + wire F_op_bgeu; + wire F_op_blt; + wire F_op_bltu; + wire F_op_bne; + wire F_op_br; + wire F_op_break; + wire F_op_bret; + wire F_op_call; + wire F_op_callr; + wire F_op_cmpeq; + wire F_op_cmpeqi; + wire F_op_cmpge; + wire F_op_cmpgei; + wire F_op_cmpgeu; + wire F_op_cmpgeui; + wire F_op_cmplt; + wire F_op_cmplti; + wire F_op_cmpltu; + wire F_op_cmpltui; + wire F_op_cmpne; + wire F_op_cmpnei; + wire F_op_crst; + wire F_op_custom; + wire F_op_div; + wire F_op_divu; + wire F_op_eret; + wire F_op_flushd; + wire F_op_flushda; + wire F_op_flushi; + wire F_op_flushp; + wire F_op_hbreak; + wire F_op_initd; + wire F_op_initda; + wire F_op_initi; + wire F_op_intr; + wire F_op_jmp; + wire F_op_jmpi; + wire F_op_ldb; + wire F_op_ldbio; + wire F_op_ldbu; + wire F_op_ldbuio; + wire F_op_ldh; + wire F_op_ldhio; + wire F_op_ldhu; + wire F_op_ldhuio; + wire F_op_ldl; + wire F_op_ldw; + wire F_op_ldwio; + wire F_op_mul; + wire F_op_muli; + wire F_op_mulxss; + wire F_op_mulxsu; + wire F_op_mulxuu; + wire F_op_nextpc; + wire F_op_nor; + wire F_op_opx; + wire F_op_or; + wire F_op_orhi; + wire F_op_ori; + wire F_op_rdctl; + wire F_op_rdprs; + wire F_op_ret; + wire F_op_rol; + wire F_op_roli; + wire F_op_ror; + wire F_op_rsv02; + wire F_op_rsv09; + wire F_op_rsv10; + wire F_op_rsv17; + wire F_op_rsv18; + wire F_op_rsv25; + wire F_op_rsv26; + wire F_op_rsv33; + wire F_op_rsv34; + wire F_op_rsv41; + wire F_op_rsv42; + wire F_op_rsv49; + wire F_op_rsv57; + wire F_op_rsv61; + wire F_op_rsv62; + wire F_op_rsv63; + wire F_op_rsvx00; + wire F_op_rsvx10; + wire F_op_rsvx15; + wire F_op_rsvx17; + wire F_op_rsvx21; + wire F_op_rsvx25; + wire F_op_rsvx33; + wire F_op_rsvx34; + wire F_op_rsvx35; + wire F_op_rsvx42; + wire F_op_rsvx43; + wire F_op_rsvx44; + wire F_op_rsvx47; + wire F_op_rsvx50; + wire F_op_rsvx51; + wire F_op_rsvx55; + wire F_op_rsvx56; + wire F_op_rsvx60; + wire F_op_rsvx63; + wire F_op_sll; + wire F_op_slli; + wire F_op_sra; + wire F_op_srai; + wire F_op_srl; + wire F_op_srli; + wire F_op_stb; + wire F_op_stbio; + wire F_op_stc; + wire F_op_sth; + wire F_op_sthio; + wire F_op_stw; + wire F_op_stwio; + wire F_op_sub; + wire F_op_sync; + wire F_op_trap; + wire F_op_wrctl; + wire F_op_wrprs; + wire F_op_xor; + wire F_op_xorhi; + wire F_op_xori; + reg [ 16: 0] F_pc /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire F_pc_en; + wire [ 16: 0] F_pc_no_crst_nxt; + wire [ 16: 0] F_pc_nxt; + wire [ 16: 0] F_pc_plus_one; + wire [ 1: 0] F_pc_sel_nxt; + wire [ 18: 0] F_pcb; + wire [ 18: 0] F_pcb_nxt; + wire [ 18: 0] F_pcb_plus_four; + wire F_valid; + wire [ 55: 0] F_vinst; + reg [ 1: 0] R_compare_op; + reg R_ctrl_alu_force_xor; + wire R_ctrl_alu_force_xor_nxt; + reg R_ctrl_alu_signed_comparison; + wire R_ctrl_alu_signed_comparison_nxt; + reg R_ctrl_alu_subtract; + wire R_ctrl_alu_subtract_nxt; + reg R_ctrl_b_is_dst; + wire R_ctrl_b_is_dst_nxt; + reg R_ctrl_br; + reg R_ctrl_br_cmp; + wire R_ctrl_br_cmp_nxt; + wire R_ctrl_br_nxt; + reg R_ctrl_br_uncond; + wire R_ctrl_br_uncond_nxt; + reg R_ctrl_break; + wire R_ctrl_break_nxt; + reg R_ctrl_crst; + wire R_ctrl_crst_nxt; + reg R_ctrl_custom; + reg R_ctrl_custom_multi; + wire R_ctrl_custom_multi_nxt; + wire R_ctrl_custom_nxt; + reg R_ctrl_exception; + wire R_ctrl_exception_nxt; + reg R_ctrl_force_src2_zero; + wire R_ctrl_force_src2_zero_nxt; + reg R_ctrl_hi_imm16; + wire R_ctrl_hi_imm16_nxt; + reg R_ctrl_ignore_dst; + wire R_ctrl_ignore_dst_nxt; + reg R_ctrl_implicit_dst_eretaddr; + wire R_ctrl_implicit_dst_eretaddr_nxt; + reg R_ctrl_implicit_dst_retaddr; + wire R_ctrl_implicit_dst_retaddr_nxt; + reg R_ctrl_jmp_direct; + wire R_ctrl_jmp_direct_nxt; + reg R_ctrl_jmp_indirect; + wire R_ctrl_jmp_indirect_nxt; + reg R_ctrl_ld; + reg R_ctrl_ld_io; + wire R_ctrl_ld_io_nxt; + reg R_ctrl_ld_non_io; + wire R_ctrl_ld_non_io_nxt; + wire R_ctrl_ld_nxt; + reg R_ctrl_ld_signed; + wire R_ctrl_ld_signed_nxt; + reg R_ctrl_logic; + wire R_ctrl_logic_nxt; + reg R_ctrl_rdctl_inst; + wire R_ctrl_rdctl_inst_nxt; + reg R_ctrl_retaddr; + wire R_ctrl_retaddr_nxt; + reg R_ctrl_rot_right; + wire R_ctrl_rot_right_nxt; + reg R_ctrl_shift_logical; + wire R_ctrl_shift_logical_nxt; + reg R_ctrl_shift_right_arith; + wire R_ctrl_shift_right_arith_nxt; + reg R_ctrl_shift_rot; + wire R_ctrl_shift_rot_nxt; + reg R_ctrl_shift_rot_right; + wire R_ctrl_shift_rot_right_nxt; + reg R_ctrl_src2_choose_imm; + wire R_ctrl_src2_choose_imm_nxt; + reg R_ctrl_st; + wire R_ctrl_st_nxt; + reg R_ctrl_uncond_cti_non_br; + wire R_ctrl_uncond_cti_non_br_nxt; + reg R_ctrl_unsigned_lo_imm16; + wire R_ctrl_unsigned_lo_imm16_nxt; + reg R_ctrl_wrctl_inst; + wire R_ctrl_wrctl_inst_nxt; + reg [ 4: 0] R_dst_regnum /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire R_en; + reg [ 1: 0] R_logic_op; + wire [ 31: 0] R_rf_a; + wire [ 31: 0] R_rf_b; + wire [ 31: 0] R_src1; + wire [ 31: 0] R_src2; + wire [ 15: 0] R_src2_hi; + wire [ 15: 0] R_src2_lo; + reg R_src2_use_imm; + wire [ 7: 0] R_stb_data; + wire [ 15: 0] R_sth_data; + reg R_valid; + wire [ 55: 0] R_vinst; + reg R_wr_dst_reg; + reg [ 31: 0] W_alu_result; + wire W_br_taken; + reg W_bstatus_reg; + wire W_bstatus_reg_inst_nxt; + wire W_bstatus_reg_nxt; + reg W_cmp_result; + reg [ 31: 0] W_control_rd_data; + reg W_estatus_reg; + wire W_estatus_reg_inst_nxt; + wire W_estatus_reg_nxt; + reg [ 31: 0] W_ienable_reg; + wire [ 31: 0] W_ienable_reg_nxt; + reg [ 31: 0] W_ipending_reg; + wire [ 31: 0] W_ipending_reg_nxt; + wire [ 18: 0] W_mem_baddr; + wire [ 31: 0] W_rf_wr_data; + wire W_rf_wren; + wire W_status_reg; + reg W_status_reg_pie; + wire W_status_reg_pie_inst_nxt; + wire W_status_reg_pie_nxt; + reg W_valid /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; + wire [ 55: 0] W_vinst; + wire [ 31: 0] W_wr_data; + wire [ 31: 0] W_wr_data_non_zero; + wire av_fill_bit; + reg [ 1: 0] av_ld_align_cycle; + wire [ 1: 0] av_ld_align_cycle_nxt; + wire av_ld_align_one_more_cycle; + reg av_ld_aligning_data; + wire av_ld_aligning_data_nxt; + reg [ 7: 0] av_ld_byte0_data; + wire [ 7: 0] av_ld_byte0_data_nxt; + reg [ 7: 0] av_ld_byte1_data; + wire av_ld_byte1_data_en; + wire [ 7: 0] av_ld_byte1_data_nxt; + reg [ 7: 0] av_ld_byte2_data; + wire [ 7: 0] av_ld_byte2_data_nxt; + reg [ 7: 0] av_ld_byte3_data; + wire [ 7: 0] av_ld_byte3_data_nxt; + wire [ 31: 0] av_ld_data_aligned_filtered; + wire [ 31: 0] av_ld_data_aligned_unfiltered; + wire av_ld_done; + wire av_ld_extend; + wire av_ld_getting_data; + wire av_ld_rshift8; + reg av_ld_waiting_for_data; + wire av_ld_waiting_for_data_nxt; + wire av_sign_bit; + wire [ 18: 0] d_address; + reg [ 3: 0] d_byteenable; + reg d_read; + wire d_read_nxt; + wire d_write; + wire d_write_nxt; + reg [ 31: 0] d_writedata; + reg hbreak_enabled; + reg hbreak_pending; + wire hbreak_pending_nxt; + wire hbreak_req; + wire [ 18: 0] i_address; + reg i_read; + wire i_read_nxt; + wire [ 31: 0] iactive; + wire intr_req; + wire jtag_debug_module_clk; + wire jtag_debug_module_debugaccess_to_roms; + wire [ 31: 0] jtag_debug_module_readdata; + wire jtag_debug_module_reset; + wire jtag_debug_module_resetrequest; + wire jtag_debug_module_waitrequest; + wire no_ci_readra; + wire oci_hbreak_req; + wire [ 31: 0] oci_ienable; + wire oci_single_step_mode; + wire oci_tb_hbreak_req; + wire test_ending; + wire test_has_ended; + reg wait_for_one_post_bret_inst; + //the_nios_system_nios2_processor_test_bench, which is an e_instance + nios_system_nios2_processor_test_bench the_nios_system_nios2_processor_test_bench + ( + .D_iw (D_iw), + .D_iw_op (D_iw_op), + .D_iw_opx (D_iw_opx), + .D_valid (D_valid), + .E_valid (E_valid), + .F_pcb (F_pcb), + .F_valid (F_valid), + .R_ctrl_ld (R_ctrl_ld), + .R_ctrl_ld_non_io (R_ctrl_ld_non_io), + .R_dst_regnum (R_dst_regnum), + .R_wr_dst_reg (R_wr_dst_reg), + .W_valid (W_valid), + .W_vinst (W_vinst), + .W_wr_data (W_wr_data), + .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered), + .av_ld_data_aligned_unfiltered (av_ld_data_aligned_unfiltered), + .clk (clk), + .d_address (d_address), + .d_byteenable (d_byteenable), + .d_read (d_read), + .d_write (d_write), + .d_write_nxt (d_write_nxt), + .i_address (i_address), + .i_read (i_read), + .i_readdata (i_readdata), + .i_waitrequest (i_waitrequest), + .reset_n (reset_n), + .test_has_ended (test_has_ended) + ); + + assign F_av_iw_a = F_av_iw[31 : 27]; + assign F_av_iw_b = F_av_iw[26 : 22]; + assign F_av_iw_c = F_av_iw[21 : 17]; + assign F_av_iw_custom_n = F_av_iw[13 : 6]; + assign F_av_iw_custom_readra = F_av_iw[16]; + assign F_av_iw_custom_readrb = F_av_iw[15]; + assign F_av_iw_custom_writerc = F_av_iw[14]; + assign F_av_iw_opx = F_av_iw[16 : 11]; + assign F_av_iw_op = F_av_iw[5 : 0]; + assign F_av_iw_shift_imm5 = F_av_iw[10 : 6]; + assign F_av_iw_trap_break_imm5 = F_av_iw[10 : 6]; + assign F_av_iw_imm5 = F_av_iw[10 : 6]; + assign F_av_iw_imm16 = F_av_iw[21 : 6]; + assign F_av_iw_imm26 = F_av_iw[31 : 6]; + assign F_av_iw_memsz = F_av_iw[4 : 3]; + assign F_av_iw_control_regnum = F_av_iw[8 : 6]; + assign F_av_mem8 = F_av_iw_memsz == 2'b00; + assign F_av_mem16 = F_av_iw_memsz == 2'b01; + assign F_av_mem32 = F_av_iw_memsz[1] == 1'b1; + assign F_iw_a = F_iw[31 : 27]; + assign F_iw_b = F_iw[26 : 22]; + assign F_iw_c = F_iw[21 : 17]; + assign F_iw_custom_n = F_iw[13 : 6]; + assign F_iw_custom_readra = F_iw[16]; + assign F_iw_custom_readrb = F_iw[15]; + assign F_iw_custom_writerc = F_iw[14]; + assign F_iw_opx = F_iw[16 : 11]; + assign F_iw_op = F_iw[5 : 0]; + assign F_iw_shift_imm5 = F_iw[10 : 6]; + assign F_iw_trap_break_imm5 = F_iw[10 : 6]; + assign F_iw_imm5 = F_iw[10 : 6]; + assign F_iw_imm16 = F_iw[21 : 6]; + assign F_iw_imm26 = F_iw[31 : 6]; + assign F_iw_memsz = F_iw[4 : 3]; + assign F_iw_control_regnum = F_iw[8 : 6]; + assign F_mem8 = F_iw_memsz == 2'b00; + assign F_mem16 = F_iw_memsz == 2'b01; + assign F_mem32 = F_iw_memsz[1] == 1'b1; + assign D_iw_a = D_iw[31 : 27]; + assign D_iw_b = D_iw[26 : 22]; + assign D_iw_c = D_iw[21 : 17]; + assign D_iw_custom_n = D_iw[13 : 6]; + assign D_iw_custom_readra = D_iw[16]; + assign D_iw_custom_readrb = D_iw[15]; + assign D_iw_custom_writerc = D_iw[14]; + assign D_iw_opx = D_iw[16 : 11]; + assign D_iw_op = D_iw[5 : 0]; + assign D_iw_shift_imm5 = D_iw[10 : 6]; + assign D_iw_trap_break_imm5 = D_iw[10 : 6]; + assign D_iw_imm5 = D_iw[10 : 6]; + assign D_iw_imm16 = D_iw[21 : 6]; + assign D_iw_imm26 = D_iw[31 : 6]; + assign D_iw_memsz = D_iw[4 : 3]; + assign D_iw_control_regnum = D_iw[8 : 6]; + assign D_mem8 = D_iw_memsz == 2'b00; + assign D_mem16 = D_iw_memsz == 2'b01; + assign D_mem32 = D_iw_memsz[1] == 1'b1; + assign F_op_call = F_iw_op == 0; + assign F_op_jmpi = F_iw_op == 1; + assign F_op_ldbu = F_iw_op == 3; + assign F_op_addi = F_iw_op == 4; + assign F_op_stb = F_iw_op == 5; + assign F_op_br = F_iw_op == 6; + assign F_op_ldb = F_iw_op == 7; + assign F_op_cmpgei = F_iw_op == 8; + assign F_op_ldhu = F_iw_op == 11; + assign F_op_andi = F_iw_op == 12; + assign F_op_sth = F_iw_op == 13; + assign F_op_bge = F_iw_op == 14; + assign F_op_ldh = F_iw_op == 15; + assign F_op_cmplti = F_iw_op == 16; + assign F_op_initda = F_iw_op == 19; + assign F_op_ori = F_iw_op == 20; + assign F_op_stw = F_iw_op == 21; + assign F_op_blt = F_iw_op == 22; + assign F_op_ldw = F_iw_op == 23; + assign F_op_cmpnei = F_iw_op == 24; + assign F_op_flushda = F_iw_op == 27; + assign F_op_xori = F_iw_op == 28; + assign F_op_stc = F_iw_op == 29; + assign F_op_bne = F_iw_op == 30; + assign F_op_ldl = F_iw_op == 31; + assign F_op_cmpeqi = F_iw_op == 32; + assign F_op_ldbuio = F_iw_op == 35; + assign F_op_muli = F_iw_op == 36; + assign F_op_stbio = F_iw_op == 37; + assign F_op_beq = F_iw_op == 38; + assign F_op_ldbio = F_iw_op == 39; + assign F_op_cmpgeui = F_iw_op == 40; + assign F_op_ldhuio = F_iw_op == 43; + assign F_op_andhi = F_iw_op == 44; + assign F_op_sthio = F_iw_op == 45; + assign F_op_bgeu = F_iw_op == 46; + assign F_op_ldhio = F_iw_op == 47; + assign F_op_cmpltui = F_iw_op == 48; + assign F_op_initd = F_iw_op == 51; + assign F_op_orhi = F_iw_op == 52; + assign F_op_stwio = F_iw_op == 53; + assign F_op_bltu = F_iw_op == 54; + assign F_op_ldwio = F_iw_op == 55; + assign F_op_rdprs = F_iw_op == 56; + assign F_op_flushd = F_iw_op == 59; + assign F_op_xorhi = F_iw_op == 60; + assign F_op_rsv02 = F_iw_op == 2; + assign F_op_rsv09 = F_iw_op == 9; + assign F_op_rsv10 = F_iw_op == 10; + assign F_op_rsv17 = F_iw_op == 17; + assign F_op_rsv18 = F_iw_op == 18; + assign F_op_rsv25 = F_iw_op == 25; + assign F_op_rsv26 = F_iw_op == 26; + assign F_op_rsv33 = F_iw_op == 33; + assign F_op_rsv34 = F_iw_op == 34; + assign F_op_rsv41 = F_iw_op == 41; + assign F_op_rsv42 = F_iw_op == 42; + assign F_op_rsv49 = F_iw_op == 49; + assign F_op_rsv57 = F_iw_op == 57; + assign F_op_rsv61 = F_iw_op == 61; + assign F_op_rsv62 = F_iw_op == 62; + assign F_op_rsv63 = F_iw_op == 63; + assign F_op_eret = F_op_opx & (F_iw_opx == 1); + assign F_op_roli = F_op_opx & (F_iw_opx == 2); + assign F_op_rol = F_op_opx & (F_iw_opx == 3); + assign F_op_flushp = F_op_opx & (F_iw_opx == 4); + assign F_op_ret = F_op_opx & (F_iw_opx == 5); + assign F_op_nor = F_op_opx & (F_iw_opx == 6); + assign F_op_mulxuu = F_op_opx & (F_iw_opx == 7); + assign F_op_cmpge = F_op_opx & (F_iw_opx == 8); + assign F_op_bret = F_op_opx & (F_iw_opx == 9); + assign F_op_ror = F_op_opx & (F_iw_opx == 11); + assign F_op_flushi = F_op_opx & (F_iw_opx == 12); + assign F_op_jmp = F_op_opx & (F_iw_opx == 13); + assign F_op_and = F_op_opx & (F_iw_opx == 14); + assign F_op_cmplt = F_op_opx & (F_iw_opx == 16); + assign F_op_slli = F_op_opx & (F_iw_opx == 18); + assign F_op_sll = F_op_opx & (F_iw_opx == 19); + assign F_op_wrprs = F_op_opx & (F_iw_opx == 20); + assign F_op_or = F_op_opx & (F_iw_opx == 22); + assign F_op_mulxsu = F_op_opx & (F_iw_opx == 23); + assign F_op_cmpne = F_op_opx & (F_iw_opx == 24); + assign F_op_srli = F_op_opx & (F_iw_opx == 26); + assign F_op_srl = F_op_opx & (F_iw_opx == 27); + assign F_op_nextpc = F_op_opx & (F_iw_opx == 28); + assign F_op_callr = F_op_opx & (F_iw_opx == 29); + assign F_op_xor = F_op_opx & (F_iw_opx == 30); + assign F_op_mulxss = F_op_opx & (F_iw_opx == 31); + assign F_op_cmpeq = F_op_opx & (F_iw_opx == 32); + assign F_op_divu = F_op_opx & (F_iw_opx == 36); + assign F_op_div = F_op_opx & (F_iw_opx == 37); + assign F_op_rdctl = F_op_opx & (F_iw_opx == 38); + assign F_op_mul = F_op_opx & (F_iw_opx == 39); + assign F_op_cmpgeu = F_op_opx & (F_iw_opx == 40); + assign F_op_initi = F_op_opx & (F_iw_opx == 41); + assign F_op_trap = F_op_opx & (F_iw_opx == 45); + assign F_op_wrctl = F_op_opx & (F_iw_opx == 46); + assign F_op_cmpltu = F_op_opx & (F_iw_opx == 48); + assign F_op_add = F_op_opx & (F_iw_opx == 49); + assign F_op_break = F_op_opx & (F_iw_opx == 52); + assign F_op_hbreak = F_op_opx & (F_iw_opx == 53); + assign F_op_sync = F_op_opx & (F_iw_opx == 54); + assign F_op_sub = F_op_opx & (F_iw_opx == 57); + assign F_op_srai = F_op_opx & (F_iw_opx == 58); + assign F_op_sra = F_op_opx & (F_iw_opx == 59); + assign F_op_intr = F_op_opx & (F_iw_opx == 61); + assign F_op_crst = F_op_opx & (F_iw_opx == 62); + assign F_op_rsvx00 = F_op_opx & (F_iw_opx == 0); + assign F_op_rsvx10 = F_op_opx & (F_iw_opx == 10); + assign F_op_rsvx15 = F_op_opx & (F_iw_opx == 15); + assign F_op_rsvx17 = F_op_opx & (F_iw_opx == 17); + assign F_op_rsvx21 = F_op_opx & (F_iw_opx == 21); + assign F_op_rsvx25 = F_op_opx & (F_iw_opx == 25); + assign F_op_rsvx33 = F_op_opx & (F_iw_opx == 33); + assign F_op_rsvx34 = F_op_opx & (F_iw_opx == 34); + assign F_op_rsvx35 = F_op_opx & (F_iw_opx == 35); + assign F_op_rsvx42 = F_op_opx & (F_iw_opx == 42); + assign F_op_rsvx43 = F_op_opx & (F_iw_opx == 43); + assign F_op_rsvx44 = F_op_opx & (F_iw_opx == 44); + assign F_op_rsvx47 = F_op_opx & (F_iw_opx == 47); + assign F_op_rsvx50 = F_op_opx & (F_iw_opx == 50); + assign F_op_rsvx51 = F_op_opx & (F_iw_opx == 51); + assign F_op_rsvx55 = F_op_opx & (F_iw_opx == 55); + assign F_op_rsvx56 = F_op_opx & (F_iw_opx == 56); + assign F_op_rsvx60 = F_op_opx & (F_iw_opx == 60); + assign F_op_rsvx63 = F_op_opx & (F_iw_opx == 63); + assign F_op_opx = F_iw_op == 58; + assign F_op_custom = F_iw_op == 50; + assign D_op_call = D_iw_op == 0; + assign D_op_jmpi = D_iw_op == 1; + assign D_op_ldbu = D_iw_op == 3; + assign D_op_addi = D_iw_op == 4; + assign D_op_stb = D_iw_op == 5; + assign D_op_br = D_iw_op == 6; + assign D_op_ldb = D_iw_op == 7; + assign D_op_cmpgei = D_iw_op == 8; + assign D_op_ldhu = D_iw_op == 11; + assign D_op_andi = D_iw_op == 12; + assign D_op_sth = D_iw_op == 13; + assign D_op_bge = D_iw_op == 14; + assign D_op_ldh = D_iw_op == 15; + assign D_op_cmplti = D_iw_op == 16; + assign D_op_initda = D_iw_op == 19; + assign D_op_ori = D_iw_op == 20; + assign D_op_stw = D_iw_op == 21; + assign D_op_blt = D_iw_op == 22; + assign D_op_ldw = D_iw_op == 23; + assign D_op_cmpnei = D_iw_op == 24; + assign D_op_flushda = D_iw_op == 27; + assign D_op_xori = D_iw_op == 28; + assign D_op_stc = D_iw_op == 29; + assign D_op_bne = D_iw_op == 30; + assign D_op_ldl = D_iw_op == 31; + assign D_op_cmpeqi = D_iw_op == 32; + assign D_op_ldbuio = D_iw_op == 35; + assign D_op_muli = D_iw_op == 36; + assign D_op_stbio = D_iw_op == 37; + assign D_op_beq = D_iw_op == 38; + assign D_op_ldbio = D_iw_op == 39; + assign D_op_cmpgeui = D_iw_op == 40; + assign D_op_ldhuio = D_iw_op == 43; + assign D_op_andhi = D_iw_op == 44; + assign D_op_sthio = D_iw_op == 45; + assign D_op_bgeu = D_iw_op == 46; + assign D_op_ldhio = D_iw_op == 47; + assign D_op_cmpltui = D_iw_op == 48; + assign D_op_initd = D_iw_op == 51; + assign D_op_orhi = D_iw_op == 52; + assign D_op_stwio = D_iw_op == 53; + assign D_op_bltu = D_iw_op == 54; + assign D_op_ldwio = D_iw_op == 55; + assign D_op_rdprs = D_iw_op == 56; + assign D_op_flushd = D_iw_op == 59; + assign D_op_xorhi = D_iw_op == 60; + assign D_op_rsv02 = D_iw_op == 2; + assign D_op_rsv09 = D_iw_op == 9; + assign D_op_rsv10 = D_iw_op == 10; + assign D_op_rsv17 = D_iw_op == 17; + assign D_op_rsv18 = D_iw_op == 18; + assign D_op_rsv25 = D_iw_op == 25; + assign D_op_rsv26 = D_iw_op == 26; + assign D_op_rsv33 = D_iw_op == 33; + assign D_op_rsv34 = D_iw_op == 34; + assign D_op_rsv41 = D_iw_op == 41; + assign D_op_rsv42 = D_iw_op == 42; + assign D_op_rsv49 = D_iw_op == 49; + assign D_op_rsv57 = D_iw_op == 57; + assign D_op_rsv61 = D_iw_op == 61; + assign D_op_rsv62 = D_iw_op == 62; + assign D_op_rsv63 = D_iw_op == 63; + assign D_op_eret = D_op_opx & (D_iw_opx == 1); + assign D_op_roli = D_op_opx & (D_iw_opx == 2); + assign D_op_rol = D_op_opx & (D_iw_opx == 3); + assign D_op_flushp = D_op_opx & (D_iw_opx == 4); + assign D_op_ret = D_op_opx & (D_iw_opx == 5); + assign D_op_nor = D_op_opx & (D_iw_opx == 6); + assign D_op_mulxuu = D_op_opx & (D_iw_opx == 7); + assign D_op_cmpge = D_op_opx & (D_iw_opx == 8); + assign D_op_bret = D_op_opx & (D_iw_opx == 9); + assign D_op_ror = D_op_opx & (D_iw_opx == 11); + assign D_op_flushi = D_op_opx & (D_iw_opx == 12); + assign D_op_jmp = D_op_opx & (D_iw_opx == 13); + assign D_op_and = D_op_opx & (D_iw_opx == 14); + assign D_op_cmplt = D_op_opx & (D_iw_opx == 16); + assign D_op_slli = D_op_opx & (D_iw_opx == 18); + assign D_op_sll = D_op_opx & (D_iw_opx == 19); + assign D_op_wrprs = D_op_opx & (D_iw_opx == 20); + assign D_op_or = D_op_opx & (D_iw_opx == 22); + assign D_op_mulxsu = D_op_opx & (D_iw_opx == 23); + assign D_op_cmpne = D_op_opx & (D_iw_opx == 24); + assign D_op_srli = D_op_opx & (D_iw_opx == 26); + assign D_op_srl = D_op_opx & (D_iw_opx == 27); + assign D_op_nextpc = D_op_opx & (D_iw_opx == 28); + assign D_op_callr = D_op_opx & (D_iw_opx == 29); + assign D_op_xor = D_op_opx & (D_iw_opx == 30); + assign D_op_mulxss = D_op_opx & (D_iw_opx == 31); + assign D_op_cmpeq = D_op_opx & (D_iw_opx == 32); + assign D_op_divu = D_op_opx & (D_iw_opx == 36); + assign D_op_div = D_op_opx & (D_iw_opx == 37); + assign D_op_rdctl = D_op_opx & (D_iw_opx == 38); + assign D_op_mul = D_op_opx & (D_iw_opx == 39); + assign D_op_cmpgeu = D_op_opx & (D_iw_opx == 40); + assign D_op_initi = D_op_opx & (D_iw_opx == 41); + assign D_op_trap = D_op_opx & (D_iw_opx == 45); + assign D_op_wrctl = D_op_opx & (D_iw_opx == 46); + assign D_op_cmpltu = D_op_opx & (D_iw_opx == 48); + assign D_op_add = D_op_opx & (D_iw_opx == 49); + assign D_op_break = D_op_opx & (D_iw_opx == 52); + assign D_op_hbreak = D_op_opx & (D_iw_opx == 53); + assign D_op_sync = D_op_opx & (D_iw_opx == 54); + assign D_op_sub = D_op_opx & (D_iw_opx == 57); + assign D_op_srai = D_op_opx & (D_iw_opx == 58); + assign D_op_sra = D_op_opx & (D_iw_opx == 59); + assign D_op_intr = D_op_opx & (D_iw_opx == 61); + assign D_op_crst = D_op_opx & (D_iw_opx == 62); + assign D_op_rsvx00 = D_op_opx & (D_iw_opx == 0); + assign D_op_rsvx10 = D_op_opx & (D_iw_opx == 10); + assign D_op_rsvx15 = D_op_opx & (D_iw_opx == 15); + assign D_op_rsvx17 = D_op_opx & (D_iw_opx == 17); + assign D_op_rsvx21 = D_op_opx & (D_iw_opx == 21); + assign D_op_rsvx25 = D_op_opx & (D_iw_opx == 25); + assign D_op_rsvx33 = D_op_opx & (D_iw_opx == 33); + assign D_op_rsvx34 = D_op_opx & (D_iw_opx == 34); + assign D_op_rsvx35 = D_op_opx & (D_iw_opx == 35); + assign D_op_rsvx42 = D_op_opx & (D_iw_opx == 42); + assign D_op_rsvx43 = D_op_opx & (D_iw_opx == 43); + assign D_op_rsvx44 = D_op_opx & (D_iw_opx == 44); + assign D_op_rsvx47 = D_op_opx & (D_iw_opx == 47); + assign D_op_rsvx50 = D_op_opx & (D_iw_opx == 50); + assign D_op_rsvx51 = D_op_opx & (D_iw_opx == 51); + assign D_op_rsvx55 = D_op_opx & (D_iw_opx == 55); + assign D_op_rsvx56 = D_op_opx & (D_iw_opx == 56); + assign D_op_rsvx60 = D_op_opx & (D_iw_opx == 60); + assign D_op_rsvx63 = D_op_opx & (D_iw_opx == 63); + assign D_op_opx = D_iw_op == 58; + assign D_op_custom = D_iw_op == 50; + assign R_en = 1'b1; + assign E_ci_result = 0; + //custom_instruction_master, which is an e_custom_instruction_master + assign no_ci_readra = 1'b0; + assign E_ci_multi_stall = 1'b0; + assign iactive = d_irq[31 : 0] & 32'b00000000000000000000000000100000; + assign F_pc_sel_nxt = R_ctrl_exception ? 2'b00 : + R_ctrl_break ? 2'b01 : + (W_br_taken | R_ctrl_uncond_cti_non_br) ? 2'b10 : + 2'b11; + + assign F_pc_no_crst_nxt = (F_pc_sel_nxt == 2'b00)? 8 : + (F_pc_sel_nxt == 2'b01)? 66056 : + (F_pc_sel_nxt == 2'b10)? E_arith_result[18 : 2] : + F_pc_plus_one; + + assign F_pc_nxt = F_pc_no_crst_nxt; + assign F_pcb_nxt = {F_pc_nxt, 2'b00}; + assign F_pc_en = W_valid; + assign F_pc_plus_one = F_pc + 1; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + F_pc <= 0; + else if (F_pc_en) + F_pc <= F_pc_nxt; + end + + + assign F_pcb = {F_pc, 2'b00}; + assign F_pcb_plus_four = {F_pc_plus_one, 2'b00}; + assign F_valid = i_read & ~i_waitrequest; + assign i_read_nxt = W_valid | (i_read & i_waitrequest); + assign i_address = {F_pc, 2'b00}; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + i_read <= 1'b1; + else + i_read <= i_read_nxt; + end + + + assign oci_tb_hbreak_req = oci_hbreak_req; + assign hbreak_req = (oci_tb_hbreak_req | hbreak_pending) & hbreak_enabled & ~(wait_for_one_post_bret_inst & ~W_valid); + assign hbreak_pending_nxt = hbreak_pending ? hbreak_enabled + : hbreak_req; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + wait_for_one_post_bret_inst <= 1'b0; + else + wait_for_one_post_bret_inst <= (~hbreak_enabled & oci_single_step_mode) ? 1'b1 : (F_valid | ~oci_single_step_mode) ? 1'b0 : wait_for_one_post_bret_inst; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + hbreak_pending <= 1'b0; + else + hbreak_pending <= hbreak_pending_nxt; + end + + + assign intr_req = W_status_reg_pie & (W_ipending_reg != 0); + assign F_av_iw = i_readdata; + assign F_iw = hbreak_req ? 4040762 : + 1'b0 ? 127034 : + intr_req ? 3926074 : + F_av_iw; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + D_iw <= 0; + else if (F_valid) + D_iw <= F_iw; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + D_valid <= 0; + else + D_valid <= F_valid; + end + + + assign D_dst_regnum = D_ctrl_implicit_dst_retaddr ? 5'd31 : + D_ctrl_implicit_dst_eretaddr ? 5'd29 : + D_ctrl_b_is_dst ? D_iw_b : + D_iw_c; + + assign D_wr_dst_reg = (D_dst_regnum != 0) & ~D_ctrl_ignore_dst; + assign D_logic_op_raw = D_op_opx ? D_iw_opx[4 : 3] : + D_iw_op[4 : 3]; + + assign D_logic_op = D_ctrl_alu_force_xor ? 2'b11 : D_logic_op_raw; + assign D_compare_op = D_op_opx ? D_iw_opx[4 : 3] : + D_iw_op[4 : 3]; + + assign D_jmp_direct_target_waddr = D_iw[31 : 6]; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_valid <= 0; + else + R_valid <= D_valid; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_wr_dst_reg <= 0; + else + R_wr_dst_reg <= D_wr_dst_reg; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_dst_regnum <= 0; + else + R_dst_regnum <= D_dst_regnum; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_logic_op <= 0; + else + R_logic_op <= D_logic_op; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_compare_op <= 0; + else + R_compare_op <= D_compare_op; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_src2_use_imm <= 0; + else + R_src2_use_imm <= D_ctrl_src2_choose_imm | (D_ctrl_br & R_valid); + end + + + assign W_rf_wren = (R_wr_dst_reg & W_valid) | ~reset_n; + assign W_rf_wr_data = R_ctrl_ld ? av_ld_data_aligned_filtered : W_wr_data; +//nios_system_nios2_processor_register_bank_a, which is an nios_sdp_ram +nios_system_nios2_processor_register_bank_a_module nios_system_nios2_processor_register_bank_a + ( + .clock (clk), + .data (W_rf_wr_data), + .q (R_rf_a), + .rdaddress (D_iw_a), + .wraddress (R_dst_regnum), + .wren (W_rf_wren) + ); + +//synthesis translate_off +`ifdef NO_PLI +defparam nios_system_nios2_processor_register_bank_a.lpm_file = "nios_system_nios2_processor_rf_ram_a.dat"; +`else +defparam nios_system_nios2_processor_register_bank_a.lpm_file = "nios_system_nios2_processor_rf_ram_a.hex"; +`endif +//synthesis translate_on +//synthesis read_comments_as_HDL on +//defparam nios_system_nios2_processor_register_bank_a.lpm_file = "nios_system_nios2_processor_rf_ram_a.mif"; +//synthesis read_comments_as_HDL off +//nios_system_nios2_processor_register_bank_b, which is an nios_sdp_ram +nios_system_nios2_processor_register_bank_b_module nios_system_nios2_processor_register_bank_b + ( + .clock (clk), + .data (W_rf_wr_data), + .q (R_rf_b), + .rdaddress (D_iw_b), + .wraddress (R_dst_regnum), + .wren (W_rf_wren) + ); + +//synthesis translate_off +`ifdef NO_PLI +defparam nios_system_nios2_processor_register_bank_b.lpm_file = "nios_system_nios2_processor_rf_ram_b.dat"; +`else +defparam nios_system_nios2_processor_register_bank_b.lpm_file = "nios_system_nios2_processor_rf_ram_b.hex"; +`endif +//synthesis translate_on +//synthesis read_comments_as_HDL on +//defparam nios_system_nios2_processor_register_bank_b.lpm_file = "nios_system_nios2_processor_rf_ram_b.mif"; +//synthesis read_comments_as_HDL off + assign R_src1 = (((R_ctrl_br & E_valid) | (R_ctrl_retaddr & R_valid)))? {F_pc_plus_one, 2'b00} : + ((R_ctrl_jmp_direct & E_valid))? {D_jmp_direct_target_waddr, 2'b00} : + R_rf_a; + + assign R_src2_lo = ((R_ctrl_force_src2_zero|R_ctrl_hi_imm16))? 16'b0 : + (R_src2_use_imm)? D_iw_imm16 : + R_rf_b[15 : 0]; + + assign R_src2_hi = ((R_ctrl_force_src2_zero|R_ctrl_unsigned_lo_imm16))? 16'b0 : + (R_ctrl_hi_imm16)? D_iw_imm16 : + (R_src2_use_imm)? {16 {D_iw_imm16[15]}} : + R_rf_b[31 : 16]; + + assign R_src2 = {R_src2_hi, R_src2_lo}; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_valid <= 0; + else + E_valid <= R_valid | E_stall; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_new_inst <= 0; + else + E_new_inst <= R_valid; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_src1 <= 0; + else + E_src1 <= R_src1; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_src2 <= 0; + else + E_src2 <= R_src2; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_invert_arith_src_msb <= 0; + else + E_invert_arith_src_msb <= D_ctrl_alu_signed_comparison & R_valid; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_alu_sub <= 0; + else + E_alu_sub <= D_ctrl_alu_subtract & R_valid; + end + + + assign E_stall = E_shift_rot_stall | E_ld_stall | E_st_stall | E_ci_multi_stall; + assign E_arith_src1 = { E_src1[31] ^ E_invert_arith_src_msb, + E_src1[30 : 0]}; + + assign E_arith_src2 = { E_src2[31] ^ E_invert_arith_src_msb, + E_src2[30 : 0]}; + + assign E_arith_result = E_alu_sub ? + E_arith_src1 - E_arith_src2 : + E_arith_src1 + E_arith_src2; + + assign E_mem_baddr = E_arith_result[18 : 0]; + assign E_logic_result = (R_logic_op == 2'b00)? (~(E_src1 | E_src2)) : + (R_logic_op == 2'b01)? (E_src1 & E_src2) : + (R_logic_op == 2'b10)? (E_src1 | E_src2) : + (E_src1 ^ E_src2); + + assign E_logic_result_is_0 = E_logic_result == 0; + assign E_eq = E_logic_result_is_0; + assign E_lt = E_arith_result[32]; + assign E_cmp_result = (R_compare_op == 2'b00)? E_eq : + (R_compare_op == 2'b01)? ~E_lt : + (R_compare_op == 2'b10)? E_lt : + ~E_eq; + + assign E_shift_rot_cnt_nxt = E_new_inst ? E_src2[4 : 0] : E_shift_rot_cnt-1; + assign E_shift_rot_done = (E_shift_rot_cnt == 0) & ~E_new_inst; + assign E_shift_rot_stall = R_ctrl_shift_rot & E_valid & ~E_shift_rot_done; + assign E_shift_rot_fill_bit = R_ctrl_shift_logical ? 1'b0 : + (R_ctrl_rot_right ? E_shift_rot_result[0] : + E_shift_rot_result[31]); + + assign E_shift_rot_result_nxt = (E_new_inst)? E_src1 : + (R_ctrl_shift_rot_right)? {E_shift_rot_fill_bit, E_shift_rot_result[31 : 1]} : + {E_shift_rot_result[30 : 0], E_shift_rot_fill_bit}; + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_shift_rot_result <= 0; + else + E_shift_rot_result <= E_shift_rot_result_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + E_shift_rot_cnt <= 0; + else + E_shift_rot_cnt <= E_shift_rot_cnt_nxt; + end + + + assign E_control_rd_data = (D_iw_control_regnum == 3'd0)? W_status_reg : + (D_iw_control_regnum == 3'd1)? W_estatus_reg : + (D_iw_control_regnum == 3'd2)? W_bstatus_reg : + (D_iw_control_regnum == 3'd3)? W_ienable_reg : + (D_iw_control_regnum == 3'd4)? W_ipending_reg : + 0; + + assign E_alu_result = ((R_ctrl_br_cmp | R_ctrl_rdctl_inst))? 0 : + (R_ctrl_shift_rot)? E_shift_rot_result : + (R_ctrl_logic)? E_logic_result : + (R_ctrl_custom)? E_ci_result : + E_arith_result; + + assign R_stb_data = R_rf_b[7 : 0]; + assign R_sth_data = R_rf_b[15 : 0]; + assign E_st_data = (D_mem8)? {R_stb_data, R_stb_data, R_stb_data, R_stb_data} : + (D_mem16)? {R_sth_data, R_sth_data} : + R_rf_b; + + assign E_mem_byte_en = ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b00})? 4'b0001 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b01})? 4'b0010 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b10})? 4'b0100 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b00, 2'b11})? 4'b1000 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b00})? 4'b0011 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b01})? 4'b0011 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b10})? 4'b1100 : + ({D_iw_memsz, E_mem_baddr[1 : 0]} == {2'b01, 2'b11})? 4'b1100 : + 4'b1111; + + assign d_read_nxt = (R_ctrl_ld & E_new_inst) | (d_read & d_waitrequest); + assign E_ld_stall = R_ctrl_ld & ((E_valid & ~av_ld_done) | E_new_inst); + assign d_write_nxt = (R_ctrl_st & E_new_inst) | (d_write & d_waitrequest); + assign E_st_stall = d_write_nxt; + assign d_address = W_mem_baddr; + assign av_ld_getting_data = d_read & ~d_waitrequest; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_read <= 0; + else + d_read <= d_read_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_writedata <= 0; + else + d_writedata <= E_st_data; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_byteenable <= 0; + else + d_byteenable <= E_mem_byte_en; + end + + + assign av_ld_align_cycle_nxt = av_ld_getting_data ? 0 : (av_ld_align_cycle+1); + assign av_ld_align_one_more_cycle = av_ld_align_cycle == (D_mem16 ? 2 : 3); + assign av_ld_aligning_data_nxt = av_ld_aligning_data ? + ~av_ld_align_one_more_cycle : + (~D_mem32 & av_ld_getting_data); + + assign av_ld_waiting_for_data_nxt = av_ld_waiting_for_data ? + ~av_ld_getting_data : + (R_ctrl_ld & E_new_inst); + + assign av_ld_done = ~av_ld_waiting_for_data_nxt & (D_mem32 | ~av_ld_aligning_data_nxt); + assign av_ld_rshift8 = av_ld_aligning_data & + (av_ld_align_cycle < (W_mem_baddr[1 : 0])); + + assign av_ld_extend = av_ld_aligning_data; + assign av_ld_byte0_data_nxt = av_ld_rshift8 ? av_ld_byte1_data : + av_ld_extend ? av_ld_byte0_data : + d_readdata[7 : 0]; + + assign av_ld_byte1_data_nxt = av_ld_rshift8 ? av_ld_byte2_data : + av_ld_extend ? {8 {av_fill_bit}} : + d_readdata[15 : 8]; + + assign av_ld_byte2_data_nxt = av_ld_rshift8 ? av_ld_byte3_data : + av_ld_extend ? {8 {av_fill_bit}} : + d_readdata[23 : 16]; + + assign av_ld_byte3_data_nxt = av_ld_rshift8 ? av_ld_byte3_data : + av_ld_extend ? {8 {av_fill_bit}} : + d_readdata[31 : 24]; + + assign av_ld_byte1_data_en = ~(av_ld_extend & D_mem16 & ~av_ld_rshift8); + assign av_ld_data_aligned_unfiltered = {av_ld_byte3_data, av_ld_byte2_data, + av_ld_byte1_data, av_ld_byte0_data}; + + assign av_sign_bit = D_mem16 ? av_ld_byte1_data[7] : av_ld_byte0_data[7]; + assign av_fill_bit = av_sign_bit & R_ctrl_ld_signed; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_align_cycle <= 0; + else + av_ld_align_cycle <= av_ld_align_cycle_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_waiting_for_data <= 0; + else + av_ld_waiting_for_data <= av_ld_waiting_for_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_aligning_data <= 0; + else + av_ld_aligning_data <= av_ld_aligning_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte0_data <= 0; + else + av_ld_byte0_data <= av_ld_byte0_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte1_data <= 0; + else if (av_ld_byte1_data_en) + av_ld_byte1_data <= av_ld_byte1_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte2_data <= 0; + else + av_ld_byte2_data <= av_ld_byte2_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + av_ld_byte3_data <= 0; + else + av_ld_byte3_data <= av_ld_byte3_data_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_valid <= 0; + else + W_valid <= E_valid & ~E_stall; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_control_rd_data <= 0; + else + W_control_rd_data <= E_control_rd_data; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_cmp_result <= 0; + else + W_cmp_result <= E_cmp_result; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_alu_result <= 0; + else + W_alu_result <= E_alu_result; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_status_reg_pie <= 0; + else + W_status_reg_pie <= W_status_reg_pie_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_estatus_reg <= 0; + else + W_estatus_reg <= W_estatus_reg_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_bstatus_reg <= 0; + else + W_bstatus_reg <= W_bstatus_reg_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_ienable_reg <= 0; + else + W_ienable_reg <= W_ienable_reg_nxt; + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + W_ipending_reg <= 0; + else + W_ipending_reg <= W_ipending_reg_nxt; + end + + + assign W_wr_data_non_zero = R_ctrl_br_cmp ? W_cmp_result : + R_ctrl_rdctl_inst ? W_control_rd_data : + W_alu_result[31 : 0]; + + assign W_wr_data = W_wr_data_non_zero; + assign W_br_taken = R_ctrl_br & W_cmp_result; + assign W_mem_baddr = W_alu_result[18 : 0]; + assign W_status_reg = W_status_reg_pie; + assign E_wrctl_status = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd0); + + assign E_wrctl_estatus = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd1); + + assign E_wrctl_bstatus = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd2); + + assign E_wrctl_ienable = R_ctrl_wrctl_inst & + (D_iw_control_regnum == 3'd3); + + assign W_status_reg_pie_inst_nxt = (R_ctrl_exception | R_ctrl_break | R_ctrl_crst) ? 1'b0 : + (D_op_eret) ? W_estatus_reg : + (D_op_bret) ? W_bstatus_reg : + (E_wrctl_status) ? E_src1[0] : + W_status_reg_pie; + + assign W_status_reg_pie_nxt = E_valid ? W_status_reg_pie_inst_nxt : W_status_reg_pie; + assign W_estatus_reg_inst_nxt = (R_ctrl_crst) ? 0 : + (R_ctrl_exception) ? W_status_reg : + (E_wrctl_estatus) ? E_src1[0] : + W_estatus_reg; + + assign W_estatus_reg_nxt = E_valid ? W_estatus_reg_inst_nxt : W_estatus_reg; + assign W_bstatus_reg_inst_nxt = (R_ctrl_break) ? W_status_reg : + (E_wrctl_bstatus) ? E_src1[0] : + W_bstatus_reg; + + assign W_bstatus_reg_nxt = E_valid ? W_bstatus_reg_inst_nxt : W_bstatus_reg; + assign W_ienable_reg_nxt = ((E_wrctl_ienable & E_valid) ? + E_src1[31 : 0] : W_ienable_reg) & 32'b00000000000000000000000000100000; + + assign W_ipending_reg_nxt = iactive & W_ienable_reg & oci_ienable & 32'b00000000000000000000000000100000; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + hbreak_enabled <= 1'b1; + else if (E_valid) + hbreak_enabled <= R_ctrl_break ? 1'b0 : D_op_bret ? 1'b1 : hbreak_enabled; + end + + + nios_system_nios2_processor_nios2_oci the_nios_system_nios2_processor_nios2_oci + ( + .D_valid (D_valid), + .E_st_data (E_st_data), + .E_valid (E_valid), + .F_pc (F_pc), + .address_nxt (jtag_debug_module_address), + .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered), + .byteenable_nxt (jtag_debug_module_byteenable), + .clk (jtag_debug_module_clk), + .d_address (d_address), + .d_read (d_read), + .d_waitrequest (d_waitrequest), + .d_write (d_write), + .debugaccess_nxt (jtag_debug_module_debugaccess), + .hbreak_enabled (hbreak_enabled), + .jtag_debug_module_debugaccess_to_roms (jtag_debug_module_debugaccess_to_roms), + .oci_hbreak_req (oci_hbreak_req), + .oci_ienable (oci_ienable), + .oci_single_step_mode (oci_single_step_mode), + .read_nxt (jtag_debug_module_read), + .readdata (jtag_debug_module_readdata), + .reset (jtag_debug_module_reset), + .reset_n (reset_n), + .resetrequest (jtag_debug_module_resetrequest), + .test_ending (test_ending), + .test_has_ended (test_has_ended), + .waitrequest (jtag_debug_module_waitrequest), + .write_nxt (jtag_debug_module_write), + .writedata_nxt (jtag_debug_module_writedata) + ); + + //jtag_debug_module, which is an e_avalon_slave + assign jtag_debug_module_clk = clk; + assign jtag_debug_module_reset = ~reset_n; + assign D_ctrl_custom = 1'b0; + assign R_ctrl_custom_nxt = D_ctrl_custom; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_custom <= 0; + else if (R_en) + R_ctrl_custom <= R_ctrl_custom_nxt; + end + + + assign D_ctrl_custom_multi = 1'b0; + assign R_ctrl_custom_multi_nxt = D_ctrl_custom_multi; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_custom_multi <= 0; + else if (R_en) + R_ctrl_custom_multi <= R_ctrl_custom_multi_nxt; + end + + + assign D_ctrl_jmp_indirect = D_op_eret| + D_op_bret| + D_op_rsvx17| + D_op_rsvx25| + D_op_ret| + D_op_jmp| + D_op_rsvx21| + D_op_callr; + + assign R_ctrl_jmp_indirect_nxt = D_ctrl_jmp_indirect; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_jmp_indirect <= 0; + else if (R_en) + R_ctrl_jmp_indirect <= R_ctrl_jmp_indirect_nxt; + end + + + assign D_ctrl_jmp_direct = D_op_call|D_op_jmpi; + assign R_ctrl_jmp_direct_nxt = D_ctrl_jmp_direct; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_jmp_direct <= 0; + else if (R_en) + R_ctrl_jmp_direct <= R_ctrl_jmp_direct_nxt; + end + + + assign D_ctrl_implicit_dst_retaddr = D_op_call|D_op_rsv02; + assign R_ctrl_implicit_dst_retaddr_nxt = D_ctrl_implicit_dst_retaddr; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_implicit_dst_retaddr <= 0; + else if (R_en) + R_ctrl_implicit_dst_retaddr <= R_ctrl_implicit_dst_retaddr_nxt; + end + + + assign D_ctrl_implicit_dst_eretaddr = D_op_div|D_op_divu|D_op_mul|D_op_muli|D_op_mulxss|D_op_mulxsu|D_op_mulxuu; + assign R_ctrl_implicit_dst_eretaddr_nxt = D_ctrl_implicit_dst_eretaddr; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_implicit_dst_eretaddr <= 0; + else if (R_en) + R_ctrl_implicit_dst_eretaddr <= R_ctrl_implicit_dst_eretaddr_nxt; + end + + + assign D_ctrl_exception = D_op_trap| + D_op_rsvx44| + D_op_div| + D_op_divu| + D_op_mul| + D_op_muli| + D_op_mulxss| + D_op_mulxsu| + D_op_mulxuu| + D_op_intr| + D_op_rsvx60; + + assign R_ctrl_exception_nxt = D_ctrl_exception; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_exception <= 0; + else if (R_en) + R_ctrl_exception <= R_ctrl_exception_nxt; + end + + + assign D_ctrl_break = D_op_break|D_op_hbreak; + assign R_ctrl_break_nxt = D_ctrl_break; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_break <= 0; + else if (R_en) + R_ctrl_break <= R_ctrl_break_nxt; + end + + + assign D_ctrl_crst = D_op_crst|D_op_rsvx63; + assign R_ctrl_crst_nxt = D_ctrl_crst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_crst <= 0; + else if (R_en) + R_ctrl_crst <= R_ctrl_crst_nxt; + end + + + assign D_ctrl_uncond_cti_non_br = D_op_call| + D_op_jmpi| + D_op_eret| + D_op_bret| + D_op_rsvx17| + D_op_rsvx25| + D_op_ret| + D_op_jmp| + D_op_rsvx21| + D_op_callr; + + assign R_ctrl_uncond_cti_non_br_nxt = D_ctrl_uncond_cti_non_br; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_uncond_cti_non_br <= 0; + else if (R_en) + R_ctrl_uncond_cti_non_br <= R_ctrl_uncond_cti_non_br_nxt; + end + + + assign D_ctrl_retaddr = D_op_call| + D_op_rsv02| + D_op_nextpc| + D_op_callr| + D_op_trap| + D_op_rsvx44| + D_op_div| + D_op_divu| + D_op_mul| + D_op_muli| + D_op_mulxss| + D_op_mulxsu| + D_op_mulxuu| + D_op_intr| + D_op_rsvx60| + D_op_break| + D_op_hbreak; + + assign R_ctrl_retaddr_nxt = D_ctrl_retaddr; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_retaddr <= 0; + else if (R_en) + R_ctrl_retaddr <= R_ctrl_retaddr_nxt; + end + + + assign D_ctrl_shift_logical = D_op_slli|D_op_sll|D_op_srli|D_op_srl; + assign R_ctrl_shift_logical_nxt = D_ctrl_shift_logical; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_logical <= 0; + else if (R_en) + R_ctrl_shift_logical <= R_ctrl_shift_logical_nxt; + end + + + assign D_ctrl_shift_right_arith = D_op_srai|D_op_sra; + assign R_ctrl_shift_right_arith_nxt = D_ctrl_shift_right_arith; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_right_arith <= 0; + else if (R_en) + R_ctrl_shift_right_arith <= R_ctrl_shift_right_arith_nxt; + end + + + assign D_ctrl_rot_right = D_op_rsvx10|D_op_ror|D_op_rsvx42|D_op_rsvx43; + assign R_ctrl_rot_right_nxt = D_ctrl_rot_right; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_rot_right <= 0; + else if (R_en) + R_ctrl_rot_right <= R_ctrl_rot_right_nxt; + end + + + assign D_ctrl_shift_rot_right = D_op_srli| + D_op_srl| + D_op_srai| + D_op_sra| + D_op_rsvx10| + D_op_ror| + D_op_rsvx42| + D_op_rsvx43; + + assign R_ctrl_shift_rot_right_nxt = D_ctrl_shift_rot_right; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_rot_right <= 0; + else if (R_en) + R_ctrl_shift_rot_right <= R_ctrl_shift_rot_right_nxt; + end + + + assign D_ctrl_shift_rot = D_op_slli| + D_op_rsvx50| + D_op_sll| + D_op_rsvx51| + D_op_roli| + D_op_rsvx34| + D_op_rol| + D_op_rsvx35| + D_op_srli| + D_op_srl| + D_op_srai| + D_op_sra| + D_op_rsvx10| + D_op_ror| + D_op_rsvx42| + D_op_rsvx43; + + assign R_ctrl_shift_rot_nxt = D_ctrl_shift_rot; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_shift_rot <= 0; + else if (R_en) + R_ctrl_shift_rot <= R_ctrl_shift_rot_nxt; + end + + + assign D_ctrl_logic = D_op_and| + D_op_or| + D_op_xor| + D_op_nor| + D_op_andhi| + D_op_orhi| + D_op_xorhi| + D_op_andi| + D_op_ori| + D_op_xori; + + assign R_ctrl_logic_nxt = D_ctrl_logic; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_logic <= 0; + else if (R_en) + R_ctrl_logic <= R_ctrl_logic_nxt; + end + + + assign D_ctrl_hi_imm16 = D_op_andhi|D_op_orhi|D_op_xorhi; + assign R_ctrl_hi_imm16_nxt = D_ctrl_hi_imm16; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_hi_imm16 <= 0; + else if (R_en) + R_ctrl_hi_imm16 <= R_ctrl_hi_imm16_nxt; + end + + + assign D_ctrl_unsigned_lo_imm16 = D_op_cmpgeui| + D_op_cmpltui| + D_op_andi| + D_op_ori| + D_op_xori| + D_op_roli| + D_op_rsvx10| + D_op_slli| + D_op_srli| + D_op_rsvx34| + D_op_rsvx42| + D_op_rsvx50| + D_op_srai; + + assign R_ctrl_unsigned_lo_imm16_nxt = D_ctrl_unsigned_lo_imm16; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_unsigned_lo_imm16 <= 0; + else if (R_en) + R_ctrl_unsigned_lo_imm16 <= R_ctrl_unsigned_lo_imm16_nxt; + end + + + assign D_ctrl_br_uncond = D_op_br|D_op_rsv02; + assign R_ctrl_br_uncond_nxt = D_ctrl_br_uncond; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_br_uncond <= 0; + else if (R_en) + R_ctrl_br_uncond <= R_ctrl_br_uncond_nxt; + end + + + assign D_ctrl_br = D_op_br| + D_op_bge| + D_op_blt| + D_op_bne| + D_op_beq| + D_op_bgeu| + D_op_bltu| + D_op_rsv62; + + assign R_ctrl_br_nxt = D_ctrl_br; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_br <= 0; + else if (R_en) + R_ctrl_br <= R_ctrl_br_nxt; + end + + + assign D_ctrl_alu_subtract = D_op_sub| + D_op_rsvx25| + D_op_cmplti| + D_op_cmpltui| + D_op_cmplt| + D_op_cmpltu| + D_op_blt| + D_op_bltu| + D_op_cmpgei| + D_op_cmpgeui| + D_op_cmpge| + D_op_cmpgeu| + D_op_bge| + D_op_rsv10| + D_op_bgeu| + D_op_rsv42; + + assign R_ctrl_alu_subtract_nxt = D_ctrl_alu_subtract; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_alu_subtract <= 0; + else if (R_en) + R_ctrl_alu_subtract <= R_ctrl_alu_subtract_nxt; + end + + + assign D_ctrl_alu_signed_comparison = D_op_cmpge|D_op_cmpgei|D_op_cmplt|D_op_cmplti|D_op_bge|D_op_blt; + assign R_ctrl_alu_signed_comparison_nxt = D_ctrl_alu_signed_comparison; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_alu_signed_comparison <= 0; + else if (R_en) + R_ctrl_alu_signed_comparison <= R_ctrl_alu_signed_comparison_nxt; + end + + + assign D_ctrl_br_cmp = D_op_br| + D_op_bge| + D_op_blt| + D_op_bne| + D_op_beq| + D_op_bgeu| + D_op_bltu| + D_op_rsv62| + D_op_cmpgei| + D_op_cmplti| + D_op_cmpnei| + D_op_cmpgeui| + D_op_cmpltui| + D_op_cmpeqi| + D_op_rsvx00| + D_op_cmpge| + D_op_cmplt| + D_op_cmpne| + D_op_cmpgeu| + D_op_cmpltu| + D_op_cmpeq| + D_op_rsvx56; + + assign R_ctrl_br_cmp_nxt = D_ctrl_br_cmp; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_br_cmp <= 0; + else if (R_en) + R_ctrl_br_cmp <= R_ctrl_br_cmp_nxt; + end + + + assign D_ctrl_ld_signed = D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63; + + assign R_ctrl_ld_signed_nxt = D_ctrl_ld_signed; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld_signed <= 0; + else if (R_en) + R_ctrl_ld_signed <= R_ctrl_ld_signed_nxt; + end + + + assign D_ctrl_ld = D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63| + D_op_ldbu| + D_op_ldhu| + D_op_ldbuio| + D_op_ldhuio; + + assign R_ctrl_ld_nxt = D_ctrl_ld; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld <= 0; + else if (R_en) + R_ctrl_ld <= R_ctrl_ld_nxt; + end + + + assign D_ctrl_ld_non_io = D_op_ldbu|D_op_ldhu|D_op_ldb|D_op_ldh|D_op_ldw|D_op_ldl; + assign R_ctrl_ld_non_io_nxt = D_ctrl_ld_non_io; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld_non_io <= 0; + else if (R_en) + R_ctrl_ld_non_io <= R_ctrl_ld_non_io_nxt; + end + + + assign D_ctrl_st = D_op_stb| + D_op_sth| + D_op_stw| + D_op_stc| + D_op_stbio| + D_op_sthio| + D_op_stwio| + D_op_rsv61; + + assign R_ctrl_st_nxt = D_ctrl_st; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_st <= 0; + else if (R_en) + R_ctrl_st <= R_ctrl_st_nxt; + end + + + assign D_ctrl_ld_io = D_op_ldbuio|D_op_ldhuio|D_op_ldbio|D_op_ldhio|D_op_ldwio|D_op_rsv63; + assign R_ctrl_ld_io_nxt = D_ctrl_ld_io; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ld_io <= 0; + else if (R_en) + R_ctrl_ld_io <= R_ctrl_ld_io_nxt; + end + + + assign D_ctrl_b_is_dst = D_op_addi| + D_op_andhi| + D_op_orhi| + D_op_xorhi| + D_op_andi| + D_op_ori| + D_op_xori| + D_op_call| + D_op_rdprs| + D_op_cmpgei| + D_op_cmplti| + D_op_cmpnei| + D_op_cmpgeui| + D_op_cmpltui| + D_op_cmpeqi| + D_op_jmpi| + D_op_rsv09| + D_op_rsv17| + D_op_rsv25| + D_op_rsv33| + D_op_rsv41| + D_op_rsv49| + D_op_rsv57| + D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63| + D_op_ldbu| + D_op_ldhu| + D_op_ldbuio| + D_op_ldhuio| + D_op_initd| + D_op_initda| + D_op_flushd| + D_op_flushda; + + assign R_ctrl_b_is_dst_nxt = D_ctrl_b_is_dst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_b_is_dst <= 0; + else if (R_en) + R_ctrl_b_is_dst <= R_ctrl_b_is_dst_nxt; + end + + + assign D_ctrl_ignore_dst = D_op_br| + D_op_bge| + D_op_blt| + D_op_bne| + D_op_beq| + D_op_bgeu| + D_op_bltu| + D_op_rsv62| + D_op_stb| + D_op_sth| + D_op_stw| + D_op_stc| + D_op_stbio| + D_op_sthio| + D_op_stwio| + D_op_rsv61| + D_op_jmpi| + D_op_rsv09| + D_op_rsv17| + D_op_rsv25| + D_op_rsv33| + D_op_rsv41| + D_op_rsv49| + D_op_rsv57; + + assign R_ctrl_ignore_dst_nxt = D_ctrl_ignore_dst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_ignore_dst <= 0; + else if (R_en) + R_ctrl_ignore_dst <= R_ctrl_ignore_dst_nxt; + end + + + assign D_ctrl_src2_choose_imm = D_op_addi| + D_op_andhi| + D_op_orhi| + D_op_xorhi| + D_op_andi| + D_op_ori| + D_op_xori| + D_op_call| + D_op_rdprs| + D_op_cmpgei| + D_op_cmplti| + D_op_cmpnei| + D_op_cmpgeui| + D_op_cmpltui| + D_op_cmpeqi| + D_op_jmpi| + D_op_rsv09| + D_op_rsv17| + D_op_rsv25| + D_op_rsv33| + D_op_rsv41| + D_op_rsv49| + D_op_rsv57| + D_op_ldb| + D_op_ldh| + D_op_ldl| + D_op_ldw| + D_op_ldbio| + D_op_ldhio| + D_op_ldwio| + D_op_rsv63| + D_op_ldbu| + D_op_ldhu| + D_op_ldbuio| + D_op_ldhuio| + D_op_initd| + D_op_initda| + D_op_flushd| + D_op_flushda| + D_op_stb| + D_op_sth| + D_op_stw| + D_op_stc| + D_op_stbio| + D_op_sthio| + D_op_stwio| + D_op_rsv61| + D_op_roli| + D_op_rsvx10| + D_op_slli| + D_op_srli| + D_op_rsvx34| + D_op_rsvx42| + D_op_rsvx50| + D_op_srai; + + assign R_ctrl_src2_choose_imm_nxt = D_ctrl_src2_choose_imm; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_src2_choose_imm <= 0; + else if (R_en) + R_ctrl_src2_choose_imm <= R_ctrl_src2_choose_imm_nxt; + end + + + assign D_ctrl_wrctl_inst = D_op_wrctl; + assign R_ctrl_wrctl_inst_nxt = D_ctrl_wrctl_inst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_wrctl_inst <= 0; + else if (R_en) + R_ctrl_wrctl_inst <= R_ctrl_wrctl_inst_nxt; + end + + + assign D_ctrl_rdctl_inst = D_op_rdctl; + assign R_ctrl_rdctl_inst_nxt = D_ctrl_rdctl_inst; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_rdctl_inst <= 0; + else if (R_en) + R_ctrl_rdctl_inst <= R_ctrl_rdctl_inst_nxt; + end + + + assign D_ctrl_force_src2_zero = D_op_call| + D_op_rsv02| + D_op_nextpc| + D_op_callr| + D_op_trap| + D_op_rsvx44| + D_op_intr| + D_op_rsvx60| + D_op_break| + D_op_hbreak| + D_op_eret| + D_op_bret| + D_op_rsvx17| + D_op_rsvx25| + D_op_ret| + D_op_jmp| + D_op_rsvx21| + D_op_jmpi; + + assign R_ctrl_force_src2_zero_nxt = D_ctrl_force_src2_zero; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_force_src2_zero <= 0; + else if (R_en) + R_ctrl_force_src2_zero <= R_ctrl_force_src2_zero_nxt; + end + + + assign D_ctrl_alu_force_xor = D_op_cmpgei| + D_op_cmpgeui| + D_op_cmpeqi| + D_op_cmpge| + D_op_cmpgeu| + D_op_cmpeq| + D_op_cmpnei| + D_op_cmpne| + D_op_bge| + D_op_rsv10| + D_op_bgeu| + D_op_rsv42| + D_op_beq| + D_op_rsv34| + D_op_bne| + D_op_rsv62| + D_op_br| + D_op_rsv02; + + assign R_ctrl_alu_force_xor_nxt = D_ctrl_alu_force_xor; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + R_ctrl_alu_force_xor <= 0; + else if (R_en) + R_ctrl_alu_force_xor <= R_ctrl_alu_force_xor_nxt; + end + + + //data_master, which is an e_avalon_master + //instruction_master, which is an e_avalon_master + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + assign F_inst = (F_op_call)? 56'h20202063616c6c : + (F_op_jmpi)? 56'h2020206a6d7069 : + (F_op_ldbu)? 56'h2020206c646275 : + (F_op_addi)? 56'h20202061646469 : + (F_op_stb)? 56'h20202020737462 : + (F_op_br)? 56'h20202020206272 : + (F_op_ldb)? 56'h202020206c6462 : + (F_op_cmpgei)? 56'h20636d70676569 : + (F_op_ldhu)? 56'h2020206c646875 : + (F_op_andi)? 56'h202020616e6469 : + (F_op_sth)? 56'h20202020737468 : + (F_op_bge)? 56'h20202020626765 : + (F_op_ldh)? 56'h202020206c6468 : + (F_op_cmplti)? 56'h20636d706c7469 : + (F_op_initda)? 56'h20696e69746461 : + (F_op_ori)? 56'h202020206f7269 : + (F_op_stw)? 56'h20202020737477 : + (F_op_blt)? 56'h20202020626c74 : + (F_op_ldw)? 56'h202020206c6477 : + (F_op_cmpnei)? 56'h20636d706e6569 : + (F_op_flushda)? 56'h666c7573686461 : + (F_op_xori)? 56'h202020786f7269 : + (F_op_bne)? 56'h20202020626e65 : + (F_op_cmpeqi)? 56'h20636d70657169 : + (F_op_ldbuio)? 56'h206c646275696f : + (F_op_muli)? 56'h2020206d756c69 : + (F_op_stbio)? 56'h2020737462696f : + (F_op_beq)? 56'h20202020626571 : + (F_op_ldbio)? 56'h20206c6462696f : + (F_op_cmpgeui)? 56'h636d7067657569 : + (F_op_ldhuio)? 56'h206c646875696f : + (F_op_andhi)? 56'h2020616e646869 : + (F_op_sthio)? 56'h2020737468696f : + (F_op_bgeu)? 56'h20202062676575 : + (F_op_ldhio)? 56'h20206c6468696f : + (F_op_cmpltui)? 56'h636d706c747569 : + (F_op_initd)? 56'h2020696e697464 : + (F_op_orhi)? 56'h2020206f726869 : + (F_op_stwio)? 56'h2020737477696f : + (F_op_bltu)? 56'h202020626c7475 : + (F_op_ldwio)? 56'h20206c6477696f : + (F_op_flushd)? 56'h20666c75736864 : + (F_op_xorhi)? 56'h2020786f726869 : + (F_op_eret)? 56'h20202065726574 : + (F_op_roli)? 56'h202020726f6c69 : + (F_op_rol)? 56'h20202020726f6c : + (F_op_flushp)? 56'h20666c75736870 : + (F_op_ret)? 56'h20202020726574 : + (F_op_nor)? 56'h202020206e6f72 : + (F_op_mulxuu)? 56'h206d756c787575 : + (F_op_cmpge)? 56'h2020636d706765 : + (F_op_bret)? 56'h20202062726574 : + (F_op_ror)? 56'h20202020726f72 : + (F_op_flushi)? 56'h20666c75736869 : + (F_op_jmp)? 56'h202020206a6d70 : + (F_op_and)? 56'h20202020616e64 : + (F_op_cmplt)? 56'h2020636d706c74 : + (F_op_slli)? 56'h202020736c6c69 : + (F_op_sll)? 56'h20202020736c6c : + (F_op_or)? 56'h20202020206f72 : + (F_op_mulxsu)? 56'h206d756c787375 : + (F_op_cmpne)? 56'h2020636d706e65 : + (F_op_srli)? 56'h20202073726c69 : + (F_op_srl)? 56'h2020202073726c : + (F_op_nextpc)? 56'h206e6578747063 : + (F_op_callr)? 56'h202063616c6c72 : + (F_op_xor)? 56'h20202020786f72 : + (F_op_mulxss)? 56'h206d756c787373 : + (F_op_cmpeq)? 56'h2020636d706571 : + (F_op_divu)? 56'h20202064697675 : + (F_op_div)? 56'h20202020646976 : + (F_op_rdctl)? 56'h2020726463746c : + (F_op_mul)? 56'h202020206d756c : + (F_op_cmpgeu)? 56'h20636d70676575 : + (F_op_initi)? 56'h2020696e697469 : + (F_op_trap)? 56'h20202074726170 : + (F_op_wrctl)? 56'h2020777263746c : + (F_op_cmpltu)? 56'h20636d706c7475 : + (F_op_add)? 56'h20202020616464 : + (F_op_break)? 56'h2020627265616b : + (F_op_hbreak)? 56'h2068627265616b : + (F_op_sync)? 56'h20202073796e63 : + (F_op_sub)? 56'h20202020737562 : + (F_op_srai)? 56'h20202073726169 : + (F_op_sra)? 56'h20202020737261 : + (F_op_intr)? 56'h202020696e7472 : + 56'h20202020424144; + + assign D_inst = (D_op_call)? 56'h20202063616c6c : + (D_op_jmpi)? 56'h2020206a6d7069 : + (D_op_ldbu)? 56'h2020206c646275 : + (D_op_addi)? 56'h20202061646469 : + (D_op_stb)? 56'h20202020737462 : + (D_op_br)? 56'h20202020206272 : + (D_op_ldb)? 56'h202020206c6462 : + (D_op_cmpgei)? 56'h20636d70676569 : + (D_op_ldhu)? 56'h2020206c646875 : + (D_op_andi)? 56'h202020616e6469 : + (D_op_sth)? 56'h20202020737468 : + (D_op_bge)? 56'h20202020626765 : + (D_op_ldh)? 56'h202020206c6468 : + (D_op_cmplti)? 56'h20636d706c7469 : + (D_op_initda)? 56'h20696e69746461 : + (D_op_ori)? 56'h202020206f7269 : + (D_op_stw)? 56'h20202020737477 : + (D_op_blt)? 56'h20202020626c74 : + (D_op_ldw)? 56'h202020206c6477 : + (D_op_cmpnei)? 56'h20636d706e6569 : + (D_op_flushda)? 56'h666c7573686461 : + (D_op_xori)? 56'h202020786f7269 : + (D_op_bne)? 56'h20202020626e65 : + (D_op_cmpeqi)? 56'h20636d70657169 : + (D_op_ldbuio)? 56'h206c646275696f : + (D_op_muli)? 56'h2020206d756c69 : + (D_op_stbio)? 56'h2020737462696f : + (D_op_beq)? 56'h20202020626571 : + (D_op_ldbio)? 56'h20206c6462696f : + (D_op_cmpgeui)? 56'h636d7067657569 : + (D_op_ldhuio)? 56'h206c646875696f : + (D_op_andhi)? 56'h2020616e646869 : + (D_op_sthio)? 56'h2020737468696f : + (D_op_bgeu)? 56'h20202062676575 : + (D_op_ldhio)? 56'h20206c6468696f : + (D_op_cmpltui)? 56'h636d706c747569 : + (D_op_initd)? 56'h2020696e697464 : + (D_op_orhi)? 56'h2020206f726869 : + (D_op_stwio)? 56'h2020737477696f : + (D_op_bltu)? 56'h202020626c7475 : + (D_op_ldwio)? 56'h20206c6477696f : + (D_op_flushd)? 56'h20666c75736864 : + (D_op_xorhi)? 56'h2020786f726869 : + (D_op_eret)? 56'h20202065726574 : + (D_op_roli)? 56'h202020726f6c69 : + (D_op_rol)? 56'h20202020726f6c : + (D_op_flushp)? 56'h20666c75736870 : + (D_op_ret)? 56'h20202020726574 : + (D_op_nor)? 56'h202020206e6f72 : + (D_op_mulxuu)? 56'h206d756c787575 : + (D_op_cmpge)? 56'h2020636d706765 : + (D_op_bret)? 56'h20202062726574 : + (D_op_ror)? 56'h20202020726f72 : + (D_op_flushi)? 56'h20666c75736869 : + (D_op_jmp)? 56'h202020206a6d70 : + (D_op_and)? 56'h20202020616e64 : + (D_op_cmplt)? 56'h2020636d706c74 : + (D_op_slli)? 56'h202020736c6c69 : + (D_op_sll)? 56'h20202020736c6c : + (D_op_or)? 56'h20202020206f72 : + (D_op_mulxsu)? 56'h206d756c787375 : + (D_op_cmpne)? 56'h2020636d706e65 : + (D_op_srli)? 56'h20202073726c69 : + (D_op_srl)? 56'h2020202073726c : + (D_op_nextpc)? 56'h206e6578747063 : + (D_op_callr)? 56'h202063616c6c72 : + (D_op_xor)? 56'h20202020786f72 : + (D_op_mulxss)? 56'h206d756c787373 : + (D_op_cmpeq)? 56'h2020636d706571 : + (D_op_divu)? 56'h20202064697675 : + (D_op_div)? 56'h20202020646976 : + (D_op_rdctl)? 56'h2020726463746c : + (D_op_mul)? 56'h202020206d756c : + (D_op_cmpgeu)? 56'h20636d70676575 : + (D_op_initi)? 56'h2020696e697469 : + (D_op_trap)? 56'h20202074726170 : + (D_op_wrctl)? 56'h2020777263746c : + (D_op_cmpltu)? 56'h20636d706c7475 : + (D_op_add)? 56'h20202020616464 : + (D_op_break)? 56'h2020627265616b : + (D_op_hbreak)? 56'h2068627265616b : + (D_op_sync)? 56'h20202073796e63 : + (D_op_sub)? 56'h20202020737562 : + (D_op_srai)? 56'h20202073726169 : + (D_op_sra)? 56'h20202020737261 : + (D_op_intr)? 56'h202020696e7472 : + 56'h20202020424144; + + assign F_vinst = F_valid ? F_inst : {7{8'h2d}}; + assign D_vinst = D_valid ? D_inst : {7{8'h2d}}; + assign R_vinst = R_valid ? D_inst : {7{8'h2d}}; + assign E_vinst = E_valid ? D_inst : {7{8'h2d}}; + assign W_vinst = W_valid ? D_inst : {7{8'h2d}}; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v b/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v new file mode 100644 index 0000000..7daaec7 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_sysclk.v @@ -0,0 +1,181 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_jtag_debug_module_sysclk ( + // inputs: + clk, + ir_in, + sr, + vs_udr, + vs_uir, + + // outputs: + jdo, + take_action_break_a, + take_action_break_b, + take_action_break_c, + take_action_ocimem_a, + take_action_ocimem_b, + take_action_tracectrl, + take_action_tracemem_a, + take_action_tracemem_b, + take_no_action_break_a, + take_no_action_break_b, + take_no_action_break_c, + take_no_action_ocimem_a, + take_no_action_tracemem_a + ) +; + + output [ 37: 0] jdo; + output take_action_break_a; + output take_action_break_b; + output take_action_break_c; + output take_action_ocimem_a; + output take_action_ocimem_b; + output take_action_tracectrl; + output take_action_tracemem_a; + output take_action_tracemem_b; + output take_no_action_break_a; + output take_no_action_break_b; + output take_no_action_break_c; + output take_no_action_ocimem_a; + output take_no_action_tracemem_a; + input clk; + input [ 1: 0] ir_in; + input [ 37: 0] sr; + input vs_udr; + input vs_uir; + + reg enable_action_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + reg [ 1: 0] ir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg [ 37: 0] jdo /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */; + reg jxuir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + reg sync2_udr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + reg sync2_uir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + wire sync_udr; + wire sync_uir; + wire take_action_break_a; + wire take_action_break_b; + wire take_action_break_c; + wire take_action_ocimem_a; + wire take_action_ocimem_b; + wire take_action_tracectrl; + wire take_action_tracemem_a; + wire take_action_tracemem_b; + wire take_no_action_break_a; + wire take_no_action_break_b; + wire take_no_action_break_c; + wire take_no_action_ocimem_a; + wire take_no_action_tracemem_a; + wire unxunused_resetxx3; + wire unxunused_resetxx4; + reg update_jdo_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */; + assign unxunused_resetxx3 = 1'b1; + altera_std_synchronizer the_altera_std_synchronizer3 + ( + .clk (clk), + .din (vs_udr), + .dout (sync_udr), + .reset_n (unxunused_resetxx3) + ); + + defparam the_altera_std_synchronizer3.depth = 2; + + assign unxunused_resetxx4 = 1'b1; + altera_std_synchronizer the_altera_std_synchronizer4 + ( + .clk (clk), + .din (vs_uir), + .dout (sync_uir), + .reset_n (unxunused_resetxx4) + ); + + defparam the_altera_std_synchronizer4.depth = 2; + + always @(posedge clk) + begin + sync2_udr <= sync_udr; + update_jdo_strobe <= sync_udr & ~sync2_udr; + enable_action_strobe <= update_jdo_strobe; + sync2_uir <= sync_uir; + jxuir <= sync_uir & ~sync2_uir; + end + + + assign take_action_ocimem_a = enable_action_strobe && (ir == 2'b00) && + ~jdo[35] && jdo[34]; + + assign take_no_action_ocimem_a = enable_action_strobe && (ir == 2'b00) && + ~jdo[35] && ~jdo[34]; + + assign take_action_ocimem_b = enable_action_strobe && (ir == 2'b00) && + jdo[35]; + + assign take_action_tracemem_a = enable_action_strobe && (ir == 2'b01) && + ~jdo[37] && + jdo[36]; + + assign take_no_action_tracemem_a = enable_action_strobe && (ir == 2'b01) && + ~jdo[37] && + ~jdo[36]; + + assign take_action_tracemem_b = enable_action_strobe && (ir == 2'b01) && + jdo[37]; + + assign take_action_break_a = enable_action_strobe && (ir == 2'b10) && + ~jdo[36] && + jdo[37]; + + assign take_no_action_break_a = enable_action_strobe && (ir == 2'b10) && + ~jdo[36] && + ~jdo[37]; + + assign take_action_break_b = enable_action_strobe && (ir == 2'b10) && + jdo[36] && ~jdo[35] && + jdo[37]; + + assign take_no_action_break_b = enable_action_strobe && (ir == 2'b10) && + jdo[36] && ~jdo[35] && + ~jdo[37]; + + assign take_action_break_c = enable_action_strobe && (ir == 2'b10) && + jdo[36] && jdo[35] && + jdo[37]; + + assign take_no_action_break_c = enable_action_strobe && (ir == 2'b10) && + jdo[36] && jdo[35] && + ~jdo[37]; + + assign take_action_tracectrl = enable_action_strobe && (ir == 2'b11) && + jdo[15]; + + always @(posedge clk) + begin + if (jxuir) + ir <= ir_in; + if (update_jdo_strobe) + jdo <= sr; + end + + + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_tck.v b/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_tck.v new file mode 100644 index 0000000..8e3a593 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_tck.v @@ -0,0 +1,239 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_jtag_debug_module_tck ( + // inputs: + MonDReg, + break_readreg, + dbrk_hit0_latch, + dbrk_hit1_latch, + dbrk_hit2_latch, + dbrk_hit3_latch, + debugack, + ir_in, + jtag_state_rti, + monitor_error, + monitor_ready, + reset_n, + resetlatch, + tck, + tdi, + tracemem_on, + tracemem_trcdata, + tracemem_tw, + trc_im_addr, + trc_on, + trc_wrap, + trigbrktype, + trigger_state_1, + vs_cdr, + vs_sdr, + vs_uir, + + // outputs: + ir_out, + jrst_n, + sr, + st_ready_test_idle, + tdo + ) +; + + output [ 1: 0] ir_out; + output jrst_n; + output [ 37: 0] sr; + output st_ready_test_idle; + output tdo; + input [ 31: 0] MonDReg; + input [ 31: 0] break_readreg; + input dbrk_hit0_latch; + input dbrk_hit1_latch; + input dbrk_hit2_latch; + input dbrk_hit3_latch; + input debugack; + input [ 1: 0] ir_in; + input jtag_state_rti; + input monitor_error; + input monitor_ready; + input reset_n; + input resetlatch; + input tck; + input tdi; + input tracemem_on; + input [ 35: 0] tracemem_trcdata; + input tracemem_tw; + input [ 6: 0] trc_im_addr; + input trc_on; + input trc_wrap; + input trigbrktype; + input trigger_state_1; + input vs_cdr; + input vs_sdr; + input vs_uir; + + reg [ 2: 0] DRsize /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire debugack_sync; + reg [ 1: 0] ir_out; + wire jrst_n; + wire monitor_ready_sync; + reg [ 37: 0] sr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */; + wire st_ready_test_idle; + wire tdo; + wire unxcomplemented_resetxx1; + wire unxcomplemented_resetxx2; + always @(posedge tck) + begin + if (vs_cdr) + case (ir_in) + + 2'b00: begin + sr[35] <= debugack_sync; + sr[34] <= monitor_error; + sr[33] <= resetlatch; + sr[32 : 1] <= MonDReg; + sr[0] <= monitor_ready_sync; + end // 2'b00 + + 2'b01: begin + sr[35 : 0] <= tracemem_trcdata; + sr[37] <= tracemem_tw; + sr[36] <= tracemem_on; + end // 2'b01 + + 2'b10: begin + sr[37] <= trigger_state_1; + sr[36] <= dbrk_hit3_latch; + sr[35] <= dbrk_hit2_latch; + sr[34] <= dbrk_hit1_latch; + sr[33] <= dbrk_hit0_latch; + sr[32 : 1] <= break_readreg; + sr[0] <= trigbrktype; + end // 2'b10 + + 2'b11: begin + sr[15 : 12] <= 1'b0; + sr[11 : 2] <= trc_im_addr; + sr[1] <= trc_wrap; + sr[0] <= trc_on; + end // 2'b11 + + endcase // ir_in + if (vs_sdr) + case (DRsize) + + 3'b000: begin + sr <= {tdi, sr[37 : 2], tdi}; + end // 3'b000 + + 3'b001: begin + sr <= {tdi, sr[37 : 9], tdi, sr[7 : 1]}; + end // 3'b001 + + 3'b010: begin + sr <= {tdi, sr[37 : 17], tdi, sr[15 : 1]}; + end // 3'b010 + + 3'b011: begin + sr <= {tdi, sr[37 : 33], tdi, sr[31 : 1]}; + end // 3'b011 + + 3'b100: begin + sr <= {tdi, sr[37], tdi, sr[35 : 1]}; + end // 3'b100 + + 3'b101: begin + sr <= {tdi, sr[37 : 1]}; + end // 3'b101 + + default: begin + sr <= {tdi, sr[37 : 2], tdi}; + end // default + + endcase // DRsize + if (vs_uir) + case (ir_in) + + 2'b00: begin + DRsize <= 3'b100; + end // 2'b00 + + 2'b01: begin + DRsize <= 3'b101; + end // 2'b01 + + 2'b10: begin + DRsize <= 3'b101; + end // 2'b10 + + 2'b11: begin + DRsize <= 3'b010; + end // 2'b11 + + endcase // ir_in + end + + + assign tdo = sr[0]; + assign st_ready_test_idle = jtag_state_rti; + assign unxcomplemented_resetxx1 = jrst_n; + altera_std_synchronizer the_altera_std_synchronizer1 + ( + .clk (tck), + .din (debugack), + .dout (debugack_sync), + .reset_n (unxcomplemented_resetxx1) + ); + + defparam the_altera_std_synchronizer1.depth = 2; + + assign unxcomplemented_resetxx2 = jrst_n; + altera_std_synchronizer the_altera_std_synchronizer2 + ( + .clk (tck), + .din (monitor_ready), + .dout (monitor_ready_sync), + .reset_n (unxcomplemented_resetxx2) + ); + + defparam the_altera_std_synchronizer2.depth = 2; + + always @(posedge tck or negedge jrst_n) + begin + if (jrst_n == 0) + ir_out <= 2'b0; + else + ir_out <= {debugack_sync, monitor_ready_sync}; + end + + + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + assign jrst_n = reset_n; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// assign jrst_n = 1; +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v b/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v new file mode 100644 index 0000000..1fd5cb7 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_jtag_debug_module_wrapper.v @@ -0,0 +1,233 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_jtag_debug_module_wrapper ( + // inputs: + MonDReg, + break_readreg, + clk, + dbrk_hit0_latch, + dbrk_hit1_latch, + dbrk_hit2_latch, + dbrk_hit3_latch, + debugack, + monitor_error, + monitor_ready, + reset_n, + resetlatch, + tracemem_on, + tracemem_trcdata, + tracemem_tw, + trc_im_addr, + trc_on, + trc_wrap, + trigbrktype, + trigger_state_1, + + // outputs: + jdo, + jrst_n, + st_ready_test_idle, + take_action_break_a, + take_action_break_b, + take_action_break_c, + take_action_ocimem_a, + take_action_ocimem_b, + take_action_tracectrl, + take_action_tracemem_a, + take_action_tracemem_b, + take_no_action_break_a, + take_no_action_break_b, + take_no_action_break_c, + take_no_action_ocimem_a, + take_no_action_tracemem_a + ) +; + + output [ 37: 0] jdo; + output jrst_n; + output st_ready_test_idle; + output take_action_break_a; + output take_action_break_b; + output take_action_break_c; + output take_action_ocimem_a; + output take_action_ocimem_b; + output take_action_tracectrl; + output take_action_tracemem_a; + output take_action_tracemem_b; + output take_no_action_break_a; + output take_no_action_break_b; + output take_no_action_break_c; + output take_no_action_ocimem_a; + output take_no_action_tracemem_a; + input [ 31: 0] MonDReg; + input [ 31: 0] break_readreg; + input clk; + input dbrk_hit0_latch; + input dbrk_hit1_latch; + input dbrk_hit2_latch; + input dbrk_hit3_latch; + input debugack; + input monitor_error; + input monitor_ready; + input reset_n; + input resetlatch; + input tracemem_on; + input [ 35: 0] tracemem_trcdata; + input tracemem_tw; + input [ 6: 0] trc_im_addr; + input trc_on; + input trc_wrap; + input trigbrktype; + input trigger_state_1; + + wire [ 37: 0] jdo; + wire jrst_n; + wire [ 37: 0] sr; + wire st_ready_test_idle; + wire take_action_break_a; + wire take_action_break_b; + wire take_action_break_c; + wire take_action_ocimem_a; + wire take_action_ocimem_b; + wire take_action_tracectrl; + wire take_action_tracemem_a; + wire take_action_tracemem_b; + wire take_no_action_break_a; + wire take_no_action_break_b; + wire take_no_action_break_c; + wire take_no_action_ocimem_a; + wire take_no_action_tracemem_a; + wire vji_cdr; + wire [ 1: 0] vji_ir_in; + wire [ 1: 0] vji_ir_out; + wire vji_rti; + wire vji_sdr; + wire vji_tck; + wire vji_tdi; + wire vji_tdo; + wire vji_udr; + wire vji_uir; + //Change the sld_virtual_jtag_basic's defparams to + //switch between a regular Nios II or an internally embedded Nios II. + //For a regular Nios II, sld_mfg_id = 70, sld_type_id = 34. + //For an internally embedded Nios II, slf_mfg_id = 110, sld_type_id = 135. + nios_system_nios2_processor_jtag_debug_module_tck the_nios_system_nios2_processor_jtag_debug_module_tck + ( + .MonDReg (MonDReg), + .break_readreg (break_readreg), + .dbrk_hit0_latch (dbrk_hit0_latch), + .dbrk_hit1_latch (dbrk_hit1_latch), + .dbrk_hit2_latch (dbrk_hit2_latch), + .dbrk_hit3_latch (dbrk_hit3_latch), + .debugack (debugack), + .ir_in (vji_ir_in), + .ir_out (vji_ir_out), + .jrst_n (jrst_n), + .jtag_state_rti (vji_rti), + .monitor_error (monitor_error), + .monitor_ready (monitor_ready), + .reset_n (reset_n), + .resetlatch (resetlatch), + .sr (sr), + .st_ready_test_idle (st_ready_test_idle), + .tck (vji_tck), + .tdi (vji_tdi), + .tdo (vji_tdo), + .tracemem_on (tracemem_on), + .tracemem_trcdata (tracemem_trcdata), + .tracemem_tw (tracemem_tw), + .trc_im_addr (trc_im_addr), + .trc_on (trc_on), + .trc_wrap (trc_wrap), + .trigbrktype (trigbrktype), + .trigger_state_1 (trigger_state_1), + .vs_cdr (vji_cdr), + .vs_sdr (vji_sdr), + .vs_uir (vji_uir) + ); + + nios_system_nios2_processor_jtag_debug_module_sysclk the_nios_system_nios2_processor_jtag_debug_module_sysclk + ( + .clk (clk), + .ir_in (vji_ir_in), + .jdo (jdo), + .sr (sr), + .take_action_break_a (take_action_break_a), + .take_action_break_b (take_action_break_b), + .take_action_break_c (take_action_break_c), + .take_action_ocimem_a (take_action_ocimem_a), + .take_action_ocimem_b (take_action_ocimem_b), + .take_action_tracectrl (take_action_tracectrl), + .take_action_tracemem_a (take_action_tracemem_a), + .take_action_tracemem_b (take_action_tracemem_b), + .take_no_action_break_a (take_no_action_break_a), + .take_no_action_break_b (take_no_action_break_b), + .take_no_action_break_c (take_no_action_break_c), + .take_no_action_ocimem_a (take_no_action_ocimem_a), + .take_no_action_tracemem_a (take_no_action_tracemem_a), + .vs_udr (vji_udr), + .vs_uir (vji_uir) + ); + + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + assign vji_tck = 1'b0; + assign vji_tdi = 1'b0; + assign vji_sdr = 1'b0; + assign vji_cdr = 1'b0; + assign vji_rti = 1'b0; + assign vji_uir = 1'b0; + assign vji_udr = 1'b0; + assign vji_ir_in = 2'b0; + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// sld_virtual_jtag_basic nios_system_nios2_processor_jtag_debug_module_phy +// ( +// .ir_in (vji_ir_in), +// .ir_out (vji_ir_out), +// .jtag_state_rti (vji_rti), +// .tck (vji_tck), +// .tdi (vji_tdi), +// .tdo (vji_tdo), +// .virtual_state_cdr (vji_cdr), +// .virtual_state_sdr (vji_sdr), +// .virtual_state_udr (vji_udr), +// .virtual_state_uir (vji_uir) +// ); +// +// defparam nios_system_nios2_processor_jtag_debug_module_phy.sld_auto_instance_index = "YES", +// nios_system_nios2_processor_jtag_debug_module_phy.sld_instance_index = 0, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_ir_width = 2, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_mfg_id = 70, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_sim_action = "", +// nios_system_nios2_processor_jtag_debug_module_phy.sld_sim_n_scan = 0, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_sim_total_length = 0, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_type_id = 34, +// nios_system_nios2_processor_jtag_debug_module_phy.sld_version = 3; +// +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_oci_test_bench.v b/nios_system/synthesis/submodules/nios_system_nios2_processor_oci_test_bench.v new file mode 100644 index 0000000..0046686 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_oci_test_bench.v @@ -0,0 +1,37 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_oci_test_bench ( + // inputs: + dct_buffer, + dct_count, + test_ending, + test_has_ended + ) +; + + input [ 29: 0] dct_buffer; + input [ 3: 0] dct_count; + input test_ending; + input test_has_ended; + + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_ociram_default_contents.mif b/nios_system/synthesis/submodules/nios_system_nios2_processor_ociram_default_contents.mif new file mode 100644 index 0000000..44481aa --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_ociram_default_contents.mif @@ -0,0 +1,267 @@ +-- Contents are randomly generated during RTL generation. +WIDTH=32; +DEPTH=256; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : 88997af9; +01 : abaae595; +02 : 32fd14d1; +03 : b66193c4; +04 : c6a6aa09; +05 : 0b43de5b; +06 : d1d93028; +07 : bcd08e2a; +08 : 1c8bae85; +09 : b11dad63; +0a : 864ddf62; +0b : 68301486; +0c : 51a3d8d0; +0d : 7af7d39e; +0e : 4761b503; +0f : 2a976e14; +10 : 98141041; +11 : 4c1f6471; +12 : 41dc0a35; +13 : 7d484ae3; +14 : 2a1329f3; +15 : 44ecf499; +16 : dccdd125; +17 : 240142e9; +18 : 3b7e4b05; +19 : bb92e762; +1a : 4594a3c5; +1b : ea0d940f; +1c : 66525d7c; +1d : 0f552242; +1e : 452bd52d; +1f : d1f4ed11; +20 : 5d590422; +21 : c8016b5f; +22 : 9ab94f07; +23 : 16bac9b4; +24 : fe569ae3; +25 : c6e1e6e7; +26 : 2ff19932; +27 : feb058ad; +28 : 1dcce651; +29 : e18b9bfb; +2a : e2f4fc64; +2b : 05d34847; +2c : 077a8143; +2d : 2ce4207f; +2e : 3f3e5113; +2f : c24d2803; +30 : e289b503; +31 : d16bcd4e; +32 : 57a841cf; +33 : 1194f754; +34 : 5c925a31; +35 : 40fd6946; +36 : e397e5d7; +37 : eada7553; +38 : eba8ec01; +39 : f5b39d0b; +3a : 88af39a3; +3b : 5b7f243e; +3c : 4f2bb4ba; +3d : 9451a234; +3e : 10fd984d; +3f : ad4ef4f7; +40 : 7fe97f8b; +41 : 08ea614d; +42 : 9f2c5cf4; +43 : 3f90b7a2; +44 : 8c2bc774; +45 : 45dd63a5; +46 : 3204329c; +47 : 9909be0d; +48 : be65c97b; +49 : 78f3d4a4; +4a : 3ee8b71c; +4b : 9e9a0de4; +4c : 56db426b; +4d : e6869d81; +4e : 20ab0652; +4f : 05d247ed; +50 : 1edccf12; +51 : 1e483b5a; +52 : 8e48ef1e; +53 : f19aefbf; +54 : 98335d23; +55 : 954ac923; +56 : 4679ced6; +57 : ae18d9b8; +58 : be57db48; +59 : 2af933e3; +5a : 3f04e244; +5b : 5d11c958; +5c : 65bda8cb; +5d : c53fe664; +5e : 797ceac8; +5f : aaa406e5; +60 : f785e24e; +61 : 95510077; +62 : 5b6f55a3; +63 : 2a3c749a; +64 : a92e6ae6; +65 : b2117fb0; +66 : 262a254e; +67 : b8c4da74; +68 : f69070ee; +69 : 9e7f80b8; +6a : 834528b4; +6b : 4aaf6d98; +6c : 96023372; +6d : d11663ed; +6e : 33a3c007; +6f : 0e7f06ee; +70 : 34385787; +71 : 2edfd7b0; +72 : 00d60e4b; +73 : 49535c30; +74 : e83f5c14; +75 : 5e0c4c59; +76 : 1d7b944a; +77 : 6ae69731; +78 : bf8414e4; +79 : 7451c212; +7a : 74ede6d2; +7b : 080eafa5; +7c : f21052d8; +7d : cc0819fb; +7e : 8993e5b6; +7f : e20f2df6; +80 : 0f267a65; +81 : 7a8e8407; +82 : e7be656d; +83 : 01ba4ca3; +84 : 7f998e44; +85 : 29d83420; +86 : 149f9a73; +87 : 643ae51e; +88 : 125714d3; +89 : 6e49dc21; +8a : 0b227946; +8b : 360a837d; +8c : b2187074; +8d : 17b0bdbd; +8e : 938fc73d; +8f : e73f501e; +90 : 70b5b87e; +91 : 2a2aed8a; +92 : f96cc881; +93 : 021b49e1; +94 : 8691600d; +95 : b45e1d12; +96 : 64d9644e; +97 : 486cbaf9; +98 : 386acf20; +99 : 0d1384d4; +9a : 62455f77; +9b : 866fde20; +9c : 006fecec; +9d : 94e84514; +9e : 7babc333; +9f : afaa8445; +a0 : b1175e3a; +a1 : e08de629; +a2 : 7f12a52d; +a3 : 0e322909; +a4 : 18784dc6; +a5 : b23bcc20; +a6 : 266c9e34; +a7 : c857eaf3; +a8 : 2ae3b164; +a9 : 038acf2a; +aa : c1abc60d; +ab : 8af787bd; +ac : 043723a9; +ad : c37c952d; +ae : 693a361f; +af : da4b8e99; +b0 : fb8fdb10; +b1 : 4d6365f2; +b2 : 712358e9; +b3 : 85dae0fa; +b4 : 7e82a418; +b5 : d3493768; +b6 : 739c65ec; +b7 : 73b66b19; +b8 : 22142816; +b9 : ff498322; +ba : 3266495e; +bb : e26e8214; +bc : c8c47131; +bd : 660793d8; +be : 689f8d69; +bf : faae340b; +c0 : 37518ba7; +c1 : f2865fe5; +c2 : 1bb44f3d; +c3 : 3bce44c5; +c4 : aff2d188; +c5 : 985442da; +c6 : 85bb58bd; +c7 : 0c53135d; +c8 : 495f80bc; +c9 : 853c95dc; +ca : dde937f1; +cb : 418f9452; +cc : 7669641c; +cd : 0e752434; +ce : b0fe17a7; +cf : d1be9b88; +d0 : cfbfeb76; +d1 : 80b48a11; +d2 : 9327c69e; +d3 : beca5a88; +d4 : e71d428f; +d5 : b318d275; +d6 : 56fea35e; +d7 : 140cd6bd; +d8 : b8c937ce; +d9 : 540eea36; +da : ee58fc7f; +db : 5615c389; +dc : 46692ad0; +dd : 5c713e51; +de : 6ba95f60; +df : 0e166732; +e0 : ac0e49f5; +e1 : c9a5ea76; +e2 : 05b04d86; +e3 : b29ac712; +e4 : 4e344493; +e5 : d45ede48; +e6 : 3da7e426; +e7 : 4d6a8937; +e8 : 99b59bd4; +e9 : 1f8a5751; +ea : 8b07e64e; +eb : b4dcd496; +ec : 42f84fe6; +ed : f1d5952f; +ee : a2e5a42d; +ef : 15b1af16; +f0 : 168012bc; +f1 : 2e276612; +f2 : 89913eaa; +f3 : c607a1a2; +f4 : fd8b544d; +f5 : aec31a53; +f6 : 25f958ad; +f7 : 365903ec; +f8 : 14761865; +f9 : 568cc23b; +fa : b0386305; +fb : fb9ebd8a; +fc : a25911d4; +fd : 806e3fbb; +fe : 9df35264; +ff : d62b3814; + +END; diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_rf_ram_a.mif b/nios_system/synthesis/submodules/nios_system_nios2_processor_rf_ram_a.mif new file mode 100644 index 0000000..7969f10 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_rf_ram_a.mif @@ -0,0 +1,42 @@ +WIDTH=32; +DEPTH=32; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : deadbeef; +01 : deadbeef; +02 : deadbeef; +03 : deadbeef; +04 : deadbeef; +05 : deadbeef; +06 : deadbeef; +07 : deadbeef; +08 : deadbeef; +09 : deadbeef; +0a : deadbeef; +0b : deadbeef; +0c : deadbeef; +0d : deadbeef; +0e : deadbeef; +0f : deadbeef; +10 : deadbeef; +11 : deadbeef; +12 : deadbeef; +13 : deadbeef; +14 : deadbeef; +15 : deadbeef; +16 : deadbeef; +17 : deadbeef; +18 : deadbeef; +19 : deadbeef; +1a : deadbeef; +1b : deadbeef; +1c : deadbeef; +1d : deadbeef; +1e : deadbeef; +1f : deadbeef; + +END; diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_rf_ram_b.mif b/nios_system/synthesis/submodules/nios_system_nios2_processor_rf_ram_b.mif new file mode 100644 index 0000000..7969f10 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_rf_ram_b.mif @@ -0,0 +1,42 @@ +WIDTH=32; +DEPTH=32; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : deadbeef; +01 : deadbeef; +02 : deadbeef; +03 : deadbeef; +04 : deadbeef; +05 : deadbeef; +06 : deadbeef; +07 : deadbeef; +08 : deadbeef; +09 : deadbeef; +0a : deadbeef; +0b : deadbeef; +0c : deadbeef; +0d : deadbeef; +0e : deadbeef; +0f : deadbeef; +10 : deadbeef; +11 : deadbeef; +12 : deadbeef; +13 : deadbeef; +14 : deadbeef; +15 : deadbeef; +16 : deadbeef; +17 : deadbeef; +18 : deadbeef; +19 : deadbeef; +1a : deadbeef; +1b : deadbeef; +1c : deadbeef; +1d : deadbeef; +1e : deadbeef; +1f : deadbeef; + +END; diff --git a/nios_system/synthesis/submodules/nios_system_nios2_processor_test_bench.v b/nios_system/synthesis/submodules/nios_system_nios2_processor_test_bench.v new file mode 100644 index 0000000..9ce093e --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_nios2_processor_test_bench.v @@ -0,0 +1,667 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_nios2_processor_test_bench ( + // inputs: + D_iw, + D_iw_op, + D_iw_opx, + D_valid, + E_valid, + F_pcb, + F_valid, + R_ctrl_ld, + R_ctrl_ld_non_io, + R_dst_regnum, + R_wr_dst_reg, + W_valid, + W_vinst, + W_wr_data, + av_ld_data_aligned_unfiltered, + clk, + d_address, + d_byteenable, + d_read, + d_write_nxt, + i_address, + i_read, + i_readdata, + i_waitrequest, + reset_n, + + // outputs: + av_ld_data_aligned_filtered, + d_write, + test_has_ended + ) +; + + output [ 31: 0] av_ld_data_aligned_filtered; + output d_write; + output test_has_ended; + input [ 31: 0] D_iw; + input [ 5: 0] D_iw_op; + input [ 5: 0] D_iw_opx; + input D_valid; + input E_valid; + input [ 18: 0] F_pcb; + input F_valid; + input R_ctrl_ld; + input R_ctrl_ld_non_io; + input [ 4: 0] R_dst_regnum; + input R_wr_dst_reg; + input W_valid; + input [ 55: 0] W_vinst; + input [ 31: 0] W_wr_data; + input [ 31: 0] av_ld_data_aligned_unfiltered; + input clk; + input [ 18: 0] d_address; + input [ 3: 0] d_byteenable; + input d_read; + input d_write_nxt; + input [ 18: 0] i_address; + input i_read; + input [ 31: 0] i_readdata; + input i_waitrequest; + input reset_n; + + wire D_op_add; + wire D_op_addi; + wire D_op_and; + wire D_op_andhi; + wire D_op_andi; + wire D_op_beq; + wire D_op_bge; + wire D_op_bgeu; + wire D_op_blt; + wire D_op_bltu; + wire D_op_bne; + wire D_op_br; + wire D_op_break; + wire D_op_bret; + wire D_op_call; + wire D_op_callr; + wire D_op_cmpeq; + wire D_op_cmpeqi; + wire D_op_cmpge; + wire D_op_cmpgei; + wire D_op_cmpgeu; + wire D_op_cmpgeui; + wire D_op_cmplt; + wire D_op_cmplti; + wire D_op_cmpltu; + wire D_op_cmpltui; + wire D_op_cmpne; + wire D_op_cmpnei; + wire D_op_crst; + wire D_op_custom; + wire D_op_div; + wire D_op_divu; + wire D_op_eret; + wire D_op_flushd; + wire D_op_flushda; + wire D_op_flushi; + wire D_op_flushp; + wire D_op_hbreak; + wire D_op_initd; + wire D_op_initda; + wire D_op_initi; + wire D_op_intr; + wire D_op_jmp; + wire D_op_jmpi; + wire D_op_ldb; + wire D_op_ldbio; + wire D_op_ldbu; + wire D_op_ldbuio; + wire D_op_ldh; + wire D_op_ldhio; + wire D_op_ldhu; + wire D_op_ldhuio; + wire D_op_ldl; + wire D_op_ldw; + wire D_op_ldwio; + wire D_op_mul; + wire D_op_muli; + wire D_op_mulxss; + wire D_op_mulxsu; + wire D_op_mulxuu; + wire D_op_nextpc; + wire D_op_nor; + wire D_op_opx; + wire D_op_or; + wire D_op_orhi; + wire D_op_ori; + wire D_op_rdctl; + wire D_op_rdprs; + wire D_op_ret; + wire D_op_rol; + wire D_op_roli; + wire D_op_ror; + wire D_op_rsv02; + wire D_op_rsv09; + wire D_op_rsv10; + wire D_op_rsv17; + wire D_op_rsv18; + wire D_op_rsv25; + wire D_op_rsv26; + wire D_op_rsv33; + wire D_op_rsv34; + wire D_op_rsv41; + wire D_op_rsv42; + wire D_op_rsv49; + wire D_op_rsv57; + wire D_op_rsv61; + wire D_op_rsv62; + wire D_op_rsv63; + wire D_op_rsvx00; + wire D_op_rsvx10; + wire D_op_rsvx15; + wire D_op_rsvx17; + wire D_op_rsvx21; + wire D_op_rsvx25; + wire D_op_rsvx33; + wire D_op_rsvx34; + wire D_op_rsvx35; + wire D_op_rsvx42; + wire D_op_rsvx43; + wire D_op_rsvx44; + wire D_op_rsvx47; + wire D_op_rsvx50; + wire D_op_rsvx51; + wire D_op_rsvx55; + wire D_op_rsvx56; + wire D_op_rsvx60; + wire D_op_rsvx63; + wire D_op_sll; + wire D_op_slli; + wire D_op_sra; + wire D_op_srai; + wire D_op_srl; + wire D_op_srli; + wire D_op_stb; + wire D_op_stbio; + wire D_op_stc; + wire D_op_sth; + wire D_op_sthio; + wire D_op_stw; + wire D_op_stwio; + wire D_op_sub; + wire D_op_sync; + wire D_op_trap; + wire D_op_wrctl; + wire D_op_wrprs; + wire D_op_xor; + wire D_op_xorhi; + wire D_op_xori; + wire [ 31: 0] av_ld_data_aligned_filtered; + wire av_ld_data_aligned_unfiltered_0_is_x; + wire av_ld_data_aligned_unfiltered_10_is_x; + wire av_ld_data_aligned_unfiltered_11_is_x; + wire av_ld_data_aligned_unfiltered_12_is_x; + wire av_ld_data_aligned_unfiltered_13_is_x; + wire av_ld_data_aligned_unfiltered_14_is_x; + wire av_ld_data_aligned_unfiltered_15_is_x; + wire av_ld_data_aligned_unfiltered_16_is_x; + wire av_ld_data_aligned_unfiltered_17_is_x; + wire av_ld_data_aligned_unfiltered_18_is_x; + wire av_ld_data_aligned_unfiltered_19_is_x; + wire av_ld_data_aligned_unfiltered_1_is_x; + wire av_ld_data_aligned_unfiltered_20_is_x; + wire av_ld_data_aligned_unfiltered_21_is_x; + wire av_ld_data_aligned_unfiltered_22_is_x; + wire av_ld_data_aligned_unfiltered_23_is_x; + wire av_ld_data_aligned_unfiltered_24_is_x; + wire av_ld_data_aligned_unfiltered_25_is_x; + wire av_ld_data_aligned_unfiltered_26_is_x; + wire av_ld_data_aligned_unfiltered_27_is_x; + wire av_ld_data_aligned_unfiltered_28_is_x; + wire av_ld_data_aligned_unfiltered_29_is_x; + wire av_ld_data_aligned_unfiltered_2_is_x; + wire av_ld_data_aligned_unfiltered_30_is_x; + wire av_ld_data_aligned_unfiltered_31_is_x; + wire av_ld_data_aligned_unfiltered_3_is_x; + wire av_ld_data_aligned_unfiltered_4_is_x; + wire av_ld_data_aligned_unfiltered_5_is_x; + wire av_ld_data_aligned_unfiltered_6_is_x; + wire av_ld_data_aligned_unfiltered_7_is_x; + wire av_ld_data_aligned_unfiltered_8_is_x; + wire av_ld_data_aligned_unfiltered_9_is_x; + reg d_write; + wire test_has_ended; + assign D_op_call = D_iw_op == 0; + assign D_op_jmpi = D_iw_op == 1; + assign D_op_ldbu = D_iw_op == 3; + assign D_op_addi = D_iw_op == 4; + assign D_op_stb = D_iw_op == 5; + assign D_op_br = D_iw_op == 6; + assign D_op_ldb = D_iw_op == 7; + assign D_op_cmpgei = D_iw_op == 8; + assign D_op_ldhu = D_iw_op == 11; + assign D_op_andi = D_iw_op == 12; + assign D_op_sth = D_iw_op == 13; + assign D_op_bge = D_iw_op == 14; + assign D_op_ldh = D_iw_op == 15; + assign D_op_cmplti = D_iw_op == 16; + assign D_op_initda = D_iw_op == 19; + assign D_op_ori = D_iw_op == 20; + assign D_op_stw = D_iw_op == 21; + assign D_op_blt = D_iw_op == 22; + assign D_op_ldw = D_iw_op == 23; + assign D_op_cmpnei = D_iw_op == 24; + assign D_op_flushda = D_iw_op == 27; + assign D_op_xori = D_iw_op == 28; + assign D_op_stc = D_iw_op == 29; + assign D_op_bne = D_iw_op == 30; + assign D_op_ldl = D_iw_op == 31; + assign D_op_cmpeqi = D_iw_op == 32; + assign D_op_ldbuio = D_iw_op == 35; + assign D_op_muli = D_iw_op == 36; + assign D_op_stbio = D_iw_op == 37; + assign D_op_beq = D_iw_op == 38; + assign D_op_ldbio = D_iw_op == 39; + assign D_op_cmpgeui = D_iw_op == 40; + assign D_op_ldhuio = D_iw_op == 43; + assign D_op_andhi = D_iw_op == 44; + assign D_op_sthio = D_iw_op == 45; + assign D_op_bgeu = D_iw_op == 46; + assign D_op_ldhio = D_iw_op == 47; + assign D_op_cmpltui = D_iw_op == 48; + assign D_op_initd = D_iw_op == 51; + assign D_op_orhi = D_iw_op == 52; + assign D_op_stwio = D_iw_op == 53; + assign D_op_bltu = D_iw_op == 54; + assign D_op_ldwio = D_iw_op == 55; + assign D_op_rdprs = D_iw_op == 56; + assign D_op_flushd = D_iw_op == 59; + assign D_op_xorhi = D_iw_op == 60; + assign D_op_rsv02 = D_iw_op == 2; + assign D_op_rsv09 = D_iw_op == 9; + assign D_op_rsv10 = D_iw_op == 10; + assign D_op_rsv17 = D_iw_op == 17; + assign D_op_rsv18 = D_iw_op == 18; + assign D_op_rsv25 = D_iw_op == 25; + assign D_op_rsv26 = D_iw_op == 26; + assign D_op_rsv33 = D_iw_op == 33; + assign D_op_rsv34 = D_iw_op == 34; + assign D_op_rsv41 = D_iw_op == 41; + assign D_op_rsv42 = D_iw_op == 42; + assign D_op_rsv49 = D_iw_op == 49; + assign D_op_rsv57 = D_iw_op == 57; + assign D_op_rsv61 = D_iw_op == 61; + assign D_op_rsv62 = D_iw_op == 62; + assign D_op_rsv63 = D_iw_op == 63; + assign D_op_eret = D_op_opx & (D_iw_opx == 1); + assign D_op_roli = D_op_opx & (D_iw_opx == 2); + assign D_op_rol = D_op_opx & (D_iw_opx == 3); + assign D_op_flushp = D_op_opx & (D_iw_opx == 4); + assign D_op_ret = D_op_opx & (D_iw_opx == 5); + assign D_op_nor = D_op_opx & (D_iw_opx == 6); + assign D_op_mulxuu = D_op_opx & (D_iw_opx == 7); + assign D_op_cmpge = D_op_opx & (D_iw_opx == 8); + assign D_op_bret = D_op_opx & (D_iw_opx == 9); + assign D_op_ror = D_op_opx & (D_iw_opx == 11); + assign D_op_flushi = D_op_opx & (D_iw_opx == 12); + assign D_op_jmp = D_op_opx & (D_iw_opx == 13); + assign D_op_and = D_op_opx & (D_iw_opx == 14); + assign D_op_cmplt = D_op_opx & (D_iw_opx == 16); + assign D_op_slli = D_op_opx & (D_iw_opx == 18); + assign D_op_sll = D_op_opx & (D_iw_opx == 19); + assign D_op_wrprs = D_op_opx & (D_iw_opx == 20); + assign D_op_or = D_op_opx & (D_iw_opx == 22); + assign D_op_mulxsu = D_op_opx & (D_iw_opx == 23); + assign D_op_cmpne = D_op_opx & (D_iw_opx == 24); + assign D_op_srli = D_op_opx & (D_iw_opx == 26); + assign D_op_srl = D_op_opx & (D_iw_opx == 27); + assign D_op_nextpc = D_op_opx & (D_iw_opx == 28); + assign D_op_callr = D_op_opx & (D_iw_opx == 29); + assign D_op_xor = D_op_opx & (D_iw_opx == 30); + assign D_op_mulxss = D_op_opx & (D_iw_opx == 31); + assign D_op_cmpeq = D_op_opx & (D_iw_opx == 32); + assign D_op_divu = D_op_opx & (D_iw_opx == 36); + assign D_op_div = D_op_opx & (D_iw_opx == 37); + assign D_op_rdctl = D_op_opx & (D_iw_opx == 38); + assign D_op_mul = D_op_opx & (D_iw_opx == 39); + assign D_op_cmpgeu = D_op_opx & (D_iw_opx == 40); + assign D_op_initi = D_op_opx & (D_iw_opx == 41); + assign D_op_trap = D_op_opx & (D_iw_opx == 45); + assign D_op_wrctl = D_op_opx & (D_iw_opx == 46); + assign D_op_cmpltu = D_op_opx & (D_iw_opx == 48); + assign D_op_add = D_op_opx & (D_iw_opx == 49); + assign D_op_break = D_op_opx & (D_iw_opx == 52); + assign D_op_hbreak = D_op_opx & (D_iw_opx == 53); + assign D_op_sync = D_op_opx & (D_iw_opx == 54); + assign D_op_sub = D_op_opx & (D_iw_opx == 57); + assign D_op_srai = D_op_opx & (D_iw_opx == 58); + assign D_op_sra = D_op_opx & (D_iw_opx == 59); + assign D_op_intr = D_op_opx & (D_iw_opx == 61); + assign D_op_crst = D_op_opx & (D_iw_opx == 62); + assign D_op_rsvx00 = D_op_opx & (D_iw_opx == 0); + assign D_op_rsvx10 = D_op_opx & (D_iw_opx == 10); + assign D_op_rsvx15 = D_op_opx & (D_iw_opx == 15); + assign D_op_rsvx17 = D_op_opx & (D_iw_opx == 17); + assign D_op_rsvx21 = D_op_opx & (D_iw_opx == 21); + assign D_op_rsvx25 = D_op_opx & (D_iw_opx == 25); + assign D_op_rsvx33 = D_op_opx & (D_iw_opx == 33); + assign D_op_rsvx34 = D_op_opx & (D_iw_opx == 34); + assign D_op_rsvx35 = D_op_opx & (D_iw_opx == 35); + assign D_op_rsvx42 = D_op_opx & (D_iw_opx == 42); + assign D_op_rsvx43 = D_op_opx & (D_iw_opx == 43); + assign D_op_rsvx44 = D_op_opx & (D_iw_opx == 44); + assign D_op_rsvx47 = D_op_opx & (D_iw_opx == 47); + assign D_op_rsvx50 = D_op_opx & (D_iw_opx == 50); + assign D_op_rsvx51 = D_op_opx & (D_iw_opx == 51); + assign D_op_rsvx55 = D_op_opx & (D_iw_opx == 55); + assign D_op_rsvx56 = D_op_opx & (D_iw_opx == 56); + assign D_op_rsvx60 = D_op_opx & (D_iw_opx == 60); + assign D_op_rsvx63 = D_op_opx & (D_iw_opx == 63); + assign D_op_opx = D_iw_op == 58; + assign D_op_custom = D_iw_op == 50; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + d_write <= 0; + else + d_write <= d_write_nxt; + end + + + assign test_has_ended = 1'b0; + +//synthesis translate_off +//////////////// SIMULATION-ONLY CONTENTS + //Clearing 'X' data bits + assign av_ld_data_aligned_unfiltered_0_is_x = ^(av_ld_data_aligned_unfiltered[0]) === 1'bx; + + assign av_ld_data_aligned_filtered[0] = (av_ld_data_aligned_unfiltered_0_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[0]; + assign av_ld_data_aligned_unfiltered_1_is_x = ^(av_ld_data_aligned_unfiltered[1]) === 1'bx; + assign av_ld_data_aligned_filtered[1] = (av_ld_data_aligned_unfiltered_1_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[1]; + assign av_ld_data_aligned_unfiltered_2_is_x = ^(av_ld_data_aligned_unfiltered[2]) === 1'bx; + assign av_ld_data_aligned_filtered[2] = (av_ld_data_aligned_unfiltered_2_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[2]; + assign av_ld_data_aligned_unfiltered_3_is_x = ^(av_ld_data_aligned_unfiltered[3]) === 1'bx; + assign av_ld_data_aligned_filtered[3] = (av_ld_data_aligned_unfiltered_3_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[3]; + assign av_ld_data_aligned_unfiltered_4_is_x = ^(av_ld_data_aligned_unfiltered[4]) === 1'bx; + assign av_ld_data_aligned_filtered[4] = (av_ld_data_aligned_unfiltered_4_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[4]; + assign av_ld_data_aligned_unfiltered_5_is_x = ^(av_ld_data_aligned_unfiltered[5]) === 1'bx; + assign av_ld_data_aligned_filtered[5] = (av_ld_data_aligned_unfiltered_5_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[5]; + assign av_ld_data_aligned_unfiltered_6_is_x = ^(av_ld_data_aligned_unfiltered[6]) === 1'bx; + assign av_ld_data_aligned_filtered[6] = (av_ld_data_aligned_unfiltered_6_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[6]; + assign av_ld_data_aligned_unfiltered_7_is_x = ^(av_ld_data_aligned_unfiltered[7]) === 1'bx; + assign av_ld_data_aligned_filtered[7] = (av_ld_data_aligned_unfiltered_7_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[7]; + assign av_ld_data_aligned_unfiltered_8_is_x = ^(av_ld_data_aligned_unfiltered[8]) === 1'bx; + assign av_ld_data_aligned_filtered[8] = (av_ld_data_aligned_unfiltered_8_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[8]; + assign av_ld_data_aligned_unfiltered_9_is_x = ^(av_ld_data_aligned_unfiltered[9]) === 1'bx; + assign av_ld_data_aligned_filtered[9] = (av_ld_data_aligned_unfiltered_9_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[9]; + assign av_ld_data_aligned_unfiltered_10_is_x = ^(av_ld_data_aligned_unfiltered[10]) === 1'bx; + assign av_ld_data_aligned_filtered[10] = (av_ld_data_aligned_unfiltered_10_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[10]; + assign av_ld_data_aligned_unfiltered_11_is_x = ^(av_ld_data_aligned_unfiltered[11]) === 1'bx; + assign av_ld_data_aligned_filtered[11] = (av_ld_data_aligned_unfiltered_11_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[11]; + assign av_ld_data_aligned_unfiltered_12_is_x = ^(av_ld_data_aligned_unfiltered[12]) === 1'bx; + assign av_ld_data_aligned_filtered[12] = (av_ld_data_aligned_unfiltered_12_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[12]; + assign av_ld_data_aligned_unfiltered_13_is_x = ^(av_ld_data_aligned_unfiltered[13]) === 1'bx; + assign av_ld_data_aligned_filtered[13] = (av_ld_data_aligned_unfiltered_13_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[13]; + assign av_ld_data_aligned_unfiltered_14_is_x = ^(av_ld_data_aligned_unfiltered[14]) === 1'bx; + assign av_ld_data_aligned_filtered[14] = (av_ld_data_aligned_unfiltered_14_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[14]; + assign av_ld_data_aligned_unfiltered_15_is_x = ^(av_ld_data_aligned_unfiltered[15]) === 1'bx; + assign av_ld_data_aligned_filtered[15] = (av_ld_data_aligned_unfiltered_15_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[15]; + assign av_ld_data_aligned_unfiltered_16_is_x = ^(av_ld_data_aligned_unfiltered[16]) === 1'bx; + assign av_ld_data_aligned_filtered[16] = (av_ld_data_aligned_unfiltered_16_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[16]; + assign av_ld_data_aligned_unfiltered_17_is_x = ^(av_ld_data_aligned_unfiltered[17]) === 1'bx; + assign av_ld_data_aligned_filtered[17] = (av_ld_data_aligned_unfiltered_17_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[17]; + assign av_ld_data_aligned_unfiltered_18_is_x = ^(av_ld_data_aligned_unfiltered[18]) === 1'bx; + assign av_ld_data_aligned_filtered[18] = (av_ld_data_aligned_unfiltered_18_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[18]; + assign av_ld_data_aligned_unfiltered_19_is_x = ^(av_ld_data_aligned_unfiltered[19]) === 1'bx; + assign av_ld_data_aligned_filtered[19] = (av_ld_data_aligned_unfiltered_19_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[19]; + assign av_ld_data_aligned_unfiltered_20_is_x = ^(av_ld_data_aligned_unfiltered[20]) === 1'bx; + assign av_ld_data_aligned_filtered[20] = (av_ld_data_aligned_unfiltered_20_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[20]; + assign av_ld_data_aligned_unfiltered_21_is_x = ^(av_ld_data_aligned_unfiltered[21]) === 1'bx; + assign av_ld_data_aligned_filtered[21] = (av_ld_data_aligned_unfiltered_21_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[21]; + assign av_ld_data_aligned_unfiltered_22_is_x = ^(av_ld_data_aligned_unfiltered[22]) === 1'bx; + assign av_ld_data_aligned_filtered[22] = (av_ld_data_aligned_unfiltered_22_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[22]; + assign av_ld_data_aligned_unfiltered_23_is_x = ^(av_ld_data_aligned_unfiltered[23]) === 1'bx; + assign av_ld_data_aligned_filtered[23] = (av_ld_data_aligned_unfiltered_23_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[23]; + assign av_ld_data_aligned_unfiltered_24_is_x = ^(av_ld_data_aligned_unfiltered[24]) === 1'bx; + assign av_ld_data_aligned_filtered[24] = (av_ld_data_aligned_unfiltered_24_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[24]; + assign av_ld_data_aligned_unfiltered_25_is_x = ^(av_ld_data_aligned_unfiltered[25]) === 1'bx; + assign av_ld_data_aligned_filtered[25] = (av_ld_data_aligned_unfiltered_25_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[25]; + assign av_ld_data_aligned_unfiltered_26_is_x = ^(av_ld_data_aligned_unfiltered[26]) === 1'bx; + assign av_ld_data_aligned_filtered[26] = (av_ld_data_aligned_unfiltered_26_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[26]; + assign av_ld_data_aligned_unfiltered_27_is_x = ^(av_ld_data_aligned_unfiltered[27]) === 1'bx; + assign av_ld_data_aligned_filtered[27] = (av_ld_data_aligned_unfiltered_27_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[27]; + assign av_ld_data_aligned_unfiltered_28_is_x = ^(av_ld_data_aligned_unfiltered[28]) === 1'bx; + assign av_ld_data_aligned_filtered[28] = (av_ld_data_aligned_unfiltered_28_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[28]; + assign av_ld_data_aligned_unfiltered_29_is_x = ^(av_ld_data_aligned_unfiltered[29]) === 1'bx; + assign av_ld_data_aligned_filtered[29] = (av_ld_data_aligned_unfiltered_29_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[29]; + assign av_ld_data_aligned_unfiltered_30_is_x = ^(av_ld_data_aligned_unfiltered[30]) === 1'bx; + assign av_ld_data_aligned_filtered[30] = (av_ld_data_aligned_unfiltered_30_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[30]; + assign av_ld_data_aligned_unfiltered_31_is_x = ^(av_ld_data_aligned_unfiltered[31]) === 1'bx; + assign av_ld_data_aligned_filtered[31] = (av_ld_data_aligned_unfiltered_31_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[31]; + always @(posedge clk) + begin + if (reset_n) + if (^(F_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/F_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(D_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/D_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(E_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/E_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(W_valid) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/W_valid is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid) + if (^(R_wr_dst_reg) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/R_wr_dst_reg is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_wr_dst_reg) + if (^(W_wr_data) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/W_wr_data is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_wr_dst_reg) + if (^(R_dst_regnum) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/R_dst_regnum is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(d_write) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_write is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (d_write) + if (^(d_byteenable) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_byteenable is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (d_write | d_read) + if (^(d_address) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_address is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(d_read) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/d_read is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk) + begin + if (reset_n) + if (^(i_read) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/i_read is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (i_read) + if (^(i_address) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/i_address is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (i_read & ~i_waitrequest) + if (^(i_readdata) === 1'bx) + begin + $write("%0d ns: ERROR: nios_system_nios2_processor_test_bench/i_readdata is 'x'\n", $time); + $stop; + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_ctrl_ld) + if (^(av_ld_data_aligned_unfiltered) === 1'bx) + begin + $write("%0d ns: WARNING: nios_system_nios2_processor_test_bench/av_ld_data_aligned_unfiltered is 'x'\n", $time); + end + end + + + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + begin + end + else if (W_valid & R_wr_dst_reg) + if (^(W_wr_data) === 1'bx) + begin + $write("%0d ns: WARNING: nios_system_nios2_processor_test_bench/W_wr_data is 'x'\n", $time); + end + end + + + +//////////////// END SIMULATION-ONLY CONTENTS + +//synthesis translate_on +//synthesis read_comments_as_HDL on +// +// assign av_ld_data_aligned_filtered = av_ld_data_aligned_unfiltered; +// +//synthesis read_comments_as_HDL off + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_onchip_memory.hex b/nios_system/synthesis/submodules/nios_system_onchip_memory.hex new file mode 100644 index 0000000..e265501 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_onchip_memory.hex @@ -0,0 +1,51201 @@ +:0400000000000000FC +:0400010000000000FB +:0400020000000000FA +:0400030000000000F9 +:0400040000000000F8 +:0400050000000000F7 +:0400060000000000F6 +:0400070000000000F5 +:0400080000000000F4 +:0400090000000000F3 +:04000A0000000000F2 +:04000B0000000000F1 +:04000C0000000000F0 +:04000D0000000000EF +:04000E0000000000EE +:04000F0000000000ED +:0400100000000000EC +:0400110000000000EB +:0400120000000000EA +:0400130000000000E9 +:0400140000000000E8 +:0400150000000000E7 +:0400160000000000E6 +:0400170000000000E5 +:0400180000000000E4 +:0400190000000000E3 +:04001A0000000000E2 +:04001B0000000000E1 +:04001C0000000000E0 +:04001D0000000000DF +:04001E0000000000DE +:04001F0000000000DD +:0400200000000000DC +:0400210000000000DB +:0400220000000000DA +:0400230000000000D9 +:0400240000000000D8 +:0400250000000000D7 +:0400260000000000D6 +:0400270000000000D5 +:0400280000000000D4 +:0400290000000000D3 +:04002A0000000000D2 +:04002B0000000000D1 +:04002C0000000000D0 +:04002D0000000000CF +:04002E0000000000CE +:04002F0000000000CD +:0400300000000000CC +:0400310000000000CB +:0400320000000000CA +:0400330000000000C9 +:0400340000000000C8 +:0400350000000000C7 +:0400360000000000C6 +:0400370000000000C5 +:0400380000000000C4 +:0400390000000000C3 +:04003A0000000000C2 +:04003B0000000000C1 +:04003C0000000000C0 +:04003D0000000000BF +:04003E0000000000BE +:04003F0000000000BD +:0400400000000000BC +:0400410000000000BB +:0400420000000000BA +:0400430000000000B9 +:0400440000000000B8 +:0400450000000000B7 +:0400460000000000B6 +:0400470000000000B5 +:0400480000000000B4 +:0400490000000000B3 +:04004A0000000000B2 +:04004B0000000000B1 +:04004C0000000000B0 +:04004D0000000000AF +:04004E0000000000AE +:04004F0000000000AD +:0400500000000000AC +:0400510000000000AB +:0400520000000000AA +:0400530000000000A9 +:0400540000000000A8 +:0400550000000000A7 +:0400560000000000A6 +:0400570000000000A5 +:0400580000000000A4 +:0400590000000000A3 +:04005A0000000000A2 +:04005B0000000000A1 +:04005C0000000000A0 +:04005D00000000009F +:04005E00000000009E +:04005F00000000009D +:04006000000000009C +:04006100000000009B +:04006200000000009A +:040063000000000099 +:040064000000000098 +:040065000000000097 +:040066000000000096 +:040067000000000095 +:040068000000000094 +:040069000000000093 +:04006A000000000092 +:04006B000000000091 +:04006C000000000090 +:04006D00000000008F +:04006E00000000008E +:04006F00000000008D +:04007000000000008C +:04007100000000008B +:04007200000000008A +:040073000000000089 +:040074000000000088 +:040075000000000087 +:040076000000000086 +:040077000000000085 +:040078000000000084 +:040079000000000083 +:04007A000000000082 +:04007B000000000081 +:04007C000000000080 +:04007D00000000007F +:04007E00000000007E +:04007F00000000007D +:04008000000000007C +:04008100000000007B +:04008200000000007A +:040083000000000079 +:040084000000000078 +:040085000000000077 +:040086000000000076 +:040087000000000075 +:040088000000000074 +:040089000000000073 +:04008A000000000072 +:04008B000000000071 +:04008C000000000070 +:04008D00000000006F +:04008E00000000006E +:04008F00000000006D +:04009000000000006C +:04009100000000006B +:04009200000000006A +:040093000000000069 +:040094000000000068 +:040095000000000067 +:040096000000000066 +:040097000000000065 +:040098000000000064 +:040099000000000063 +:04009A000000000062 +:04009B000000000061 +:04009C000000000060 +:04009D00000000005F +:04009E00000000005E +:04009F00000000005D +:0400A000000000005C +:0400A100000000005B +:0400A200000000005A +:0400A3000000000059 +:0400A4000000000058 +:0400A5000000000057 +:0400A6000000000056 +:0400A7000000000055 +:0400A8000000000054 +:0400A9000000000053 +:0400AA000000000052 +:0400AB000000000051 +:0400AC000000000050 +:0400AD00000000004F +:0400AE00000000004E +:0400AF00000000004D +:0400B000000000004C +:0400B100000000004B +:0400B200000000004A +:0400B3000000000049 +:0400B4000000000048 +:0400B5000000000047 +:0400B6000000000046 +:0400B7000000000045 +:0400B8000000000044 +:0400B9000000000043 +:0400BA000000000042 +:0400BB000000000041 +:0400BC000000000040 +:0400BD00000000003F +:0400BE00000000003E +:0400BF00000000003D +:0400C000000000003C +:0400C100000000003B +:0400C200000000003A +:0400C3000000000039 +:0400C4000000000038 +:0400C5000000000037 +:0400C6000000000036 +:0400C7000000000035 +:0400C8000000000034 +:0400C9000000000033 +:0400CA000000000032 +:0400CB000000000031 +:0400CC000000000030 +:0400CD00000000002F +:0400CE00000000002E +:0400CF00000000002D +:0400D000000000002C +:0400D100000000002B +:0400D200000000002A +:0400D3000000000029 +:0400D4000000000028 +:0400D5000000000027 +:0400D6000000000026 +:0400D7000000000025 +:0400D8000000000024 +:0400D9000000000023 +:0400DA000000000022 +:0400DB000000000021 +:0400DC000000000020 +:0400DD00000000001F +:0400DE00000000001E +:0400DF00000000001D +:0400E000000000001C +:0400E100000000001B +:0400E200000000001A +:0400E3000000000019 +:0400E4000000000018 +:0400E5000000000017 +:0400E6000000000016 +:0400E7000000000015 +:0400E8000000000014 +:0400E9000000000013 +:0400EA000000000012 +:0400EB000000000011 +:0400EC000000000010 +:0400ED00000000000F +:0400EE00000000000E +:0400EF00000000000D +:0400F000000000000C +:0400F100000000000B +:0400F200000000000A +:0400F3000000000009 +:0400F4000000000008 +:0400F5000000000007 +:0400F6000000000006 +:0400F7000000000005 +:0400F8000000000004 +:0400F9000000000003 +:0400FA000000000002 +:0400FB000000000001 +:0400FC000000000000 +:0400FD0000000000FF +:0400FE0000000000FE +:0400FF0000000000FD +:0401000000000000FB +:0401010000000000FA +:0401020000000000F9 +:0401030000000000F8 +:0401040000000000F7 +:0401050000000000F6 +:0401060000000000F5 +:0401070000000000F4 +:0401080000000000F3 +:0401090000000000F2 +:04010A0000000000F1 +:04010B0000000000F0 +:04010C0000000000EF +:04010D0000000000EE +:04010E0000000000ED +:04010F0000000000EC +:0401100000000000EB +:0401110000000000EA +:0401120000000000E9 +:0401130000000000E8 +:0401140000000000E7 +:0401150000000000E6 +:0401160000000000E5 +:0401170000000000E4 +:0401180000000000E3 +:0401190000000000E2 +:04011A0000000000E1 +:04011B0000000000E0 +:04011C0000000000DF +:04011D0000000000DE +:04011E0000000000DD +:04011F0000000000DC +:0401200000000000DB +:0401210000000000DA +:0401220000000000D9 +:0401230000000000D8 +:0401240000000000D7 +:0401250000000000D6 +:0401260000000000D5 +:0401270000000000D4 +:0401280000000000D3 +:0401290000000000D2 +:04012A0000000000D1 +:04012B0000000000D0 +:04012C0000000000CF +:04012D0000000000CE +:04012E0000000000CD +:04012F0000000000CC +:0401300000000000CB +:0401310000000000CA +:0401320000000000C9 +:0401330000000000C8 +:0401340000000000C7 +:0401350000000000C6 +:0401360000000000C5 +:0401370000000000C4 +:0401380000000000C3 +:0401390000000000C2 +:04013A0000000000C1 +:04013B0000000000C0 +:04013C0000000000BF +:04013D0000000000BE +:04013E0000000000BD +:04013F0000000000BC +:0401400000000000BB +:0401410000000000BA +:0401420000000000B9 +:0401430000000000B8 +:0401440000000000B7 +:0401450000000000B6 +:0401460000000000B5 +:0401470000000000B4 +:0401480000000000B3 +:0401490000000000B2 +:04014A0000000000B1 +:04014B0000000000B0 +:04014C0000000000AF +:04014D0000000000AE +:04014E0000000000AD +:04014F0000000000AC +:0401500000000000AB +:0401510000000000AA +:0401520000000000A9 +:0401530000000000A8 +:0401540000000000A7 +:0401550000000000A6 +:0401560000000000A5 +:0401570000000000A4 +:0401580000000000A3 +:0401590000000000A2 +:04015A0000000000A1 +:04015B0000000000A0 +:04015C00000000009F +:04015D00000000009E +:04015E00000000009D +:04015F00000000009C +:04016000000000009B +:04016100000000009A +:040162000000000099 +:040163000000000098 +:040164000000000097 +:040165000000000096 +:040166000000000095 +:040167000000000094 +:040168000000000093 +:040169000000000092 +:04016A000000000091 +:04016B000000000090 +:04016C00000000008F +:04016D00000000008E +:04016E00000000008D +:04016F00000000008C +:04017000000000008B +:04017100000000008A +:040172000000000089 +:040173000000000088 +:040174000000000087 +:040175000000000086 +:040176000000000085 +:040177000000000084 +:040178000000000083 +:040179000000000082 +:04017A000000000081 +:04017B000000000080 +:04017C00000000007F +:04017D00000000007E +:04017E00000000007D +:04017F00000000007C +:04018000000000007B +:04018100000000007A +:040182000000000079 +:040183000000000078 +:040184000000000077 +:040185000000000076 +:040186000000000075 +:040187000000000074 +:040188000000000073 +:040189000000000072 +:04018A000000000071 +:04018B000000000070 +:04018C00000000006F +:04018D00000000006E +:04018E00000000006D +:04018F00000000006C +:04019000000000006B +:04019100000000006A +:040192000000000069 +:040193000000000068 +:040194000000000067 +:040195000000000066 +:040196000000000065 +:040197000000000064 +:040198000000000063 +:040199000000000062 +:04019A000000000061 +:04019B000000000060 +:04019C00000000005F +:04019D00000000005E +:04019E00000000005D +:04019F00000000005C +:0401A000000000005B +:0401A100000000005A +:0401A2000000000059 +:0401A3000000000058 +:0401A4000000000057 +:0401A5000000000056 +:0401A6000000000055 +:0401A7000000000054 +:0401A8000000000053 +:0401A9000000000052 +:0401AA000000000051 +:0401AB000000000050 +:0401AC00000000004F +:0401AD00000000004E +:0401AE00000000004D +:0401AF00000000004C +:0401B000000000004B +:0401B100000000004A +:0401B2000000000049 +:0401B3000000000048 +:0401B4000000000047 +:0401B5000000000046 +:0401B6000000000045 +:0401B7000000000044 +:0401B8000000000043 +:0401B9000000000042 +:0401BA000000000041 +:0401BB000000000040 +:0401BC00000000003F +:0401BD00000000003E +:0401BE00000000003D +:0401BF00000000003C +:0401C000000000003B +:0401C100000000003A +:0401C2000000000039 +:0401C3000000000038 +:0401C4000000000037 +:0401C5000000000036 +:0401C6000000000035 +:0401C7000000000034 +:0401C8000000000033 +:0401C9000000000032 +:0401CA000000000031 +:0401CB000000000030 +:0401CC00000000002F +:0401CD00000000002E +:0401CE00000000002D +:0401CF00000000002C +:0401D000000000002B +:0401D100000000002A +:0401D2000000000029 +:0401D3000000000028 +:0401D4000000000027 +:0401D5000000000026 +:0401D6000000000025 +:0401D7000000000024 +:0401D8000000000023 +:0401D9000000000022 +:0401DA000000000021 +:0401DB000000000020 +:0401DC00000000001F +:0401DD00000000001E +:0401DE00000000001D +:0401DF00000000001C +:0401E000000000001B +:0401E100000000001A +:0401E2000000000019 +:0401E3000000000018 +:0401E4000000000017 +:0401E5000000000016 +:0401E6000000000015 +:0401E7000000000014 +:0401E8000000000013 +:0401E9000000000012 +:0401EA000000000011 +:0401EB000000000010 +:0401EC00000000000F +:0401ED00000000000E +:0401EE00000000000D +:0401EF00000000000C +:0401F000000000000B +:0401F100000000000A +:0401F2000000000009 +:0401F3000000000008 +:0401F4000000000007 +:0401F5000000000006 +:0401F6000000000005 +:0401F7000000000004 +:0401F8000000000003 +:0401F9000000000002 +:0401FA000000000001 +:0401FB000000000000 +:0401FC0000000000FF +:0401FD0000000000FE +:0401FE0000000000FD +:0401FF0000000000FC +:0402000000000000FA +:0402010000000000F9 +:0402020000000000F8 +:0402030000000000F7 +:0402040000000000F6 +:0402050000000000F5 +:0402060000000000F4 +:0402070000000000F3 +:0402080000000000F2 +:0402090000000000F1 +:04020A0000000000F0 +:04020B0000000000EF +:04020C0000000000EE +:04020D0000000000ED +:04020E0000000000EC +:04020F0000000000EB +:0402100000000000EA +:0402110000000000E9 +:0402120000000000E8 +:0402130000000000E7 +:0402140000000000E6 +:0402150000000000E5 +:0402160000000000E4 +:0402170000000000E3 +:0402180000000000E2 +:0402190000000000E1 +:04021A0000000000E0 +:04021B0000000000DF +:04021C0000000000DE +:04021D0000000000DD +:04021E0000000000DC +:04021F0000000000DB +:0402200000000000DA +:0402210000000000D9 +:0402220000000000D8 +:0402230000000000D7 +:0402240000000000D6 +:0402250000000000D5 +:0402260000000000D4 +:0402270000000000D3 +:0402280000000000D2 +:0402290000000000D1 +:04022A0000000000D0 +:04022B0000000000CF +:04022C0000000000CE +:04022D0000000000CD +:04022E0000000000CC +:04022F0000000000CB +:0402300000000000CA +:0402310000000000C9 +:0402320000000000C8 +:0402330000000000C7 +:0402340000000000C6 +:0402350000000000C5 +:0402360000000000C4 +:0402370000000000C3 +:0402380000000000C2 +:0402390000000000C1 +:04023A0000000000C0 +:04023B0000000000BF +:04023C0000000000BE +:04023D0000000000BD +:04023E0000000000BC +:04023F0000000000BB +:0402400000000000BA +:0402410000000000B9 +:0402420000000000B8 +:0402430000000000B7 +:0402440000000000B6 +:0402450000000000B5 +:0402460000000000B4 +:0402470000000000B3 +:0402480000000000B2 +:0402490000000000B1 +:04024A0000000000B0 +:04024B0000000000AF +:04024C0000000000AE +:04024D0000000000AD +:04024E0000000000AC +:04024F0000000000AB +:0402500000000000AA +:0402510000000000A9 +:0402520000000000A8 +:0402530000000000A7 +:0402540000000000A6 +:0402550000000000A5 +:0402560000000000A4 +:0402570000000000A3 +:0402580000000000A2 +:0402590000000000A1 +:04025A0000000000A0 +:04025B00000000009F +:04025C00000000009E +:04025D00000000009D +:04025E00000000009C +:04025F00000000009B +:04026000000000009A +:040261000000000099 +:040262000000000098 +:040263000000000097 +:040264000000000096 +:040265000000000095 +:040266000000000094 +:040267000000000093 +:040268000000000092 +:040269000000000091 +:04026A000000000090 +:04026B00000000008F +:04026C00000000008E +:04026D00000000008D +:04026E00000000008C +:04026F00000000008B +:04027000000000008A +:040271000000000089 +:040272000000000088 +:040273000000000087 +:040274000000000086 +:040275000000000085 +:040276000000000084 +:040277000000000083 +:040278000000000082 +:040279000000000081 +:04027A000000000080 +:04027B00000000007F +:04027C00000000007E +:04027D00000000007D +:04027E00000000007C +:04027F00000000007B +:04028000000000007A +:040281000000000079 +:040282000000000078 +:040283000000000077 +:040284000000000076 +:040285000000000075 +:040286000000000074 +:040287000000000073 +:040288000000000072 +:040289000000000071 +:04028A000000000070 +:04028B00000000006F +:04028C00000000006E +:04028D00000000006D +:04028E00000000006C +:04028F00000000006B +:04029000000000006A +:040291000000000069 +:040292000000000068 +:040293000000000067 +:040294000000000066 +:040295000000000065 +:040296000000000064 +:040297000000000063 +:040298000000000062 +:040299000000000061 +:04029A000000000060 +:04029B00000000005F +:04029C00000000005E +:04029D00000000005D +:04029E00000000005C +:04029F00000000005B +:0402A000000000005A +:0402A1000000000059 +:0402A2000000000058 +:0402A3000000000057 +:0402A4000000000056 +:0402A5000000000055 +:0402A6000000000054 +:0402A7000000000053 +:0402A8000000000052 +:0402A9000000000051 +:0402AA000000000050 +:0402AB00000000004F +:0402AC00000000004E +:0402AD00000000004D +:0402AE00000000004C +:0402AF00000000004B +:0402B000000000004A +:0402B1000000000049 +:0402B2000000000048 +:0402B3000000000047 +:0402B4000000000046 +:0402B5000000000045 +:0402B6000000000044 +:0402B7000000000043 +:0402B8000000000042 +:0402B9000000000041 +:0402BA000000000040 +:0402BB00000000003F +:0402BC00000000003E +:0402BD00000000003D +:0402BE00000000003C +:0402BF00000000003B +:0402C000000000003A +:0402C1000000000039 +:0402C2000000000038 +:0402C3000000000037 +:0402C4000000000036 +:0402C5000000000035 +:0402C6000000000034 +:0402C7000000000033 +:0402C8000000000032 +:0402C9000000000031 +:0402CA000000000030 +:0402CB00000000002F +:0402CC00000000002E +:0402CD00000000002D +:0402CE00000000002C +:0402CF00000000002B +:0402D000000000002A +:0402D1000000000029 +:0402D2000000000028 +:0402D3000000000027 +:0402D4000000000026 +:0402D5000000000025 +:0402D6000000000024 +:0402D7000000000023 +:0402D8000000000022 +:0402D9000000000021 +:0402DA000000000020 +:0402DB00000000001F +:0402DC00000000001E +:0402DD00000000001D +:0402DE00000000001C +:0402DF00000000001B +:0402E000000000001A +:0402E1000000000019 +:0402E2000000000018 +:0402E3000000000017 +:0402E4000000000016 +:0402E5000000000015 +:0402E6000000000014 +:0402E7000000000013 +:0402E8000000000012 +:0402E9000000000011 +:0402EA000000000010 +:0402EB00000000000F +:0402EC00000000000E +:0402ED00000000000D +:0402EE00000000000C +:0402EF00000000000B +:0402F000000000000A +:0402F1000000000009 +:0402F2000000000008 +:0402F3000000000007 +:0402F4000000000006 +:0402F5000000000005 +:0402F6000000000004 +:0402F7000000000003 +:0402F8000000000002 +:0402F9000000000001 +:0402FA000000000000 +:0402FB0000000000FF +:0402FC0000000000FE +:0402FD0000000000FD +:0402FE0000000000FC +:0402FF0000000000FB +:0403000000000000F9 +:0403010000000000F8 +:0403020000000000F7 +:0403030000000000F6 +:0403040000000000F5 +:0403050000000000F4 +:0403060000000000F3 +:0403070000000000F2 +:0403080000000000F1 +:0403090000000000F0 +:04030A0000000000EF +:04030B0000000000EE +:04030C0000000000ED +:04030D0000000000EC +:04030E0000000000EB +:04030F0000000000EA +:0403100000000000E9 +:0403110000000000E8 +:0403120000000000E7 +:0403130000000000E6 +:0403140000000000E5 +:0403150000000000E4 +:0403160000000000E3 +:0403170000000000E2 +:0403180000000000E1 +:0403190000000000E0 +:04031A0000000000DF +:04031B0000000000DE +:04031C0000000000DD +:04031D0000000000DC +:04031E0000000000DB +:04031F0000000000DA +:0403200000000000D9 +:0403210000000000D8 +:0403220000000000D7 +:0403230000000000D6 +:0403240000000000D5 +:0403250000000000D4 +:0403260000000000D3 +:0403270000000000D2 +:0403280000000000D1 +:0403290000000000D0 +:04032A0000000000CF +:04032B0000000000CE +:04032C0000000000CD +:04032D0000000000CC +:04032E0000000000CB +:04032F0000000000CA +:0403300000000000C9 +:0403310000000000C8 +:0403320000000000C7 +:0403330000000000C6 +:0403340000000000C5 +:0403350000000000C4 +:0403360000000000C3 +:0403370000000000C2 +:0403380000000000C1 +:0403390000000000C0 +:04033A0000000000BF +:04033B0000000000BE +:04033C0000000000BD +:04033D0000000000BC +:04033E0000000000BB +:04033F0000000000BA +:0403400000000000B9 +:0403410000000000B8 +:0403420000000000B7 +:0403430000000000B6 +:0403440000000000B5 +:0403450000000000B4 +:0403460000000000B3 +:0403470000000000B2 +:0403480000000000B1 +:0403490000000000B0 +:04034A0000000000AF +:04034B0000000000AE +:04034C0000000000AD +:04034D0000000000AC +:04034E0000000000AB +:04034F0000000000AA +:0403500000000000A9 +:0403510000000000A8 +:0403520000000000A7 +:0403530000000000A6 +:0403540000000000A5 +:0403550000000000A4 +:0403560000000000A3 +:0403570000000000A2 +:0403580000000000A1 +:0403590000000000A0 +:04035A00000000009F +:04035B00000000009E +:04035C00000000009D +:04035D00000000009C +:04035E00000000009B +:04035F00000000009A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000000091 +:040369000000000090 +:04036A00000000008F +:04036B00000000008E +:04036C00000000008D +:04036D00000000008C +:04036E00000000008B +:04036F00000000008A +:040370000000000089 +:040371000000000088 +:040372000000000087 +:040373000000000086 +:040374000000000085 +:040375000000000084 +:040376000000000083 +:040377000000000082 +:040378000000000081 +:040379000000000080 +:04037A00000000007F +:04037B00000000007E +:04037C00000000007D +:04037D00000000007C +:04037E00000000007B +:04037F00000000007A +:040380000000000079 +:040381000000000078 +:040382000000000077 +:040383000000000076 +:040384000000000075 +:040385000000000074 +:040386000000000073 +:040387000000000072 +:040388000000000071 +:040389000000000070 +:04038A00000000006F +:04038B00000000006E +:04038C00000000006D +:04038D00000000006C +:04038E00000000006B +:04038F00000000006A +:040390000000000069 +:040391000000000068 +:040392000000000067 +:040393000000000066 +:040394000000000065 +:040395000000000064 +:040396000000000063 +:040397000000000062 +:040398000000000061 +:040399000000000060 +:04039A00000000005F +:04039B00000000005E +:04039C00000000005D +:04039D00000000005C +:04039E00000000005B +:04039F00000000005A +:0403A0000000000059 +:0403A1000000000058 +:0403A2000000000057 +:0403A3000000000056 +:0403A4000000000055 +:0403A5000000000054 +:0403A6000000000053 +:0403A7000000000052 +:0403A8000000000051 +:0403A9000000000050 +:0403AA00000000004F +:0403AB00000000004E +:0403AC00000000004D +:0403AD00000000004C +:0403AE00000000004B +:0403AF00000000004A +:0403B0000000000049 +:0403B1000000000048 +:0403B2000000000047 +:0403B3000000000046 +:0403B4000000000045 +:0403B5000000000044 +:0403B6000000000043 +:0403B7000000000042 +:0403B8000000000041 +:0403B9000000000040 +:0403BA00000000003F +:0403BB00000000003E +:0403BC00000000003D +:0403BD00000000003C +:0403BE00000000003B +:0403BF00000000003A +:0403C0000000000039 +:0403C1000000000038 +:0403C2000000000037 +:0403C3000000000036 +:0403C4000000000035 +:0403C5000000000034 +:0403C6000000000033 +:0403C7000000000032 +:0403C8000000000031 +:0403C9000000000030 +:0403CA00000000002F +:0403CB00000000002E +:0403CC00000000002D +:0403CD00000000002C +:0403CE00000000002B +:0403CF00000000002A +:0403D0000000000029 +:0403D1000000000028 +:0403D2000000000027 +:0403D3000000000026 +:0403D4000000000025 +:0403D5000000000024 +:0403D6000000000023 +:0403D7000000000022 +:0403D8000000000021 +:0403D9000000000020 +:0403DA00000000001F +:0403DB00000000001E +:0403DC00000000001D +:0403DD00000000001C +:0403DE00000000001B +:0403DF00000000001A +:0403E0000000000019 +:0403E1000000000018 +:0403E2000000000017 +:0403E3000000000016 +:0403E4000000000015 +:0403E5000000000014 +:0403E6000000000013 +:0403E7000000000012 +:0403E8000000000011 +:0403E9000000000010 +:0403EA00000000000F +:0403EB00000000000E +:0403EC00000000000D +:0403ED00000000000C +:0403EE00000000000B +:0403EF00000000000A +:0403F0000000000009 +:0403F1000000000008 +:0403F2000000000007 +:0403F3000000000006 +:0403F4000000000005 +:0403F5000000000004 +:0403F6000000000003 +:0403F7000000000002 +:0403F8000000000001 +:0403F9000000000000 +:0403FA0000000000FF +:0403FB0000000000FE +:0403FC0000000000FD +:0403FD0000000000FC +:0403FE0000000000FB +:0403FF0000000000FA +:0404000000000000F8 +:0404010000000000F7 +:0404020000000000F6 +:0404030000000000F5 +:0404040000000000F4 +:0404050000000000F3 +:0404060000000000F2 +:0404070000000000F1 +:0404080000000000F0 +:0404090000000000EF +:04040A0000000000EE +:04040B0000000000ED +:04040C0000000000EC +:04040D0000000000EB +:04040E0000000000EA +:04040F0000000000E9 +:0404100000000000E8 +:0404110000000000E7 +:0404120000000000E6 +:0404130000000000E5 +:0404140000000000E4 +:0404150000000000E3 +:0404160000000000E2 +:0404170000000000E1 +:0404180000000000E0 +:0404190000000000DF +:04041A0000000000DE +:04041B0000000000DD +:04041C0000000000DC +:04041D0000000000DB +:04041E0000000000DA +:04041F0000000000D9 +:0404200000000000D8 +:0404210000000000D7 +:0404220000000000D6 +:0404230000000000D5 +:0404240000000000D4 +:0404250000000000D3 +:0404260000000000D2 +:0404270000000000D1 +:0404280000000000D0 +:0404290000000000CF +:04042A0000000000CE +:04042B0000000000CD +:04042C0000000000CC +:04042D0000000000CB +:04042E0000000000CA +:04042F0000000000C9 +:0404300000000000C8 +:0404310000000000C7 +:0404320000000000C6 +:0404330000000000C5 +:0404340000000000C4 +:0404350000000000C3 +:0404360000000000C2 +:0404370000000000C1 +:0404380000000000C0 +:0404390000000000BF +:04043A0000000000BE +:04043B0000000000BD +:04043C0000000000BC +:04043D0000000000BB +:04043E0000000000BA +:04043F0000000000B9 +:0404400000000000B8 +:0404410000000000B7 +:0404420000000000B6 +:0404430000000000B5 +:0404440000000000B4 +:0404450000000000B3 +:0404460000000000B2 +:0404470000000000B1 +:0404480000000000B0 +:0404490000000000AF +:04044A0000000000AE +:04044B0000000000AD +:04044C0000000000AC +:04044D0000000000AB +:04044E0000000000AA +:04044F0000000000A9 +:0404500000000000A8 +:0404510000000000A7 +:0404520000000000A6 +:0404530000000000A5 +:0404540000000000A4 +:0404550000000000A3 +:0404560000000000A2 +:0404570000000000A1 +:0404580000000000A0 +:04045900000000009F +:04045A00000000009E +:04045B00000000009D +:04045C00000000009C +:04045D00000000009B +:04045E00000000009A +:04045F000000000099 +:040460000000000098 +:040461000000000097 +:040462000000000096 +:040463000000000095 +:040464000000000094 +:040465000000000093 +:040466000000000092 +:040467000000000091 +:040468000000000090 +:04046900000000008F +:04046A00000000008E +:04046B00000000008D +:04046C00000000008C +:04046D00000000008B +:04046E00000000008A +:04046F000000000089 +:040470000000000088 +:040471000000000087 +:040472000000000086 +:040473000000000085 +:040474000000000084 +:040475000000000083 +:040476000000000082 +:040477000000000081 +:040478000000000080 +:04047900000000007F +:04047A00000000007E +:04047B00000000007D +:04047C00000000007C +:04047D00000000007B +:04047E00000000007A +:04047F000000000079 +:040480000000000078 +:040481000000000077 +:040482000000000076 +:040483000000000075 +:040484000000000074 +:040485000000000073 +:040486000000000072 +:040487000000000071 +:040488000000000070 +:04048900000000006F +:04048A00000000006E +:04048B00000000006D +:04048C00000000006C +:04048D00000000006B +:04048E00000000006A +:04048F000000000069 +:040490000000000068 +:040491000000000067 +:040492000000000066 +:040493000000000065 +:040494000000000064 +:040495000000000063 +:040496000000000062 +:040497000000000061 +:040498000000000060 +:04049900000000005F +:04049A00000000005E +:04049B00000000005D +:04049C00000000005C +:04049D00000000005B +:04049E00000000005A +:04049F000000000059 +:0404A0000000000058 +:0404A1000000000057 +:0404A2000000000056 +:0404A3000000000055 +:0404A4000000000054 +:0404A5000000000053 +:0404A6000000000052 +:0404A7000000000051 +:0404A8000000000050 +:0404A900000000004F +:0404AA00000000004E +:0404AB00000000004D +:0404AC00000000004C +:0404AD00000000004B +:0404AE00000000004A +:0404AF000000000049 +:0404B0000000000048 +:0404B1000000000047 +:0404B2000000000046 +:0404B3000000000045 +:0404B4000000000044 +:0404B5000000000043 +:0404B6000000000042 +:0404B7000000000041 +:0404B8000000000040 +:0404B900000000003F +:0404BA00000000003E +:0404BB00000000003D +:0404BC00000000003C +:0404BD00000000003B +:0404BE00000000003A +:0404BF000000000039 +:0404C0000000000038 +:0404C1000000000037 +:0404C2000000000036 +:0404C3000000000035 +:0404C4000000000034 +:0404C5000000000033 +:0404C6000000000032 +:0404C7000000000031 +:0404C8000000000030 +:0404C900000000002F +:0404CA00000000002E +:0404CB00000000002D +:0404CC00000000002C +:0404CD00000000002B +:0404CE00000000002A +:0404CF000000000029 +:0404D0000000000028 +:0404D1000000000027 +:0404D2000000000026 +:0404D3000000000025 +:0404D4000000000024 +:0404D5000000000023 +:0404D6000000000022 +:0404D7000000000021 +:0404D8000000000020 +:0404D900000000001F +:0404DA00000000001E +:0404DB00000000001D +:0404DC00000000001C +:0404DD00000000001B +:0404DE00000000001A +:0404DF000000000019 +:0404E0000000000018 +:0404E1000000000017 +:0404E2000000000016 +:0404E3000000000015 +:0404E4000000000014 +:0404E5000000000013 +:0404E6000000000012 +:0404E7000000000011 +:0404E8000000000010 +:0404E900000000000F +:0404EA00000000000E +:0404EB00000000000D +:0404EC00000000000C +:0404ED00000000000B +:0404EE00000000000A +:0404EF000000000009 +:0404F0000000000008 +:0404F1000000000007 +:0404F2000000000006 +:0404F3000000000005 +:0404F4000000000004 +:0404F5000000000003 +:0404F6000000000002 +:0404F7000000000001 +:0404F8000000000000 +:0404F90000000000FF +:0404FA0000000000FE +:0404FB0000000000FD +:0404FC0000000000FC +:0404FD0000000000FB +:0404FE0000000000FA +:0404FF0000000000F9 +:0405000000000000F7 +:0405010000000000F6 +:0405020000000000F5 +:0405030000000000F4 +:0405040000000000F3 +:0405050000000000F2 +:0405060000000000F1 +:0405070000000000F0 +:0405080000000000EF +:0405090000000000EE +:04050A0000000000ED +:04050B0000000000EC +:04050C0000000000EB +:04050D0000000000EA +:04050E0000000000E9 +:04050F0000000000E8 +:0405100000000000E7 +:0405110000000000E6 +:0405120000000000E5 +:0405130000000000E4 +:0405140000000000E3 +:0405150000000000E2 +:0405160000000000E1 +:0405170000000000E0 +:0405180000000000DF +:0405190000000000DE +:04051A0000000000DD +:04051B0000000000DC +:04051C0000000000DB +:04051D0000000000DA +:04051E0000000000D9 +:04051F0000000000D8 +:0405200000000000D7 +:0405210000000000D6 +:0405220000000000D5 +:0405230000000000D4 +:0405240000000000D3 +:0405250000000000D2 +:0405260000000000D1 +:0405270000000000D0 +:0405280000000000CF +:0405290000000000CE +:04052A0000000000CD +:04052B0000000000CC +:04052C0000000000CB +:04052D0000000000CA +:04052E0000000000C9 +:04052F0000000000C8 +:0405300000000000C7 +:0405310000000000C6 +:0405320000000000C5 +:0405330000000000C4 +:0405340000000000C3 +:0405350000000000C2 +:0405360000000000C1 +:0405370000000000C0 +:0405380000000000BF +:0405390000000000BE +:04053A0000000000BD +:04053B0000000000BC +:04053C0000000000BB +:04053D0000000000BA +:04053E0000000000B9 +:04053F0000000000B8 +:0405400000000000B7 +:0405410000000000B6 +:0405420000000000B5 +:0405430000000000B4 +:0405440000000000B3 +:0405450000000000B2 +:0405460000000000B1 +:0405470000000000B0 +:0405480000000000AF +:0405490000000000AE +:04054A0000000000AD +:04054B0000000000AC +:04054C0000000000AB +:04054D0000000000AA +:04054E0000000000A9 +:04054F0000000000A8 +:0405500000000000A7 +:0405510000000000A6 +:0405520000000000A5 +:0405530000000000A4 +:0405540000000000A3 +:0405550000000000A2 +:0405560000000000A1 +:0405570000000000A0 +:04055800000000009F +:04055900000000009E +:04055A00000000009D +:04055B00000000009C +:04055C00000000009B +:04055D00000000009A +:04055E000000000099 +:04055F000000000098 +:040560000000000097 +:040561000000000096 +:040562000000000095 +:040563000000000094 +:040564000000000093 +:040565000000000092 +:040566000000000091 +:040567000000000090 +:04056800000000008F +:04056900000000008E +:04056A00000000008D +:04056B00000000008C +:04056C00000000008B +:04056D00000000008A +:04056E000000000089 +:04056F000000000088 +:040570000000000087 +:040571000000000086 +:040572000000000085 +:040573000000000084 +:040574000000000083 +:040575000000000082 +:040576000000000081 +:040577000000000080 +:04057800000000007F +:04057900000000007E +:04057A00000000007D +:04057B00000000007C +:04057C00000000007B +:04057D00000000007A +:04057E000000000079 +:04057F000000000078 +:040580000000000077 +:040581000000000076 +:040582000000000075 +:040583000000000074 +:040584000000000073 +:040585000000000072 +:040586000000000071 +:040587000000000070 +:04058800000000006F +:04058900000000006E +:04058A00000000006D +:04058B00000000006C +:04058C00000000006B +:04058D00000000006A +:04058E000000000069 +:04058F000000000068 +:040590000000000067 +:040591000000000066 +:040592000000000065 +:040593000000000064 +:040594000000000063 +:040595000000000062 +:040596000000000061 +:040597000000000060 +:04059800000000005F +:04059900000000005E +:04059A00000000005D +:04059B00000000005C +:04059C00000000005B +:04059D00000000005A +:04059E000000000059 +:04059F000000000058 +:0405A0000000000057 +:0405A1000000000056 +:0405A2000000000055 +:0405A3000000000054 +:0405A4000000000053 +:0405A5000000000052 +:0405A6000000000051 +:0405A7000000000050 +:0405A800000000004F +:0405A900000000004E +:0405AA00000000004D +:0405AB00000000004C +:0405AC00000000004B +:0405AD00000000004A +:0405AE000000000049 +:0405AF000000000048 +:0405B0000000000047 +:0405B1000000000046 +:0405B2000000000045 +:0405B3000000000044 +:0405B4000000000043 +:0405B5000000000042 +:0405B6000000000041 +:0405B7000000000040 +:0405B800000000003F +:0405B900000000003E +:0405BA00000000003D +:0405BB00000000003C +:0405BC00000000003B +:0405BD00000000003A +:0405BE000000000039 +:0405BF000000000038 +:0405C0000000000037 +:0405C1000000000036 +:0405C2000000000035 +:0405C3000000000034 +:0405C4000000000033 +:0405C5000000000032 +:0405C6000000000031 +:0405C7000000000030 +:0405C800000000002F +:0405C900000000002E +:0405CA00000000002D +:0405CB00000000002C +:0405CC00000000002B +:0405CD00000000002A +:0405CE000000000029 +:0405CF000000000028 +:0405D0000000000027 +:0405D1000000000026 +:0405D2000000000025 +:0405D3000000000024 +:0405D4000000000023 +:0405D5000000000022 +:0405D6000000000021 +:0405D7000000000020 +:0405D800000000001F +:0405D900000000001E +:0405DA00000000001D +:0405DB00000000001C +:0405DC00000000001B +:0405DD00000000001A +:0405DE000000000019 +:0405DF000000000018 +:0405E0000000000017 +:0405E1000000000016 +:0405E2000000000015 +:0405E3000000000014 +:0405E4000000000013 +:0405E5000000000012 +:0405E6000000000011 +:0405E7000000000010 +:0405E800000000000F +:0405E900000000000E +:0405EA00000000000D +:0405EB00000000000C +:0405EC00000000000B +:0405ED00000000000A +:0405EE000000000009 +:0405EF000000000008 +:0405F0000000000007 +:0405F1000000000006 +:0405F2000000000005 +:0405F3000000000004 +:0405F4000000000003 +:0405F5000000000002 +:0405F6000000000001 +:0405F7000000000000 +:0405F80000000000FF +:0405F90000000000FE +:0405FA0000000000FD +:0405FB0000000000FC +:0405FC0000000000FB +:0405FD0000000000FA +:0405FE0000000000F9 +:0405FF0000000000F8 +:0406000000000000F6 +:0406010000000000F5 +:0406020000000000F4 +:0406030000000000F3 +:0406040000000000F2 +:0406050000000000F1 +:0406060000000000F0 +:0406070000000000EF +:0406080000000000EE +:0406090000000000ED +:04060A0000000000EC +:04060B0000000000EB +:04060C0000000000EA +:04060D0000000000E9 +:04060E0000000000E8 +:04060F0000000000E7 +:0406100000000000E6 +:0406110000000000E5 +:0406120000000000E4 +:0406130000000000E3 +:0406140000000000E2 +:0406150000000000E1 +:0406160000000000E0 +:0406170000000000DF +:0406180000000000DE +:0406190000000000DD +:04061A0000000000DC +:04061B0000000000DB +:04061C0000000000DA +:04061D0000000000D9 +:04061E0000000000D8 +:04061F0000000000D7 +:0406200000000000D6 +:0406210000000000D5 +:0406220000000000D4 +:0406230000000000D3 +:0406240000000000D2 +:0406250000000000D1 +:0406260000000000D0 +:0406270000000000CF +:0406280000000000CE +:0406290000000000CD +:04062A0000000000CC +:04062B0000000000CB +:04062C0000000000CA +:04062D0000000000C9 +:04062E0000000000C8 +:04062F0000000000C7 +:0406300000000000C6 +:0406310000000000C5 +:0406320000000000C4 +:0406330000000000C3 +:0406340000000000C2 +:0406350000000000C1 +:0406360000000000C0 +:0406370000000000BF +:0406380000000000BE +:0406390000000000BD +:04063A0000000000BC +:04063B0000000000BB +:04063C0000000000BA +:04063D0000000000B9 +:04063E0000000000B8 +:04063F0000000000B7 +:0406400000000000B6 +:0406410000000000B5 +:0406420000000000B4 +:0406430000000000B3 +:0406440000000000B2 +:0406450000000000B1 +:0406460000000000B0 +:0406470000000000AF +:0406480000000000AE +:0406490000000000AD +:04064A0000000000AC +:04064B0000000000AB +:04064C0000000000AA +:04064D0000000000A9 +:04064E0000000000A8 +:04064F0000000000A7 +:0406500000000000A6 +:0406510000000000A5 +:0406520000000000A4 +:0406530000000000A3 +:0406540000000000A2 +:0406550000000000A1 +:0406560000000000A0 +:04065700000000009F +:04065800000000009E +:04065900000000009D +:04065A00000000009C +:04065B00000000009B +:04065C00000000009A +:04065D000000000099 +:04065E000000000098 +:04065F000000000097 +:040660000000000096 +:040661000000000095 +:040662000000000094 +:040663000000000093 +:040664000000000092 +:040665000000000091 +:040666000000000090 +:04066700000000008F +:04066800000000008E +:04066900000000008D +:04066A00000000008C +:04066B00000000008B +:04066C00000000008A +:04066D000000000089 +:04066E000000000088 +:04066F000000000087 +:040670000000000086 +:040671000000000085 +:040672000000000084 +:040673000000000083 +:040674000000000082 +:040675000000000081 +:040676000000000080 +:04067700000000007F +:04067800000000007E +:04067900000000007D +:04067A00000000007C +:04067B00000000007B +:04067C00000000007A +:04067D000000000079 +:04067E000000000078 +:04067F000000000077 +:040680000000000076 +:040681000000000075 +:040682000000000074 +:040683000000000073 +:040684000000000072 +:040685000000000071 +:040686000000000070 +:04068700000000006F +:04068800000000006E +:04068900000000006D +:04068A00000000006C +:04068B00000000006B +:04068C00000000006A +:04068D000000000069 +:04068E000000000068 +:04068F000000000067 +:040690000000000066 +:040691000000000065 +:040692000000000064 +:040693000000000063 +:040694000000000062 +:040695000000000061 +:040696000000000060 +:04069700000000005F +:04069800000000005E +:04069900000000005D +:04069A00000000005C +:04069B00000000005B +:04069C00000000005A +:04069D000000000059 +:04069E000000000058 +:04069F000000000057 +:0406A0000000000056 +:0406A1000000000055 +:0406A2000000000054 +:0406A3000000000053 +:0406A4000000000052 +:0406A5000000000051 +:0406A6000000000050 +:0406A700000000004F +:0406A800000000004E +:0406A900000000004D +:0406AA00000000004C +:0406AB00000000004B +:0406AC00000000004A +:0406AD000000000049 +:0406AE000000000048 +:0406AF000000000047 +:0406B0000000000046 +:0406B1000000000045 +:0406B2000000000044 +:0406B3000000000043 +:0406B4000000000042 +:0406B5000000000041 +:0406B6000000000040 +:0406B700000000003F +:0406B800000000003E +:0406B900000000003D +:0406BA00000000003C +:0406BB00000000003B +:0406BC00000000003A +:0406BD000000000039 +:0406BE000000000038 +:0406BF000000000037 +:0406C0000000000036 +:0406C1000000000035 +:0406C2000000000034 +:0406C3000000000033 +:0406C4000000000032 +:0406C5000000000031 +:0406C6000000000030 +:0406C700000000002F +:0406C800000000002E +:0406C900000000002D +:0406CA00000000002C +:0406CB00000000002B +:0406CC00000000002A +:0406CD000000000029 +:0406CE000000000028 +:0406CF000000000027 +:0406D0000000000026 +:0406D1000000000025 +:0406D2000000000024 +:0406D3000000000023 +:0406D4000000000022 +:0406D5000000000021 +:0406D6000000000020 +:0406D700000000001F +:0406D800000000001E +:0406D900000000001D +:0406DA00000000001C +:0406DB00000000001B +:0406DC00000000001A +:0406DD000000000019 +:0406DE000000000018 +:0406DF000000000017 +:0406E0000000000016 +:0406E1000000000015 +:0406E2000000000014 +:0406E3000000000013 +:0406E4000000000012 +:0406E5000000000011 +:0406E6000000000010 +:0406E700000000000F +:0406E800000000000E +:0406E900000000000D +:0406EA00000000000C +:0406EB00000000000B +:0406EC00000000000A +:0406ED000000000009 +:0406EE000000000008 +:0406EF000000000007 +:0406F0000000000006 +:0406F1000000000005 +:0406F2000000000004 +:0406F3000000000003 +:0406F4000000000002 +:0406F5000000000001 +:0406F6000000000000 +:0406F70000000000FF +:0406F80000000000FE +:0406F90000000000FD +:0406FA0000000000FC +:0406FB0000000000FB +:0406FC0000000000FA +:0406FD0000000000F9 +:0406FE0000000000F8 +:0406FF0000000000F7 +:0407000000000000F5 +:0407010000000000F4 +:0407020000000000F3 +:0407030000000000F2 +:0407040000000000F1 +:0407050000000000F0 +:0407060000000000EF +:0407070000000000EE +:0407080000000000ED +:0407090000000000EC +:04070A0000000000EB +:04070B0000000000EA +:04070C0000000000E9 +:04070D0000000000E8 +:04070E0000000000E7 +:04070F0000000000E6 +:0407100000000000E5 +:0407110000000000E4 +:0407120000000000E3 +:0407130000000000E2 +:0407140000000000E1 +:0407150000000000E0 +:0407160000000000DF +:0407170000000000DE +:0407180000000000DD +:0407190000000000DC +:04071A0000000000DB +:04071B0000000000DA +:04071C0000000000D9 +:04071D0000000000D8 +:04071E0000000000D7 +:04071F0000000000D6 +:0407200000000000D5 +:0407210000000000D4 +:0407220000000000D3 +:0407230000000000D2 +:0407240000000000D1 +:0407250000000000D0 +:0407260000000000CF +:0407270000000000CE +:0407280000000000CD +:0407290000000000CC +:04072A0000000000CB +:04072B0000000000CA +:04072C0000000000C9 +:04072D0000000000C8 +:04072E0000000000C7 +:04072F0000000000C6 +:0407300000000000C5 +:0407310000000000C4 +:0407320000000000C3 +:0407330000000000C2 +:0407340000000000C1 +:0407350000000000C0 +:0407360000000000BF +:0407370000000000BE +:0407380000000000BD +:0407390000000000BC +:04073A0000000000BB +:04073B0000000000BA +:04073C0000000000B9 +:04073D0000000000B8 +:04073E0000000000B7 +:04073F0000000000B6 +:0407400000000000B5 +:0407410000000000B4 +:0407420000000000B3 +:0407430000000000B2 +:0407440000000000B1 +:0407450000000000B0 +:0407460000000000AF +:0407470000000000AE +:0407480000000000AD +:0407490000000000AC +:04074A0000000000AB +:04074B0000000000AA +:04074C0000000000A9 +:04074D0000000000A8 +:04074E0000000000A7 +:04074F0000000000A6 +:0407500000000000A5 +:0407510000000000A4 +:0407520000000000A3 +:0407530000000000A2 +:0407540000000000A1 +:0407550000000000A0 +:04075600000000009F +:04075700000000009E +:04075800000000009D +:04075900000000009C +:04075A00000000009B +:04075B00000000009A +:04075C000000000099 +:04075D000000000098 +:04075E000000000097 +:04075F000000000096 +:040760000000000095 +:040761000000000094 +:040762000000000093 +:040763000000000092 +:040764000000000091 +:040765000000000090 +:04076600000000008F +:04076700000000008E +:04076800000000008D +:04076900000000008C +:04076A00000000008B +:04076B00000000008A +:04076C000000000089 +:04076D000000000088 +:04076E000000000087 +:04076F000000000086 +:040770000000000085 +:040771000000000084 +:040772000000000083 +:040773000000000082 +:040774000000000081 +:040775000000000080 +:04077600000000007F +:04077700000000007E +:04077800000000007D +:04077900000000007C +:04077A00000000007B +:04077B00000000007A +:04077C000000000079 +:04077D000000000078 +:04077E000000000077 +:04077F000000000076 +:040780000000000075 +:040781000000000074 +:040782000000000073 +:040783000000000072 +:040784000000000071 +:040785000000000070 +:04078600000000006F +:04078700000000006E +:04078800000000006D +:04078900000000006C +:04078A00000000006B +:04078B00000000006A +:04078C000000000069 +:04078D000000000068 +:04078E000000000067 +:04078F000000000066 +:040790000000000065 +:040791000000000064 +:040792000000000063 +:040793000000000062 +:040794000000000061 +:040795000000000060 +:04079600000000005F +:04079700000000005E +:04079800000000005D +:04079900000000005C +:04079A00000000005B +:04079B00000000005A +:04079C000000000059 +:04079D000000000058 +:04079E000000000057 +:04079F000000000056 +:0407A0000000000055 +:0407A1000000000054 +:0407A2000000000053 +:0407A3000000000052 +:0407A4000000000051 +:0407A5000000000050 +:0407A600000000004F +:0407A700000000004E +:0407A800000000004D +:0407A900000000004C +:0407AA00000000004B +:0407AB00000000004A +:0407AC000000000049 +:0407AD000000000048 +:0407AE000000000047 +:0407AF000000000046 +:0407B0000000000045 +:0407B1000000000044 +:0407B2000000000043 +:0407B3000000000042 +:0407B4000000000041 +:0407B5000000000040 +:0407B600000000003F +:0407B700000000003E +:0407B800000000003D +:0407B900000000003C +:0407BA00000000003B +:0407BB00000000003A +:0407BC000000000039 +:0407BD000000000038 +:0407BE000000000037 +:0407BF000000000036 +:0407C0000000000035 +:0407C1000000000034 +:0407C2000000000033 +:0407C3000000000032 +:0407C4000000000031 +:0407C5000000000030 +:0407C600000000002F +:0407C700000000002E +:0407C800000000002D +:0407C900000000002C +:0407CA00000000002B +:0407CB00000000002A +:0407CC000000000029 +:0407CD000000000028 +:0407CE000000000027 +:0407CF000000000026 +:0407D0000000000025 +:0407D1000000000024 +:0407D2000000000023 +:0407D3000000000022 +:0407D4000000000021 +:0407D5000000000020 +:0407D600000000001F +:0407D700000000001E +:0407D800000000001D +:0407D900000000001C +:0407DA00000000001B +:0407DB00000000001A +:0407DC000000000019 +:0407DD000000000018 +:0407DE000000000017 +:0407DF000000000016 +:0407E0000000000015 +:0407E1000000000014 +:0407E2000000000013 +:0407E3000000000012 +:0407E4000000000011 +:0407E5000000000010 +:0407E600000000000F +:0407E700000000000E +:0407E800000000000D +:0407E900000000000C +:0407EA00000000000B +:0407EB00000000000A +:0407EC000000000009 +:0407ED000000000008 +:0407EE000000000007 +:0407EF000000000006 +:0407F0000000000005 +:0407F1000000000004 +:0407F2000000000003 +:0407F3000000000002 +:0407F4000000000001 +:0407F5000000000000 +:0407F60000000000FF +:0407F70000000000FE +:0407F80000000000FD +:0407F90000000000FC +:0407FA0000000000FB +:0407FB0000000000FA +:0407FC0000000000F9 +:0407FD0000000000F8 +:0407FE0000000000F7 +:0407FF0000000000F6 +:0408000000000000F4 +:0408010000000000F3 +:0408020000000000F2 +:0408030000000000F1 +:0408040000000000F0 +:0408050000000000EF +:0408060000000000EE +:0408070000000000ED +:0408080000000000EC +:0408090000000000EB +:04080A0000000000EA +:04080B0000000000E9 +:04080C0000000000E8 +:04080D0000000000E7 +:04080E0000000000E6 +:04080F0000000000E5 +:0408100000000000E4 +:0408110000000000E3 +:0408120000000000E2 +:0408130000000000E1 +:0408140000000000E0 +:0408150000000000DF +:0408160000000000DE +:0408170000000000DD +:0408180000000000DC +:0408190000000000DB +:04081A0000000000DA +:04081B0000000000D9 +:04081C0000000000D8 +:04081D0000000000D7 +:04081E0000000000D6 +:04081F0000000000D5 +:0408200000000000D4 +:0408210000000000D3 +:0408220000000000D2 +:0408230000000000D1 +:0408240000000000D0 +:0408250000000000CF +:0408260000000000CE +:0408270000000000CD +:0408280000000000CC +:0408290000000000CB +:04082A0000000000CA +:04082B0000000000C9 +:04082C0000000000C8 +:04082D0000000000C7 +:04082E0000000000C6 +:04082F0000000000C5 +:0408300000000000C4 +:0408310000000000C3 +:0408320000000000C2 +:0408330000000000C1 +:0408340000000000C0 +:0408350000000000BF +:0408360000000000BE +:0408370000000000BD +:0408380000000000BC +:0408390000000000BB +:04083A0000000000BA +:04083B0000000000B9 +:04083C0000000000B8 +:04083D0000000000B7 +:04083E0000000000B6 +:04083F0000000000B5 +:0408400000000000B4 +:0408410000000000B3 +:0408420000000000B2 +:0408430000000000B1 +:0408440000000000B0 +:0408450000000000AF +:0408460000000000AE +:0408470000000000AD +:0408480000000000AC +:0408490000000000AB +:04084A0000000000AA +:04084B0000000000A9 +:04084C0000000000A8 +:04084D0000000000A7 +:04084E0000000000A6 +:04084F0000000000A5 +:0408500000000000A4 +:0408510000000000A3 +:0408520000000000A2 +:0408530000000000A1 +:0408540000000000A0 +:04085500000000009F +:04085600000000009E +:04085700000000009D +:04085800000000009C +:04085900000000009B +:04085A00000000009A +:04085B000000000099 +:04085C000000000098 +:04085D000000000097 +:04085E000000000096 +:04085F000000000095 +:040860000000000094 +:040861000000000093 +:040862000000000092 +:040863000000000091 +:040864000000000090 +:04086500000000008F +:04086600000000008E +:04086700000000008D +:04086800000000008C +:04086900000000008B +:04086A00000000008A +:04086B000000000089 +:04086C000000000088 +:04086D000000000087 +:04086E000000000086 +:04086F000000000085 +:040870000000000084 +:040871000000000083 +:040872000000000082 +:040873000000000081 +:040874000000000080 +:04087500000000007F +:04087600000000007E +:04087700000000007D +:04087800000000007C +:04087900000000007B +:04087A00000000007A +:04087B000000000079 +:04087C000000000078 +:04087D000000000077 +:04087E000000000076 +:04087F000000000075 +:040880000000000074 +:040881000000000073 +:040882000000000072 +:040883000000000071 +:040884000000000070 +:04088500000000006F +:04088600000000006E +:04088700000000006D +:04088800000000006C +:04088900000000006B +:04088A00000000006A +:04088B000000000069 +:04088C000000000068 +:04088D000000000067 +:04088E000000000066 +:04088F000000000065 +:040890000000000064 +:040891000000000063 +:040892000000000062 +:040893000000000061 +:040894000000000060 +:04089500000000005F +:04089600000000005E +:04089700000000005D +:04089800000000005C +:04089900000000005B +:04089A00000000005A +:04089B000000000059 +:04089C000000000058 +:04089D000000000057 +:04089E000000000056 +:04089F000000000055 +:0408A0000000000054 +:0408A1000000000053 +:0408A2000000000052 +:0408A3000000000051 +:0408A4000000000050 +:0408A500000000004F +:0408A600000000004E +:0408A700000000004D +:0408A800000000004C +:0408A900000000004B +:0408AA00000000004A +:0408AB000000000049 +:0408AC000000000048 +:0408AD000000000047 +:0408AE000000000046 +:0408AF000000000045 +:0408B0000000000044 +:0408B1000000000043 +:0408B2000000000042 +:0408B3000000000041 +:0408B4000000000040 +:0408B500000000003F +:0408B600000000003E +:0408B700000000003D +:0408B800000000003C +:0408B900000000003B +:0408BA00000000003A +:0408BB000000000039 +:0408BC000000000038 +:0408BD000000000037 +:0408BE000000000036 +:0408BF000000000035 +:0408C0000000000034 +:0408C1000000000033 +:0408C2000000000032 +:0408C3000000000031 +:0408C4000000000030 +:0408C500000000002F +:0408C600000000002E +:0408C700000000002D +:0408C800000000002C +:0408C900000000002B +:0408CA00000000002A +:0408CB000000000029 +:0408CC000000000028 +:0408CD000000000027 +:0408CE000000000026 +:0408CF000000000025 +:0408D0000000000024 +:0408D1000000000023 +:0408D2000000000022 +:0408D3000000000021 +:0408D4000000000020 +:0408D500000000001F +:0408D600000000001E +:0408D700000000001D +:0408D800000000001C +:0408D900000000001B +:0408DA00000000001A +:0408DB000000000019 +:0408DC000000000018 +:0408DD000000000017 +:0408DE000000000016 +:0408DF000000000015 +:0408E0000000000014 +:0408E1000000000013 +:0408E2000000000012 +:0408E3000000000011 +:0408E4000000000010 +:0408E500000000000F +:0408E600000000000E +:0408E700000000000D +:0408E800000000000C +:0408E900000000000B +:0408EA00000000000A +:0408EB000000000009 +:0408EC000000000008 +:0408ED000000000007 +:0408EE000000000006 +:0408EF000000000005 +:0408F0000000000004 +:0408F1000000000003 +:0408F2000000000002 +:0408F3000000000001 +:0408F4000000000000 +:0408F50000000000FF +:0408F60000000000FE +:0408F70000000000FD +:0408F80000000000FC +:0408F90000000000FB +:0408FA0000000000FA +:0408FB0000000000F9 +:0408FC0000000000F8 +:0408FD0000000000F7 +:0408FE0000000000F6 +:0408FF0000000000F5 +:0409000000000000F3 +:0409010000000000F2 +:0409020000000000F1 +:0409030000000000F0 +:0409040000000000EF +:0409050000000000EE +:0409060000000000ED +:0409070000000000EC +:0409080000000000EB +:0409090000000000EA +:04090A0000000000E9 +:04090B0000000000E8 +:04090C0000000000E7 +:04090D0000000000E6 +:04090E0000000000E5 +:04090F0000000000E4 +:0409100000000000E3 +:0409110000000000E2 +:0409120000000000E1 +:0409130000000000E0 +:0409140000000000DF +:0409150000000000DE +:0409160000000000DD +:0409170000000000DC +:0409180000000000DB +:0409190000000000DA +:04091A0000000000D9 +:04091B0000000000D8 +:04091C0000000000D7 +:04091D0000000000D6 +:04091E0000000000D5 +:04091F0000000000D4 +:0409200000000000D3 +:0409210000000000D2 +:0409220000000000D1 +:0409230000000000D0 +:0409240000000000CF +:0409250000000000CE +:0409260000000000CD +:0409270000000000CC +:0409280000000000CB +:0409290000000000CA +:04092A0000000000C9 +:04092B0000000000C8 +:04092C0000000000C7 +:04092D0000000000C6 +:04092E0000000000C5 +:04092F0000000000C4 +:0409300000000000C3 +:0409310000000000C2 +:0409320000000000C1 +:0409330000000000C0 +:0409340000000000BF +:0409350000000000BE +:0409360000000000BD +:0409370000000000BC +:0409380000000000BB +:0409390000000000BA +:04093A0000000000B9 +:04093B0000000000B8 +:04093C0000000000B7 +:04093D0000000000B6 +:04093E0000000000B5 +:04093F0000000000B4 +:0409400000000000B3 +:0409410000000000B2 +:0409420000000000B1 +:0409430000000000B0 +:0409440000000000AF +:0409450000000000AE +:0409460000000000AD +:0409470000000000AC +:0409480000000000AB +:0409490000000000AA +:04094A0000000000A9 +:04094B0000000000A8 +:04094C0000000000A7 +:04094D0000000000A6 +:04094E0000000000A5 +:04094F0000000000A4 +:0409500000000000A3 +:0409510000000000A2 +:0409520000000000A1 +:0409530000000000A0 +:04095400000000009F +:04095500000000009E +:04095600000000009D +:04095700000000009C +:04095800000000009B +:04095900000000009A +:04095A000000000099 +:04095B000000000098 +:04095C000000000097 +:04095D000000000096 +:04095E000000000095 +:04095F000000000094 +:040960000000000093 +:040961000000000092 +:040962000000000091 +:040963000000000090 +:04096400000000008F +:04096500000000008E +:04096600000000008D +:04096700000000008C +:04096800000000008B +:04096900000000008A +:04096A000000000089 +:04096B000000000088 +:04096C000000000087 +:04096D000000000086 +:04096E000000000085 +:04096F000000000084 +:040970000000000083 +:040971000000000082 +:040972000000000081 +:040973000000000080 +:04097400000000007F +:04097500000000007E +:04097600000000007D +:04097700000000007C +:04097800000000007B +:04097900000000007A +:04097A000000000079 +:04097B000000000078 +:04097C000000000077 +:04097D000000000076 +:04097E000000000075 +:04097F000000000074 +:040980000000000073 +:040981000000000072 +:040982000000000071 +:040983000000000070 +:04098400000000006F +:04098500000000006E +:04098600000000006D +:04098700000000006C +:04098800000000006B +:04098900000000006A +:04098A000000000069 +:04098B000000000068 +:04098C000000000067 +:04098D000000000066 +:04098E000000000065 +:04098F000000000064 +:040990000000000063 +:040991000000000062 +:040992000000000061 +:040993000000000060 +:04099400000000005F +:04099500000000005E +:04099600000000005D +:04099700000000005C +:04099800000000005B +:04099900000000005A +:04099A000000000059 +:04099B000000000058 +:04099C000000000057 +:04099D000000000056 +:04099E000000000055 +:04099F000000000054 +:0409A0000000000053 +:0409A1000000000052 +:0409A2000000000051 +:0409A3000000000050 +:0409A400000000004F +:0409A500000000004E +:0409A600000000004D +:0409A700000000004C +:0409A800000000004B +:0409A900000000004A +:0409AA000000000049 +:0409AB000000000048 +:0409AC000000000047 +:0409AD000000000046 +:0409AE000000000045 +:0409AF000000000044 +:0409B0000000000043 +:0409B1000000000042 +:0409B2000000000041 +:0409B3000000000040 +:0409B400000000003F +:0409B500000000003E +:0409B600000000003D +:0409B700000000003C +:0409B800000000003B +:0409B900000000003A +:0409BA000000000039 +:0409BB000000000038 +:0409BC000000000037 +:0409BD000000000036 +:0409BE000000000035 +:0409BF000000000034 +:0409C0000000000033 +:0409C1000000000032 +:0409C2000000000031 +:0409C3000000000030 +:0409C400000000002F +:0409C500000000002E +:0409C600000000002D +:0409C700000000002C +:0409C800000000002B +:0409C900000000002A +:0409CA000000000029 +:0409CB000000000028 +:0409CC000000000027 +:0409CD000000000026 +:0409CE000000000025 +:0409CF000000000024 +:0409D0000000000023 +:0409D1000000000022 +:0409D2000000000021 +:0409D3000000000020 +:0409D400000000001F +:0409D500000000001E +:0409D600000000001D +:0409D700000000001C +:0409D800000000001B +:0409D900000000001A +:0409DA000000000019 +:0409DB000000000018 +:0409DC000000000017 +:0409DD000000000016 +:0409DE000000000015 +:0409DF000000000014 +:0409E0000000000013 +:0409E1000000000012 +:0409E2000000000011 +:0409E3000000000010 +:0409E400000000000F +:0409E500000000000E +:0409E600000000000D +:0409E700000000000C +:0409E800000000000B +:0409E900000000000A +:0409EA000000000009 +:0409EB000000000008 +:0409EC000000000007 +:0409ED000000000006 +:0409EE000000000005 +:0409EF000000000004 +:0409F0000000000003 +:0409F1000000000002 +:0409F2000000000001 +:0409F3000000000000 +:0409F40000000000FF +:0409F50000000000FE +:0409F60000000000FD +:0409F70000000000FC +:0409F80000000000FB +:0409F90000000000FA +:0409FA0000000000F9 +:0409FB0000000000F8 +:0409FC0000000000F7 +:0409FD0000000000F6 +:0409FE0000000000F5 +:0409FF0000000000F4 +:040A000000000000F2 +:040A010000000000F1 +:040A020000000000F0 +:040A030000000000EF +:040A040000000000EE +:040A050000000000ED +:040A060000000000EC +:040A070000000000EB +:040A080000000000EA +:040A090000000000E9 +:040A0A0000000000E8 +:040A0B0000000000E7 +:040A0C0000000000E6 +:040A0D0000000000E5 +:040A0E0000000000E4 +:040A0F0000000000E3 +:040A100000000000E2 +:040A110000000000E1 +:040A120000000000E0 +:040A130000000000DF +:040A140000000000DE +:040A150000000000DD +:040A160000000000DC +:040A170000000000DB +:040A180000000000DA +:040A190000000000D9 +:040A1A0000000000D8 +:040A1B0000000000D7 +:040A1C0000000000D6 +:040A1D0000000000D5 +:040A1E0000000000D4 +:040A1F0000000000D3 +:040A200000000000D2 +:040A210000000000D1 +:040A220000000000D0 +:040A230000000000CF +:040A240000000000CE +:040A250000000000CD +:040A260000000000CC +:040A270000000000CB +:040A280000000000CA +:040A290000000000C9 +:040A2A0000000000C8 +:040A2B0000000000C7 +:040A2C0000000000C6 +:040A2D0000000000C5 +:040A2E0000000000C4 +:040A2F0000000000C3 +:040A300000000000C2 +:040A310000000000C1 +:040A320000000000C0 +:040A330000000000BF +:040A340000000000BE +:040A350000000000BD +:040A360000000000BC +:040A370000000000BB +:040A380000000000BA +:040A390000000000B9 +:040A3A0000000000B8 +:040A3B0000000000B7 +:040A3C0000000000B6 +:040A3D0000000000B5 +:040A3E0000000000B4 +:040A3F0000000000B3 +:040A400000000000B2 +:040A410000000000B1 +:040A420000000000B0 +:040A430000000000AF +:040A440000000000AE +:040A450000000000AD +:040A460000000000AC +:040A470000000000AB +:040A480000000000AA +:040A490000000000A9 +:040A4A0000000000A8 +:040A4B0000000000A7 +:040A4C0000000000A6 +:040A4D0000000000A5 +:040A4E0000000000A4 +:040A4F0000000000A3 +:040A500000000000A2 +:040A510000000000A1 +:040A520000000000A0 +:040A5300000000009F +:040A5400000000009E +:040A5500000000009D +:040A5600000000009C +:040A5700000000009B +:040A5800000000009A +:040A59000000000099 +:040A5A000000000098 +:040A5B000000000097 +:040A5C000000000096 +:040A5D000000000095 +:040A5E000000000094 +:040A5F000000000093 +:040A60000000000092 +:040A61000000000091 +:040A62000000000090 +:040A6300000000008F +:040A6400000000008E +:040A6500000000008D +:040A6600000000008C +:040A6700000000008B +:040A6800000000008A +:040A69000000000089 +:040A6A000000000088 +:040A6B000000000087 +:040A6C000000000086 +:040A6D000000000085 +:040A6E000000000084 +:040A6F000000000083 +:040A70000000000082 +:040A71000000000081 +:040A72000000000080 +:040A7300000000007F +:040A7400000000007E +:040A7500000000007D +:040A7600000000007C +:040A7700000000007B +:040A7800000000007A +:040A79000000000079 +:040A7A000000000078 +:040A7B000000000077 +:040A7C000000000076 +:040A7D000000000075 +:040A7E000000000074 +:040A7F000000000073 +:040A80000000000072 +:040A81000000000071 +:040A82000000000070 +:040A8300000000006F +:040A8400000000006E +:040A8500000000006D +:040A8600000000006C +:040A8700000000006B +:040A8800000000006A +:040A89000000000069 +:040A8A000000000068 +:040A8B000000000067 +:040A8C000000000066 +:040A8D000000000065 +:040A8E000000000064 +:040A8F000000000063 +:040A90000000000062 +:040A91000000000061 +:040A92000000000060 +:040A9300000000005F +:040A9400000000005E +:040A9500000000005D +:040A9600000000005C +:040A9700000000005B +:040A9800000000005A +:040A99000000000059 +:040A9A000000000058 +:040A9B000000000057 +:040A9C000000000056 +:040A9D000000000055 +:040A9E000000000054 +:040A9F000000000053 +:040AA0000000000052 +:040AA1000000000051 +:040AA2000000000050 +:040AA300000000004F +:040AA400000000004E +:040AA500000000004D +:040AA600000000004C +:040AA700000000004B +:040AA800000000004A +:040AA9000000000049 +:040AAA000000000048 +:040AAB000000000047 +:040AAC000000000046 +:040AAD000000000045 +:040AAE000000000044 +:040AAF000000000043 +:040AB0000000000042 +:040AB1000000000041 +:040AB2000000000040 +:040AB300000000003F +:040AB400000000003E +:040AB500000000003D +:040AB600000000003C +:040AB700000000003B +:040AB800000000003A +:040AB9000000000039 +:040ABA000000000038 +:040ABB000000000037 +:040ABC000000000036 +:040ABD000000000035 +:040ABE000000000034 +:040ABF000000000033 +:040AC0000000000032 +:040AC1000000000031 +:040AC2000000000030 +:040AC300000000002F +:040AC400000000002E +:040AC500000000002D +:040AC600000000002C +:040AC700000000002B +:040AC800000000002A +:040AC9000000000029 +:040ACA000000000028 +:040ACB000000000027 +:040ACC000000000026 +:040ACD000000000025 +:040ACE000000000024 +:040ACF000000000023 +:040AD0000000000022 +:040AD1000000000021 +:040AD2000000000020 +:040AD300000000001F +:040AD400000000001E +:040AD500000000001D +:040AD600000000001C +:040AD700000000001B +:040AD800000000001A +:040AD9000000000019 +:040ADA000000000018 +:040ADB000000000017 +:040ADC000000000016 +:040ADD000000000015 +:040ADE000000000014 +:040ADF000000000013 +:040AE0000000000012 +:040AE1000000000011 +:040AE2000000000010 +:040AE300000000000F +:040AE400000000000E +:040AE500000000000D +:040AE600000000000C +:040AE700000000000B +:040AE800000000000A +:040AE9000000000009 +:040AEA000000000008 +:040AEB000000000007 +:040AEC000000000006 +:040AED000000000005 +:040AEE000000000004 +:040AEF000000000003 +:040AF0000000000002 +:040AF1000000000001 +:040AF2000000000000 +:040AF30000000000FF +:040AF40000000000FE +:040AF50000000000FD +:040AF60000000000FC +:040AF70000000000FB +:040AF80000000000FA +:040AF90000000000F9 +:040AFA0000000000F8 +:040AFB0000000000F7 +:040AFC0000000000F6 +:040AFD0000000000F5 +:040AFE0000000000F4 +:040AFF0000000000F3 +:040B000000000000F1 +:040B010000000000F0 +:040B020000000000EF +:040B030000000000EE +:040B040000000000ED +:040B050000000000EC +:040B060000000000EB +:040B070000000000EA +:040B080000000000E9 +:040B090000000000E8 +:040B0A0000000000E7 +:040B0B0000000000E6 +:040B0C0000000000E5 +:040B0D0000000000E4 +:040B0E0000000000E3 +:040B0F0000000000E2 +:040B100000000000E1 +:040B110000000000E0 +:040B120000000000DF +:040B130000000000DE +:040B140000000000DD +:040B150000000000DC +:040B160000000000DB +:040B170000000000DA +:040B180000000000D9 +:040B190000000000D8 +:040B1A0000000000D7 +:040B1B0000000000D6 +:040B1C0000000000D5 +:040B1D0000000000D4 +:040B1E0000000000D3 +:040B1F0000000000D2 +:040B200000000000D1 +:040B210000000000D0 +:040B220000000000CF +:040B230000000000CE +:040B240000000000CD +:040B250000000000CC +:040B260000000000CB +:040B270000000000CA +:040B280000000000C9 +:040B290000000000C8 +:040B2A0000000000C7 +:040B2B0000000000C6 +:040B2C0000000000C5 +:040B2D0000000000C4 +:040B2E0000000000C3 +:040B2F0000000000C2 +:040B300000000000C1 +:040B310000000000C0 +:040B320000000000BF +:040B330000000000BE +:040B340000000000BD +:040B350000000000BC +:040B360000000000BB +:040B370000000000BA +:040B380000000000B9 +:040B390000000000B8 +:040B3A0000000000B7 +:040B3B0000000000B6 +:040B3C0000000000B5 +:040B3D0000000000B4 +:040B3E0000000000B3 +:040B3F0000000000B2 +:040B400000000000B1 +:040B410000000000B0 +:040B420000000000AF +:040B430000000000AE +:040B440000000000AD +:040B450000000000AC +:040B460000000000AB +:040B470000000000AA +:040B480000000000A9 +:040B490000000000A8 +:040B4A0000000000A7 +:040B4B0000000000A6 +:040B4C0000000000A5 +:040B4D0000000000A4 +:040B4E0000000000A3 +:040B4F0000000000A2 +:040B500000000000A1 +:040B510000000000A0 +:040B5200000000009F +:040B5300000000009E +:040B5400000000009D +:040B5500000000009C +:040B5600000000009B +:040B5700000000009A +:040B58000000000099 +:040B59000000000098 +:040B5A000000000097 +:040B5B000000000096 +:040B5C000000000095 +:040B5D000000000094 +:040B5E000000000093 +:040B5F000000000092 +:040B60000000000091 +:040B61000000000090 +:040B6200000000008F +:040B6300000000008E +:040B6400000000008D +:040B6500000000008C +:040B6600000000008B +:040B6700000000008A +:040B68000000000089 +:040B69000000000088 +:040B6A000000000087 +:040B6B000000000086 +:040B6C000000000085 +:040B6D000000000084 +:040B6E000000000083 +:040B6F000000000082 +:040B70000000000081 +:040B71000000000080 +:040B7200000000007F +:040B7300000000007E +:040B7400000000007D +:040B7500000000007C +:040B7600000000007B +:040B7700000000007A +:040B78000000000079 +:040B79000000000078 +:040B7A000000000077 +:040B7B000000000076 +:040B7C000000000075 +:040B7D000000000074 +:040B7E000000000073 +:040B7F000000000072 +:040B80000000000071 +:040B81000000000070 +:040B8200000000006F +:040B8300000000006E +:040B8400000000006D +:040B8500000000006C +:040B8600000000006B +:040B8700000000006A +:040B88000000000069 +:040B89000000000068 +:040B8A000000000067 +:040B8B000000000066 +:040B8C000000000065 +:040B8D000000000064 +:040B8E000000000063 +:040B8F000000000062 +:040B90000000000061 +:040B91000000000060 +:040B9200000000005F +:040B9300000000005E +:040B9400000000005D +:040B9500000000005C +:040B9600000000005B +:040B9700000000005A +:040B98000000000059 +:040B99000000000058 +:040B9A000000000057 +:040B9B000000000056 +:040B9C000000000055 +:040B9D000000000054 +:040B9E000000000053 +:040B9F000000000052 +:040BA0000000000051 +:040BA1000000000050 +:040BA200000000004F +:040BA300000000004E +:040BA400000000004D +:040BA500000000004C +:040BA600000000004B +:040BA700000000004A +:040BA8000000000049 +:040BA9000000000048 +:040BAA000000000047 +:040BAB000000000046 +:040BAC000000000045 +:040BAD000000000044 +:040BAE000000000043 +:040BAF000000000042 +:040BB0000000000041 +:040BB1000000000040 +:040BB200000000003F +:040BB300000000003E +:040BB400000000003D +:040BB500000000003C +:040BB600000000003B +:040BB700000000003A +:040BB8000000000039 +:040BB9000000000038 +:040BBA000000000037 +:040BBB000000000036 +:040BBC000000000035 +:040BBD000000000034 +:040BBE000000000033 +:040BBF000000000032 +:040BC0000000000031 +:040BC1000000000030 +:040BC200000000002F +:040BC300000000002E +:040BC400000000002D +:040BC500000000002C +:040BC600000000002B +:040BC700000000002A +:040BC8000000000029 +:040BC9000000000028 +:040BCA000000000027 +:040BCB000000000026 +:040BCC000000000025 +:040BCD000000000024 +:040BCE000000000023 +:040BCF000000000022 +:040BD0000000000021 +:040BD1000000000020 +:040BD200000000001F +:040BD300000000001E +:040BD400000000001D +:040BD500000000001C +:040BD600000000001B +:040BD700000000001A +:040BD8000000000019 +:040BD9000000000018 +:040BDA000000000017 +:040BDB000000000016 +:040BDC000000000015 +:040BDD000000000014 +:040BDE000000000013 +:040BDF000000000012 +:040BE0000000000011 +:040BE1000000000010 +:040BE200000000000F +:040BE300000000000E +:040BE400000000000D +:040BE500000000000C +:040BE600000000000B +:040BE700000000000A +:040BE8000000000009 +:040BE9000000000008 +:040BEA000000000007 +:040BEB000000000006 +:040BEC000000000005 +:040BED000000000004 +:040BEE000000000003 +:040BEF000000000002 +:040BF0000000000001 +:040BF1000000000000 +:040BF20000000000FF +:040BF30000000000FE +:040BF40000000000FD +:040BF50000000000FC +:040BF60000000000FB +:040BF70000000000FA +:040BF80000000000F9 +:040BF90000000000F8 +:040BFA0000000000F7 +:040BFB0000000000F6 +:040BFC0000000000F5 +:040BFD0000000000F4 +:040BFE0000000000F3 +:040BFF0000000000F2 +:040C000000000000F0 +:040C010000000000EF +:040C020000000000EE +:040C030000000000ED +:040C040000000000EC +:040C050000000000EB +:040C060000000000EA +:040C070000000000E9 +:040C080000000000E8 +:040C090000000000E7 +:040C0A0000000000E6 +:040C0B0000000000E5 +:040C0C0000000000E4 +:040C0D0000000000E3 +:040C0E0000000000E2 +:040C0F0000000000E1 +:040C100000000000E0 +:040C110000000000DF +:040C120000000000DE +:040C130000000000DD +:040C140000000000DC +:040C150000000000DB +:040C160000000000DA +:040C170000000000D9 +:040C180000000000D8 +:040C190000000000D7 +:040C1A0000000000D6 +:040C1B0000000000D5 +:040C1C0000000000D4 +:040C1D0000000000D3 +:040C1E0000000000D2 +:040C1F0000000000D1 +:040C200000000000D0 +:040C210000000000CF +:040C220000000000CE +:040C230000000000CD +:040C240000000000CC +:040C250000000000CB +:040C260000000000CA +:040C270000000000C9 +:040C280000000000C8 +:040C290000000000C7 +:040C2A0000000000C6 +:040C2B0000000000C5 +:040C2C0000000000C4 +:040C2D0000000000C3 +:040C2E0000000000C2 +:040C2F0000000000C1 +:040C300000000000C0 +:040C310000000000BF +:040C320000000000BE +:040C330000000000BD +:040C340000000000BC +:040C350000000000BB +:040C360000000000BA +:040C370000000000B9 +:040C380000000000B8 +:040C390000000000B7 +:040C3A0000000000B6 +:040C3B0000000000B5 +:040C3C0000000000B4 +:040C3D0000000000B3 +:040C3E0000000000B2 +:040C3F0000000000B1 +:040C400000000000B0 +:040C410000000000AF +:040C420000000000AE +:040C430000000000AD +:040C440000000000AC +:040C450000000000AB +:040C460000000000AA +:040C470000000000A9 +:040C480000000000A8 +:040C490000000000A7 +:040C4A0000000000A6 +:040C4B0000000000A5 +:040C4C0000000000A4 +:040C4D0000000000A3 +:040C4E0000000000A2 +:040C4F0000000000A1 +:040C500000000000A0 +:040C5100000000009F +:040C5200000000009E +:040C5300000000009D +:040C5400000000009C +:040C5500000000009B +:040C5600000000009A +:040C57000000000099 +:040C58000000000098 +:040C59000000000097 +:040C5A000000000096 +:040C5B000000000095 +:040C5C000000000094 +:040C5D000000000093 +:040C5E000000000092 +:040C5F000000000091 +:040C60000000000090 +:040C6100000000008F +:040C6200000000008E +:040C6300000000008D +:040C6400000000008C +:040C6500000000008B +:040C6600000000008A +:040C67000000000089 +:040C68000000000088 +:040C69000000000087 +:040C6A000000000086 +:040C6B000000000085 +:040C6C000000000084 +:040C6D000000000083 +:040C6E000000000082 +:040C6F000000000081 +:040C70000000000080 +:040C7100000000007F +:040C7200000000007E +:040C7300000000007D +:040C7400000000007C +:040C7500000000007B +:040C7600000000007A +:040C77000000000079 +:040C78000000000078 +:040C79000000000077 +:040C7A000000000076 +:040C7B000000000075 +:040C7C000000000074 +:040C7D000000000073 +:040C7E000000000072 +:040C7F000000000071 +:040C80000000000070 +:040C8100000000006F +:040C8200000000006E +:040C8300000000006D +:040C8400000000006C +:040C8500000000006B +:040C8600000000006A +:040C87000000000069 +:040C88000000000068 +:040C89000000000067 +:040C8A000000000066 +:040C8B000000000065 +:040C8C000000000064 +:040C8D000000000063 +:040C8E000000000062 +:040C8F000000000061 +:040C90000000000060 +:040C9100000000005F +:040C9200000000005E +:040C9300000000005D +:040C9400000000005C +:040C9500000000005B +:040C9600000000005A +:040C97000000000059 +:040C98000000000058 +:040C99000000000057 +:040C9A000000000056 +:040C9B000000000055 +:040C9C000000000054 +:040C9D000000000053 +:040C9E000000000052 +:040C9F000000000051 +:040CA0000000000050 +:040CA100000000004F +:040CA200000000004E +:040CA300000000004D +:040CA400000000004C +:040CA500000000004B +:040CA600000000004A +:040CA7000000000049 +:040CA8000000000048 +:040CA9000000000047 +:040CAA000000000046 +:040CAB000000000045 +:040CAC000000000044 +:040CAD000000000043 +:040CAE000000000042 +:040CAF000000000041 +:040CB0000000000040 +:040CB100000000003F +:040CB200000000003E +:040CB300000000003D +:040CB400000000003C +:040CB500000000003B +:040CB600000000003A +:040CB7000000000039 +:040CB8000000000038 +:040CB9000000000037 +:040CBA000000000036 +:040CBB000000000035 +:040CBC000000000034 +:040CBD000000000033 +:040CBE000000000032 +:040CBF000000000031 +:040CC0000000000030 +:040CC100000000002F +:040CC200000000002E +:040CC300000000002D +:040CC400000000002C +:040CC500000000002B +:040CC600000000002A +:040CC7000000000029 +:040CC8000000000028 +:040CC9000000000027 +:040CCA000000000026 +:040CCB000000000025 +:040CCC000000000024 +:040CCD000000000023 +:040CCE000000000022 +:040CCF000000000021 +:040CD0000000000020 +:040CD100000000001F +:040CD200000000001E +:040CD300000000001D +:040CD400000000001C +:040CD500000000001B +:040CD600000000001A +:040CD7000000000019 +:040CD8000000000018 +:040CD9000000000017 +:040CDA000000000016 +:040CDB000000000015 +:040CDC000000000014 +:040CDD000000000013 +:040CDE000000000012 +:040CDF000000000011 +:040CE0000000000010 +:040CE100000000000F +:040CE200000000000E +:040CE300000000000D +:040CE400000000000C +:040CE500000000000B +:040CE600000000000A +:040CE7000000000009 +:040CE8000000000008 +:040CE9000000000007 +:040CEA000000000006 +:040CEB000000000005 +:040CEC000000000004 +:040CED000000000003 +:040CEE000000000002 +:040CEF000000000001 +:040CF0000000000000 +:040CF10000000000FF +:040CF20000000000FE +:040CF30000000000FD +:040CF40000000000FC +:040CF50000000000FB +:040CF60000000000FA +:040CF70000000000F9 +:040CF80000000000F8 +:040CF90000000000F7 +:040CFA0000000000F6 +:040CFB0000000000F5 +:040CFC0000000000F4 +:040CFD0000000000F3 +:040CFE0000000000F2 +:040CFF0000000000F1 +:040D000000000000EF +:040D010000000000EE +:040D020000000000ED +:040D030000000000EC +:040D040000000000EB +:040D050000000000EA +:040D060000000000E9 +:040D070000000000E8 +:040D080000000000E7 +:040D090000000000E6 +:040D0A0000000000E5 +:040D0B0000000000E4 +:040D0C0000000000E3 +:040D0D0000000000E2 +:040D0E0000000000E1 +:040D0F0000000000E0 +:040D100000000000DF +:040D110000000000DE +:040D120000000000DD +:040D130000000000DC +:040D140000000000DB +:040D150000000000DA +:040D160000000000D9 +:040D170000000000D8 +:040D180000000000D7 +:040D190000000000D6 +:040D1A0000000000D5 +:040D1B0000000000D4 +:040D1C0000000000D3 +:040D1D0000000000D2 +:040D1E0000000000D1 +:040D1F0000000000D0 +:040D200000000000CF +:040D210000000000CE +:040D220000000000CD +:040D230000000000CC +:040D240000000000CB +:040D250000000000CA +:040D260000000000C9 +:040D270000000000C8 +:040D280000000000C7 +:040D290000000000C6 +:040D2A0000000000C5 +:040D2B0000000000C4 +:040D2C0000000000C3 +:040D2D0000000000C2 +:040D2E0000000000C1 +:040D2F0000000000C0 +:040D300000000000BF +:040D310000000000BE +:040D320000000000BD +:040D330000000000BC +:040D340000000000BB +:040D350000000000BA +:040D360000000000B9 +:040D370000000000B8 +:040D380000000000B7 +:040D390000000000B6 +:040D3A0000000000B5 +:040D3B0000000000B4 +:040D3C0000000000B3 +:040D3D0000000000B2 +:040D3E0000000000B1 +:040D3F0000000000B0 +:040D400000000000AF +:040D410000000000AE +:040D420000000000AD +:040D430000000000AC +:040D440000000000AB +:040D450000000000AA +:040D460000000000A9 +:040D470000000000A8 +:040D480000000000A7 +:040D490000000000A6 +:040D4A0000000000A5 +:040D4B0000000000A4 +:040D4C0000000000A3 +:040D4D0000000000A2 +:040D4E0000000000A1 +:040D4F0000000000A0 +:040D5000000000009F +:040D5100000000009E +:040D5200000000009D +:040D5300000000009C +:040D5400000000009B +:040D5500000000009A +:040D56000000000099 +:040D57000000000098 +:040D58000000000097 +:040D59000000000096 +:040D5A000000000095 +:040D5B000000000094 +:040D5C000000000093 +:040D5D000000000092 +:040D5E000000000091 +:040D5F000000000090 +:040D6000000000008F +:040D6100000000008E +:040D6200000000008D +:040D6300000000008C +:040D6400000000008B +:040D6500000000008A +:040D66000000000089 +:040D67000000000088 +:040D68000000000087 +:040D69000000000086 +:040D6A000000000085 +:040D6B000000000084 +:040D6C000000000083 +:040D6D000000000082 +:040D6E000000000081 +:040D6F000000000080 +:040D7000000000007F +:040D7100000000007E +:040D7200000000007D +:040D7300000000007C +:040D7400000000007B +:040D7500000000007A +:040D76000000000079 +:040D77000000000078 +:040D78000000000077 +:040D79000000000076 +:040D7A000000000075 +:040D7B000000000074 +:040D7C000000000073 +:040D7D000000000072 +:040D7E000000000071 +:040D7F000000000070 +:040D8000000000006F +:040D8100000000006E +:040D8200000000006D +:040D8300000000006C +:040D8400000000006B +:040D8500000000006A +:040D86000000000069 +:040D87000000000068 +:040D88000000000067 +:040D89000000000066 +:040D8A000000000065 +:040D8B000000000064 +:040D8C000000000063 +:040D8D000000000062 +:040D8E000000000061 +:040D8F000000000060 +:040D9000000000005F +:040D9100000000005E +:040D9200000000005D +:040D9300000000005C +:040D9400000000005B +:040D9500000000005A +:040D96000000000059 +:040D97000000000058 +:040D98000000000057 +:040D99000000000056 +:040D9A000000000055 +:040D9B000000000054 +:040D9C000000000053 +:040D9D000000000052 +:040D9E000000000051 +:040D9F000000000050 +:040DA000000000004F +:040DA100000000004E +:040DA200000000004D +:040DA300000000004C +:040DA400000000004B +:040DA500000000004A +:040DA6000000000049 +:040DA7000000000048 +:040DA8000000000047 +:040DA9000000000046 +:040DAA000000000045 +:040DAB000000000044 +:040DAC000000000043 +:040DAD000000000042 +:040DAE000000000041 +:040DAF000000000040 +:040DB000000000003F +:040DB100000000003E +:040DB200000000003D +:040DB300000000003C +:040DB400000000003B +:040DB500000000003A +:040DB6000000000039 +:040DB7000000000038 +:040DB8000000000037 +:040DB9000000000036 +:040DBA000000000035 +:040DBB000000000034 +:040DBC000000000033 +:040DBD000000000032 +:040DBE000000000031 +:040DBF000000000030 +:040DC000000000002F +:040DC100000000002E +:040DC200000000002D +:040DC300000000002C +:040DC400000000002B +:040DC500000000002A +:040DC6000000000029 +:040DC7000000000028 +:040DC8000000000027 +:040DC9000000000026 +:040DCA000000000025 +:040DCB000000000024 +:040DCC000000000023 +:040DCD000000000022 +:040DCE000000000021 +:040DCF000000000020 +:040DD000000000001F +:040DD100000000001E +:040DD200000000001D +:040DD300000000001C +:040DD400000000001B +:040DD500000000001A +:040DD6000000000019 +:040DD7000000000018 +:040DD8000000000017 +:040DD9000000000016 +:040DDA000000000015 +:040DDB000000000014 +:040DDC000000000013 +:040DDD000000000012 +:040DDE000000000011 +:040DDF000000000010 +:040DE000000000000F +:040DE100000000000E +:040DE200000000000D +:040DE300000000000C +:040DE400000000000B +:040DE500000000000A +:040DE6000000000009 +:040DE7000000000008 +:040DE8000000000007 +:040DE9000000000006 +:040DEA000000000005 +:040DEB000000000004 +:040DEC000000000003 +:040DED000000000002 +:040DEE000000000001 +:040DEF000000000000 +:040DF00000000000FF +:040DF10000000000FE +:040DF20000000000FD +:040DF30000000000FC +:040DF40000000000FB +:040DF50000000000FA +:040DF60000000000F9 +:040DF70000000000F8 +:040DF80000000000F7 +:040DF90000000000F6 +:040DFA0000000000F5 +:040DFB0000000000F4 +:040DFC0000000000F3 +:040DFD0000000000F2 +:040DFE0000000000F1 +:040DFF0000000000F0 +:040E000000000000EE +:040E010000000000ED +:040E020000000000EC +:040E030000000000EB +:040E040000000000EA +:040E050000000000E9 +:040E060000000000E8 +:040E070000000000E7 +:040E080000000000E6 +:040E090000000000E5 +:040E0A0000000000E4 +:040E0B0000000000E3 +:040E0C0000000000E2 +:040E0D0000000000E1 +:040E0E0000000000E0 +:040E0F0000000000DF +:040E100000000000DE +:040E110000000000DD +:040E120000000000DC +:040E130000000000DB +:040E140000000000DA +:040E150000000000D9 +:040E160000000000D8 +:040E170000000000D7 +:040E180000000000D6 +:040E190000000000D5 +:040E1A0000000000D4 +:040E1B0000000000D3 +:040E1C0000000000D2 +:040E1D0000000000D1 +:040E1E0000000000D0 +:040E1F0000000000CF +:040E200000000000CE +:040E210000000000CD +:040E220000000000CC +:040E230000000000CB +:040E240000000000CA +:040E250000000000C9 +:040E260000000000C8 +:040E270000000000C7 +:040E280000000000C6 +:040E290000000000C5 +:040E2A0000000000C4 +:040E2B0000000000C3 +:040E2C0000000000C2 +:040E2D0000000000C1 +:040E2E0000000000C0 +:040E2F0000000000BF +:040E300000000000BE +:040E310000000000BD +:040E320000000000BC +:040E330000000000BB +:040E340000000000BA +:040E350000000000B9 +:040E360000000000B8 +:040E370000000000B7 +:040E380000000000B6 +:040E390000000000B5 +:040E3A0000000000B4 +:040E3B0000000000B3 +:040E3C0000000000B2 +:040E3D0000000000B1 +:040E3E0000000000B0 +:040E3F0000000000AF +:040E400000000000AE +:040E410000000000AD +:040E420000000000AC +:040E430000000000AB +:040E440000000000AA +:040E450000000000A9 +:040E460000000000A8 +:040E470000000000A7 +:040E480000000000A6 +:040E490000000000A5 +:040E4A0000000000A4 +:040E4B0000000000A3 +:040E4C0000000000A2 +:040E4D0000000000A1 +:040E4E0000000000A0 +:040E4F00000000009F +:040E5000000000009E +:040E5100000000009D +:040E5200000000009C +:040E5300000000009B +:040E5400000000009A +:040E55000000000099 +:040E56000000000098 +:040E57000000000097 +:040E58000000000096 +:040E59000000000095 +:040E5A000000000094 +:040E5B000000000093 +:040E5C000000000092 +:040E5D000000000091 +:040E5E000000000090 +:040E5F00000000008F +:040E6000000000008E +:040E6100000000008D +:040E6200000000008C +:040E6300000000008B +:040E6400000000008A +:040E65000000000089 +:040E66000000000088 +:040E67000000000087 +:040E68000000000086 +:040E69000000000085 +:040E6A000000000084 +:040E6B000000000083 +:040E6C000000000082 +:040E6D000000000081 +:040E6E000000000080 +:040E6F00000000007F +:040E7000000000007E +:040E7100000000007D +:040E7200000000007C +:040E7300000000007B +:040E7400000000007A +:040E75000000000079 +:040E76000000000078 +:040E77000000000077 +:040E78000000000076 +:040E79000000000075 +:040E7A000000000074 +:040E7B000000000073 +:040E7C000000000072 +:040E7D000000000071 +:040E7E000000000070 +:040E7F00000000006F +:040E8000000000006E +:040E8100000000006D +:040E8200000000006C +:040E8300000000006B +:040E8400000000006A +:040E85000000000069 +:040E86000000000068 +:040E87000000000067 +:040E88000000000066 +:040E89000000000065 +:040E8A000000000064 +:040E8B000000000063 +:040E8C000000000062 +:040E8D000000000061 +:040E8E000000000060 +:040E8F00000000005F +:040E9000000000005E +:040E9100000000005D +:040E9200000000005C +:040E9300000000005B +:040E9400000000005A +:040E95000000000059 +:040E96000000000058 +:040E97000000000057 +:040E98000000000056 +:040E99000000000055 +:040E9A000000000054 +:040E9B000000000053 +:040E9C000000000052 +:040E9D000000000051 +:040E9E000000000050 +:040E9F00000000004F +:040EA000000000004E +:040EA100000000004D +:040EA200000000004C +:040EA300000000004B +:040EA400000000004A +:040EA5000000000049 +:040EA6000000000048 +:040EA7000000000047 +:040EA8000000000046 +:040EA9000000000045 +:040EAA000000000044 +:040EAB000000000043 +:040EAC000000000042 +:040EAD000000000041 +:040EAE000000000040 +:040EAF00000000003F +:040EB000000000003E +:040EB100000000003D +:040EB200000000003C +:040EB300000000003B +:040EB400000000003A +:040EB5000000000039 +:040EB6000000000038 +:040EB7000000000037 +:040EB8000000000036 +:040EB9000000000035 +:040EBA000000000034 +:040EBB000000000033 +:040EBC000000000032 +:040EBD000000000031 +:040EBE000000000030 +:040EBF00000000002F +:040EC000000000002E +:040EC100000000002D +:040EC200000000002C +:040EC300000000002B +:040EC400000000002A +:040EC5000000000029 +:040EC6000000000028 +:040EC7000000000027 +:040EC8000000000026 +:040EC9000000000025 +:040ECA000000000024 +:040ECB000000000023 +:040ECC000000000022 +:040ECD000000000021 +:040ECE000000000020 +:040ECF00000000001F +:040ED000000000001E +:040ED100000000001D +:040ED200000000001C +:040ED300000000001B +:040ED400000000001A +:040ED5000000000019 +:040ED6000000000018 +:040ED7000000000017 +:040ED8000000000016 +:040ED9000000000015 +:040EDA000000000014 +:040EDB000000000013 +:040EDC000000000012 +:040EDD000000000011 +:040EDE000000000010 +:040EDF00000000000F +:040EE000000000000E +:040EE100000000000D +:040EE200000000000C +:040EE300000000000B +:040EE400000000000A +:040EE5000000000009 +:040EE6000000000008 +:040EE7000000000007 +:040EE8000000000006 +:040EE9000000000005 +:040EEA000000000004 +:040EEB000000000003 +:040EEC000000000002 +:040EED000000000001 +:040EEE000000000000 +:040EEF0000000000FF +:040EF00000000000FE +:040EF10000000000FD +:040EF20000000000FC +:040EF30000000000FB +:040EF40000000000FA +:040EF50000000000F9 +:040EF60000000000F8 +:040EF70000000000F7 +:040EF80000000000F6 +:040EF90000000000F5 +:040EFA0000000000F4 +:040EFB0000000000F3 +:040EFC0000000000F2 +:040EFD0000000000F1 +:040EFE0000000000F0 +:040EFF0000000000EF +:040F000000000000ED +:040F010000000000EC +:040F020000000000EB +:040F030000000000EA +:040F040000000000E9 +:040F050000000000E8 +:040F060000000000E7 +:040F070000000000E6 +:040F080000000000E5 +:040F090000000000E4 +:040F0A0000000000E3 +:040F0B0000000000E2 +:040F0C0000000000E1 +:040F0D0000000000E0 +:040F0E0000000000DF +:040F0F0000000000DE +:040F100000000000DD +:040F110000000000DC +:040F120000000000DB +:040F130000000000DA +:040F140000000000D9 +:040F150000000000D8 +:040F160000000000D7 +:040F170000000000D6 +:040F180000000000D5 +:040F190000000000D4 +:040F1A0000000000D3 +:040F1B0000000000D2 +:040F1C0000000000D1 +:040F1D0000000000D0 +:040F1E0000000000CF +:040F1F0000000000CE +:040F200000000000CD +:040F210000000000CC +:040F220000000000CB +:040F230000000000CA +:040F240000000000C9 +:040F250000000000C8 +:040F260000000000C7 +:040F270000000000C6 +:040F280000000000C5 +:040F290000000000C4 +:040F2A0000000000C3 +:040F2B0000000000C2 +:040F2C0000000000C1 +:040F2D0000000000C0 +:040F2E0000000000BF +:040F2F0000000000BE +:040F300000000000BD +:040F310000000000BC +:040F320000000000BB +:040F330000000000BA +:040F340000000000B9 +:040F350000000000B8 +:040F360000000000B7 +:040F370000000000B6 +:040F380000000000B5 +:040F390000000000B4 +:040F3A0000000000B3 +:040F3B0000000000B2 +:040F3C0000000000B1 +:040F3D0000000000B0 +:040F3E0000000000AF +:040F3F0000000000AE +:040F400000000000AD +:040F410000000000AC +:040F420000000000AB +:040F430000000000AA +:040F440000000000A9 +:040F450000000000A8 +:040F460000000000A7 +:040F470000000000A6 +:040F480000000000A5 +:040F490000000000A4 +:040F4A0000000000A3 +:040F4B0000000000A2 +:040F4C0000000000A1 +:040F4D0000000000A0 +:040F4E00000000009F +:040F4F00000000009E +:040F5000000000009D +:040F5100000000009C +:040F5200000000009B +:040F5300000000009A +:040F54000000000099 +:040F55000000000098 +:040F56000000000097 +:040F57000000000096 +:040F58000000000095 +:040F59000000000094 +:040F5A000000000093 +:040F5B000000000092 +:040F5C000000000091 +:040F5D000000000090 +:040F5E00000000008F +:040F5F00000000008E +:040F6000000000008D +:040F6100000000008C +:040F6200000000008B +:040F6300000000008A +:040F64000000000089 +:040F65000000000088 +:040F66000000000087 +:040F67000000000086 +:040F68000000000085 +:040F69000000000084 +:040F6A000000000083 +:040F6B000000000082 +:040F6C000000000081 +:040F6D000000000080 +:040F6E00000000007F +:040F6F00000000007E +:040F7000000000007D +:040F7100000000007C +:040F7200000000007B +:040F7300000000007A +:040F74000000000079 +:040F75000000000078 +:040F76000000000077 +:040F77000000000076 +:040F78000000000075 +:040F79000000000074 +:040F7A000000000073 +:040F7B000000000072 +:040F7C000000000071 +:040F7D000000000070 +:040F7E00000000006F +:040F7F00000000006E +:040F8000000000006D +:040F8100000000006C +:040F8200000000006B +:040F8300000000006A +:040F84000000000069 +:040F85000000000068 +:040F86000000000067 +:040F87000000000066 +:040F88000000000065 +:040F89000000000064 +:040F8A000000000063 +:040F8B000000000062 +:040F8C000000000061 +:040F8D000000000060 +:040F8E00000000005F +:040F8F00000000005E +:040F9000000000005D +:040F9100000000005C +:040F9200000000005B +:040F9300000000005A +:040F94000000000059 +:040F95000000000058 +:040F96000000000057 +:040F97000000000056 +:040F98000000000055 +:040F99000000000054 +:040F9A000000000053 +:040F9B000000000052 +:040F9C000000000051 +:040F9D000000000050 +:040F9E00000000004F +:040F9F00000000004E +:040FA000000000004D +:040FA100000000004C +:040FA200000000004B +:040FA300000000004A +:040FA4000000000049 +:040FA5000000000048 +:040FA6000000000047 +:040FA7000000000046 +:040FA8000000000045 +:040FA9000000000044 +:040FAA000000000043 +:040FAB000000000042 +:040FAC000000000041 +:040FAD000000000040 +:040FAE00000000003F +:040FAF00000000003E +:040FB000000000003D +:040FB100000000003C +:040FB200000000003B +:040FB300000000003A +:040FB4000000000039 +:040FB5000000000038 +:040FB6000000000037 +:040FB7000000000036 +:040FB8000000000035 +:040FB9000000000034 +:040FBA000000000033 +:040FBB000000000032 +:040FBC000000000031 +:040FBD000000000030 +:040FBE00000000002F +:040FBF00000000002E +:040FC000000000002D +:040FC100000000002C +:040FC200000000002B +:040FC300000000002A +:040FC4000000000029 +:040FC5000000000028 +:040FC6000000000027 +:040FC7000000000026 +:040FC8000000000025 +:040FC9000000000024 +:040FCA000000000023 +:040FCB000000000022 +:040FCC000000000021 +:040FCD000000000020 +:040FCE00000000001F +:040FCF00000000001E +:040FD000000000001D +:040FD100000000001C +:040FD200000000001B +:040FD300000000001A +:040FD4000000000019 +:040FD5000000000018 +:040FD6000000000017 +:040FD7000000000016 +:040FD8000000000015 +:040FD9000000000014 +:040FDA000000000013 +:040FDB000000000012 +:040FDC000000000011 +:040FDD000000000010 +:040FDE00000000000F +:040FDF00000000000E +:040FE000000000000D +:040FE100000000000C +:040FE200000000000B +:040FE300000000000A +:040FE4000000000009 +:040FE5000000000008 +:040FE6000000000007 +:040FE7000000000006 +:040FE8000000000005 +:040FE9000000000004 +:040FEA000000000003 +:040FEB000000000002 +:040FEC000000000001 +:040FED000000000000 +:040FEE0000000000FF +:040FEF0000000000FE +:040FF00000000000FD +:040FF10000000000FC +:040FF20000000000FB +:040FF30000000000FA +:040FF40000000000F9 +:040FF50000000000F8 +:040FF60000000000F7 +:040FF70000000000F6 +:040FF80000000000F5 +:040FF90000000000F4 +:040FFA0000000000F3 +:040FFB0000000000F2 +:040FFC0000000000F1 +:040FFD0000000000F0 +:040FFE0000000000EF +:040FFF0000000000EE +:0410000000000000EC +:0410010000000000EB +:0410020000000000EA +:0410030000000000E9 +:0410040000000000E8 +:0410050000000000E7 +:0410060000000000E6 +:0410070000000000E5 +:0410080000000000E4 +:0410090000000000E3 +:04100A0000000000E2 +:04100B0000000000E1 +:04100C0000000000E0 +:04100D0000000000DF +:04100E0000000000DE +:04100F0000000000DD +:0410100000000000DC +:0410110000000000DB +:0410120000000000DA +:0410130000000000D9 +:0410140000000000D8 +:0410150000000000D7 +:0410160000000000D6 +:0410170000000000D5 +:0410180000000000D4 +:0410190000000000D3 +:04101A0000000000D2 +:04101B0000000000D1 +:04101C0000000000D0 +:04101D0000000000CF +:04101E0000000000CE +:04101F0000000000CD +:0410200000000000CC +:0410210000000000CB +:0410220000000000CA +:0410230000000000C9 +:0410240000000000C8 +:0410250000000000C7 +:0410260000000000C6 +:0410270000000000C5 +:0410280000000000C4 +:0410290000000000C3 +:04102A0000000000C2 +:04102B0000000000C1 +:04102C0000000000C0 +:04102D0000000000BF +:04102E0000000000BE +:04102F0000000000BD +:0410300000000000BC +:0410310000000000BB +:0410320000000000BA +:0410330000000000B9 +:0410340000000000B8 +:0410350000000000B7 +:0410360000000000B6 +:0410370000000000B5 +:0410380000000000B4 +:0410390000000000B3 +:04103A0000000000B2 +:04103B0000000000B1 +:04103C0000000000B0 +:04103D0000000000AF +:04103E0000000000AE +:04103F0000000000AD +:0410400000000000AC +:0410410000000000AB +:0410420000000000AA +:0410430000000000A9 +:0410440000000000A8 +:0410450000000000A7 +:0410460000000000A6 +:0410470000000000A5 +:0410480000000000A4 +:0410490000000000A3 +:04104A0000000000A2 +:04104B0000000000A1 +:04104C0000000000A0 +:04104D00000000009F +:04104E00000000009E +:04104F00000000009D +:04105000000000009C +:04105100000000009B +:04105200000000009A +:041053000000000099 +:041054000000000098 +:041055000000000097 +:041056000000000096 +:041057000000000095 +:041058000000000094 +:041059000000000093 +:04105A000000000092 +:04105B000000000091 +:04105C000000000090 +:04105D00000000008F +:04105E00000000008E +:04105F00000000008D +:04106000000000008C +:04106100000000008B +:04106200000000008A +:041063000000000089 +:041064000000000088 +:041065000000000087 +:041066000000000086 +:041067000000000085 +:041068000000000084 +:041069000000000083 +:04106A000000000082 +:04106B000000000081 +:04106C000000000080 +:04106D00000000007F +:04106E00000000007E +:04106F00000000007D +:04107000000000007C +:04107100000000007B +:04107200000000007A +:041073000000000079 +:041074000000000078 +:041075000000000077 +:041076000000000076 +:041077000000000075 +:041078000000000074 +:041079000000000073 +:04107A000000000072 +:04107B000000000071 +:04107C000000000070 +:04107D00000000006F +:04107E00000000006E +:04107F00000000006D +:04108000000000006C +:04108100000000006B +:04108200000000006A +:041083000000000069 +:041084000000000068 +:041085000000000067 +:041086000000000066 +:041087000000000065 +:041088000000000064 +:041089000000000063 +:04108A000000000062 +:04108B000000000061 +:04108C000000000060 +:04108D00000000005F +:04108E00000000005E +:04108F00000000005D +:04109000000000005C +:04109100000000005B +:04109200000000005A +:041093000000000059 +:041094000000000058 +:041095000000000057 +:041096000000000056 +:041097000000000055 +:041098000000000054 +:041099000000000053 +:04109A000000000052 +:04109B000000000051 +:04109C000000000050 +:04109D00000000004F +:04109E00000000004E +:04109F00000000004D +:0410A000000000004C +:0410A100000000004B +:0410A200000000004A +:0410A3000000000049 +:0410A4000000000048 +:0410A5000000000047 +:0410A6000000000046 +:0410A7000000000045 +:0410A8000000000044 +:0410A9000000000043 +:0410AA000000000042 +:0410AB000000000041 +:0410AC000000000040 +:0410AD00000000003F +:0410AE00000000003E +:0410AF00000000003D +:0410B000000000003C +:0410B100000000003B +:0410B200000000003A +:0410B3000000000039 +:0410B4000000000038 +:0410B5000000000037 +:0410B6000000000036 +:0410B7000000000035 +:0410B8000000000034 +:0410B9000000000033 +:0410BA000000000032 +:0410BB000000000031 +:0410BC000000000030 +:0410BD00000000002F +:0410BE00000000002E +:0410BF00000000002D +:0410C000000000002C +:0410C100000000002B +:0410C200000000002A +:0410C3000000000029 +:0410C4000000000028 +:0410C5000000000027 +:0410C6000000000026 +:0410C7000000000025 +:0410C8000000000024 +:0410C9000000000023 +:0410CA000000000022 +:0410CB000000000021 +:0410CC000000000020 +:0410CD00000000001F +:0410CE00000000001E +:0410CF00000000001D +:0410D000000000001C +:0410D100000000001B +:0410D200000000001A +:0410D3000000000019 +:0410D4000000000018 +:0410D5000000000017 +:0410D6000000000016 +:0410D7000000000015 +:0410D8000000000014 +:0410D9000000000013 +:0410DA000000000012 +:0410DB000000000011 +:0410DC000000000010 +:0410DD00000000000F +:0410DE00000000000E +:0410DF00000000000D +:0410E000000000000C +:0410E100000000000B +:0410E200000000000A +:0410E3000000000009 +:0410E4000000000008 +:0410E5000000000007 +:0410E6000000000006 +:0410E7000000000005 +:0410E8000000000004 +:0410E9000000000003 +:0410EA000000000002 +:0410EB000000000001 +:0410EC000000000000 +:0410ED0000000000FF +:0410EE0000000000FE +:0410EF0000000000FD +:0410F00000000000FC +:0410F10000000000FB +:0410F20000000000FA +:0410F30000000000F9 +:0410F40000000000F8 +:0410F50000000000F7 +:0410F60000000000F6 +:0410F70000000000F5 +:0410F80000000000F4 +:0410F90000000000F3 +:0410FA0000000000F2 +:0410FB0000000000F1 +:0410FC0000000000F0 +:0410FD0000000000EF +:0410FE0000000000EE +:0410FF0000000000ED +:0411000000000000EB +:0411010000000000EA +:0411020000000000E9 +:0411030000000000E8 +:0411040000000000E7 +:0411050000000000E6 +:0411060000000000E5 +:0411070000000000E4 +:0411080000000000E3 +:0411090000000000E2 +:04110A0000000000E1 +:04110B0000000000E0 +:04110C0000000000DF +:04110D0000000000DE +:04110E0000000000DD +:04110F0000000000DC +:0411100000000000DB +:0411110000000000DA +:0411120000000000D9 +:0411130000000000D8 +:0411140000000000D7 +:0411150000000000D6 +:0411160000000000D5 +:0411170000000000D4 +:0411180000000000D3 +:0411190000000000D2 +:04111A0000000000D1 +:04111B0000000000D0 +:04111C0000000000CF +:04111D0000000000CE +:04111E0000000000CD +:04111F0000000000CC +:0411200000000000CB +:0411210000000000CA +:0411220000000000C9 +:0411230000000000C8 +:0411240000000000C7 +:0411250000000000C6 +:0411260000000000C5 +:0411270000000000C4 +:0411280000000000C3 +:0411290000000000C2 +:04112A0000000000C1 +:04112B0000000000C0 +:04112C0000000000BF +:04112D0000000000BE +:04112E0000000000BD +:04112F0000000000BC +:0411300000000000BB +:0411310000000000BA +:0411320000000000B9 +:0411330000000000B8 +:0411340000000000B7 +:0411350000000000B6 +:0411360000000000B5 +:0411370000000000B4 +:0411380000000000B3 +:0411390000000000B2 +:04113A0000000000B1 +:04113B0000000000B0 +:04113C0000000000AF +:04113D0000000000AE +:04113E0000000000AD +:04113F0000000000AC +:0411400000000000AB +:0411410000000000AA +:0411420000000000A9 +:0411430000000000A8 +:0411440000000000A7 +:0411450000000000A6 +:0411460000000000A5 +:0411470000000000A4 +:0411480000000000A3 +:0411490000000000A2 +:04114A0000000000A1 +:04114B0000000000A0 +:04114C00000000009F +:04114D00000000009E +:04114E00000000009D +:04114F00000000009C +:04115000000000009B +:04115100000000009A +:041152000000000099 +:041153000000000098 +:041154000000000097 +:041155000000000096 +:041156000000000095 +:041157000000000094 +:041158000000000093 +:041159000000000092 +:04115A000000000091 +:04115B000000000090 +:04115C00000000008F +:04115D00000000008E +:04115E00000000008D +:04115F00000000008C +:04116000000000008B +:04116100000000008A +:041162000000000089 +:041163000000000088 +:041164000000000087 +:041165000000000086 +:041166000000000085 +:041167000000000084 +:041168000000000083 +:041169000000000082 +:04116A000000000081 +:04116B000000000080 +:04116C00000000007F +:04116D00000000007E +:04116E00000000007D +:04116F00000000007C +:04117000000000007B +:04117100000000007A +:041172000000000079 +:041173000000000078 +:041174000000000077 +:041175000000000076 +:041176000000000075 +:041177000000000074 +:041178000000000073 +:041179000000000072 +:04117A000000000071 +:04117B000000000070 +:04117C00000000006F +:04117D00000000006E +:04117E00000000006D +:04117F00000000006C +:04118000000000006B +:04118100000000006A +:041182000000000069 +:041183000000000068 +:041184000000000067 +:041185000000000066 +:041186000000000065 +:041187000000000064 +:041188000000000063 +:041189000000000062 +:04118A000000000061 +:04118B000000000060 +:04118C00000000005F +:04118D00000000005E +:04118E00000000005D +:04118F00000000005C +:04119000000000005B +:04119100000000005A +:041192000000000059 +:041193000000000058 +:041194000000000057 +:041195000000000056 +:041196000000000055 +:041197000000000054 +:041198000000000053 +:041199000000000052 +:04119A000000000051 +:04119B000000000050 +:04119C00000000004F +:04119D00000000004E +:04119E00000000004D +:04119F00000000004C +:0411A000000000004B +:0411A100000000004A +:0411A2000000000049 +:0411A3000000000048 +:0411A4000000000047 +:0411A5000000000046 +:0411A6000000000045 +:0411A7000000000044 +:0411A8000000000043 +:0411A9000000000042 +:0411AA000000000041 +:0411AB000000000040 +:0411AC00000000003F +:0411AD00000000003E +:0411AE00000000003D +:0411AF00000000003C +:0411B000000000003B +:0411B100000000003A +:0411B2000000000039 +:0411B3000000000038 +:0411B4000000000037 +:0411B5000000000036 +:0411B6000000000035 +:0411B7000000000034 +:0411B8000000000033 +:0411B9000000000032 +:0411BA000000000031 +:0411BB000000000030 +:0411BC00000000002F +:0411BD00000000002E +:0411BE00000000002D +:0411BF00000000002C +:0411C000000000002B +:0411C100000000002A +:0411C2000000000029 +:0411C3000000000028 +:0411C4000000000027 +:0411C5000000000026 +:0411C6000000000025 +:0411C7000000000024 +:0411C8000000000023 +:0411C9000000000022 +:0411CA000000000021 +:0411CB000000000020 +:0411CC00000000001F +:0411CD00000000001E +:0411CE00000000001D +:0411CF00000000001C +:0411D000000000001B +:0411D100000000001A +:0411D2000000000019 +:0411D3000000000018 +:0411D4000000000017 +:0411D5000000000016 +:0411D6000000000015 +:0411D7000000000014 +:0411D8000000000013 +:0411D9000000000012 +:0411DA000000000011 +:0411DB000000000010 +:0411DC00000000000F +:0411DD00000000000E +:0411DE00000000000D +:0411DF00000000000C +:0411E000000000000B +:0411E100000000000A +:0411E2000000000009 +:0411E3000000000008 +:0411E4000000000007 +:0411E5000000000006 +:0411E6000000000005 +:0411E7000000000004 +:0411E8000000000003 +:0411E9000000000002 +:0411EA000000000001 +:0411EB000000000000 +:0411EC0000000000FF +:0411ED0000000000FE +:0411EE0000000000FD +:0411EF0000000000FC +:0411F00000000000FB +:0411F10000000000FA +:0411F20000000000F9 +:0411F30000000000F8 +:0411F40000000000F7 +:0411F50000000000F6 +:0411F60000000000F5 +:0411F70000000000F4 +:0411F80000000000F3 +:0411F90000000000F2 +:0411FA0000000000F1 +:0411FB0000000000F0 +:0411FC0000000000EF +:0411FD0000000000EE +:0411FE0000000000ED +:0411FF0000000000EC +:0412000000000000EA +:0412010000000000E9 +:0412020000000000E8 +:0412030000000000E7 +:0412040000000000E6 +:0412050000000000E5 +:0412060000000000E4 +:0412070000000000E3 +:0412080000000000E2 +:0412090000000000E1 +:04120A0000000000E0 +:04120B0000000000DF +:04120C0000000000DE +:04120D0000000000DD +:04120E0000000000DC +:04120F0000000000DB +:0412100000000000DA +:0412110000000000D9 +:0412120000000000D8 +:0412130000000000D7 +:0412140000000000D6 +:0412150000000000D5 +:0412160000000000D4 +:0412170000000000D3 +:0412180000000000D2 +:0412190000000000D1 +:04121A0000000000D0 +:04121B0000000000CF +:04121C0000000000CE +:04121D0000000000CD +:04121E0000000000CC +:04121F0000000000CB +:0412200000000000CA +:0412210000000000C9 +:0412220000000000C8 +:0412230000000000C7 +:0412240000000000C6 +:0412250000000000C5 +:0412260000000000C4 +:0412270000000000C3 +:0412280000000000C2 +:0412290000000000C1 +:04122A0000000000C0 +:04122B0000000000BF +:04122C0000000000BE +:04122D0000000000BD +:04122E0000000000BC +:04122F0000000000BB +:0412300000000000BA +:0412310000000000B9 +:0412320000000000B8 +:0412330000000000B7 +:0412340000000000B6 +:0412350000000000B5 +:0412360000000000B4 +:0412370000000000B3 +:0412380000000000B2 +:0412390000000000B1 +:04123A0000000000B0 +:04123B0000000000AF +:04123C0000000000AE +:04123D0000000000AD +:04123E0000000000AC +:04123F0000000000AB +:0412400000000000AA +:0412410000000000A9 +:0412420000000000A8 +:0412430000000000A7 +:0412440000000000A6 +:0412450000000000A5 +:0412460000000000A4 +:0412470000000000A3 +:0412480000000000A2 +:0412490000000000A1 +:04124A0000000000A0 +:04124B00000000009F +:04124C00000000009E +:04124D00000000009D +:04124E00000000009C +:04124F00000000009B +:04125000000000009A +:041251000000000099 +:041252000000000098 +:041253000000000097 +:041254000000000096 +:041255000000000095 +:041256000000000094 +:041257000000000093 +:041258000000000092 +:041259000000000091 +:04125A000000000090 +:04125B00000000008F +:04125C00000000008E +:04125D00000000008D +:04125E00000000008C +:04125F00000000008B +:04126000000000008A +:041261000000000089 +:041262000000000088 +:041263000000000087 +:041264000000000086 +:041265000000000085 +:041266000000000084 +:041267000000000083 +:041268000000000082 +:041269000000000081 +:04126A000000000080 +:04126B00000000007F +:04126C00000000007E +:04126D00000000007D +:04126E00000000007C +:04126F00000000007B +:04127000000000007A +:041271000000000079 +:041272000000000078 +:041273000000000077 +:041274000000000076 +:041275000000000075 +:041276000000000074 +:041277000000000073 +:041278000000000072 +:041279000000000071 +:04127A000000000070 +:04127B00000000006F +:04127C00000000006E +:04127D00000000006D +:04127E00000000006C +:04127F00000000006B +:04128000000000006A +:041281000000000069 +:041282000000000068 +:041283000000000067 +:041284000000000066 +:041285000000000065 +:041286000000000064 +:041287000000000063 +:041288000000000062 +:041289000000000061 +:04128A000000000060 +:04128B00000000005F +:04128C00000000005E +:04128D00000000005D +:04128E00000000005C +:04128F00000000005B +:04129000000000005A +:041291000000000059 +:041292000000000058 +:041293000000000057 +:041294000000000056 +:041295000000000055 +:041296000000000054 +:041297000000000053 +:041298000000000052 +:041299000000000051 +:04129A000000000050 +:04129B00000000004F +:04129C00000000004E +:04129D00000000004D +:04129E00000000004C +:04129F00000000004B +:0412A000000000004A +:0412A1000000000049 +:0412A2000000000048 +:0412A3000000000047 +:0412A4000000000046 +:0412A5000000000045 +:0412A6000000000044 +:0412A7000000000043 +:0412A8000000000042 +:0412A9000000000041 +:0412AA000000000040 +:0412AB00000000003F +:0412AC00000000003E +:0412AD00000000003D +:0412AE00000000003C +:0412AF00000000003B +:0412B000000000003A +:0412B1000000000039 +:0412B2000000000038 +:0412B3000000000037 +:0412B4000000000036 +:0412B5000000000035 +:0412B6000000000034 +:0412B7000000000033 +:0412B8000000000032 +:0412B9000000000031 +:0412BA000000000030 +:0412BB00000000002F +:0412BC00000000002E +:0412BD00000000002D +:0412BE00000000002C +:0412BF00000000002B +:0412C000000000002A +:0412C1000000000029 +:0412C2000000000028 +:0412C3000000000027 +:0412C4000000000026 +:0412C5000000000025 +:0412C6000000000024 +:0412C7000000000023 +:0412C8000000000022 +:0412C9000000000021 +:0412CA000000000020 +:0412CB00000000001F +:0412CC00000000001E +:0412CD00000000001D +:0412CE00000000001C +:0412CF00000000001B +:0412D000000000001A +:0412D1000000000019 +:0412D2000000000018 +:0412D3000000000017 +:0412D4000000000016 +:0412D5000000000015 +:0412D6000000000014 +:0412D7000000000013 +:0412D8000000000012 +:0412D9000000000011 +:0412DA000000000010 +:0412DB00000000000F +:0412DC00000000000E +:0412DD00000000000D +:0412DE00000000000C +:0412DF00000000000B +:0412E000000000000A +:0412E1000000000009 +:0412E2000000000008 +:0412E3000000000007 +:0412E4000000000006 +:0412E5000000000005 +:0412E6000000000004 +:0412E7000000000003 +:0412E8000000000002 +:0412E9000000000001 +:0412EA000000000000 +:0412EB0000000000FF +:0412EC0000000000FE +:0412ED0000000000FD +:0412EE0000000000FC +:0412EF0000000000FB +:0412F00000000000FA +:0412F10000000000F9 +:0412F20000000000F8 +:0412F30000000000F7 +:0412F40000000000F6 +:0412F50000000000F5 +:0412F60000000000F4 +:0412F70000000000F3 +:0412F80000000000F2 +:0412F90000000000F1 +:0412FA0000000000F0 +:0412FB0000000000EF +:0412FC0000000000EE +:0412FD0000000000ED +:0412FE0000000000EC +:0412FF0000000000EB +:0413000000000000E9 +:0413010000000000E8 +:0413020000000000E7 +:0413030000000000E6 +:0413040000000000E5 +:0413050000000000E4 +:0413060000000000E3 +:0413070000000000E2 +:0413080000000000E1 +:0413090000000000E0 +:04130A0000000000DF +:04130B0000000000DE +:04130C0000000000DD +:04130D0000000000DC +:04130E0000000000DB +:04130F0000000000DA +:0413100000000000D9 +:0413110000000000D8 +:0413120000000000D7 +:0413130000000000D6 +:0413140000000000D5 +:0413150000000000D4 +:0413160000000000D3 +:0413170000000000D2 +:0413180000000000D1 +:0413190000000000D0 +:04131A0000000000CF +:04131B0000000000CE +:04131C0000000000CD +:04131D0000000000CC +:04131E0000000000CB +:04131F0000000000CA +:0413200000000000C9 +:0413210000000000C8 +:0413220000000000C7 +:0413230000000000C6 +:0413240000000000C5 +:0413250000000000C4 +:0413260000000000C3 +:0413270000000000C2 +:0413280000000000C1 +:0413290000000000C0 +:04132A0000000000BF +:04132B0000000000BE +:04132C0000000000BD +:04132D0000000000BC +:04132E0000000000BB +:04132F0000000000BA +:0413300000000000B9 +:0413310000000000B8 +:0413320000000000B7 +:0413330000000000B6 +:0413340000000000B5 +:0413350000000000B4 +:0413360000000000B3 +:0413370000000000B2 +:0413380000000000B1 +:0413390000000000B0 +:04133A0000000000AF +:04133B0000000000AE +:04133C0000000000AD +:04133D0000000000AC +:04133E0000000000AB +:04133F0000000000AA +:0413400000000000A9 +:0413410000000000A8 +:0413420000000000A7 +:0413430000000000A6 +:0413440000000000A5 +:0413450000000000A4 +:0413460000000000A3 +:0413470000000000A2 +:0413480000000000A1 +:0413490000000000A0 +:04134A00000000009F +:04134B00000000009E +:04134C00000000009D +:04134D00000000009C +:04134E00000000009B +:04134F00000000009A +:041350000000000099 +:041351000000000098 +:041352000000000097 +:041353000000000096 +:041354000000000095 +:041355000000000094 +:041356000000000093 +:041357000000000092 +:041358000000000091 +:041359000000000090 +:04135A00000000008F +:04135B00000000008E +:04135C00000000008D +:04135D00000000008C +:04135E00000000008B +:04135F00000000008A +:041360000000000089 +:041361000000000088 +:041362000000000087 +:041363000000000086 +:041364000000000085 +:041365000000000084 +:041366000000000083 +:041367000000000082 +:041368000000000081 +:041369000000000080 +:04136A00000000007F +:04136B00000000007E +:04136C00000000007D +:04136D00000000007C +:04136E00000000007B +:04136F00000000007A +:041370000000000079 +:041371000000000078 +:041372000000000077 +:041373000000000076 +:041374000000000075 +:041375000000000074 +:041376000000000073 +:041377000000000072 +:041378000000000071 +:041379000000000070 +:04137A00000000006F +:04137B00000000006E +:04137C00000000006D +:04137D00000000006C +:04137E00000000006B +:04137F00000000006A +:041380000000000069 +:041381000000000068 +:041382000000000067 +:041383000000000066 +:041384000000000065 +:041385000000000064 +:041386000000000063 +:041387000000000062 +:041388000000000061 +:041389000000000060 +:04138A00000000005F +:04138B00000000005E +:04138C00000000005D +:04138D00000000005C +:04138E00000000005B +:04138F00000000005A +:041390000000000059 +:041391000000000058 +:041392000000000057 +:041393000000000056 +:041394000000000055 +:041395000000000054 +:041396000000000053 +:041397000000000052 +:041398000000000051 +:041399000000000050 +:04139A00000000004F +:04139B00000000004E +:04139C00000000004D +:04139D00000000004C +:04139E00000000004B +:04139F00000000004A +:0413A0000000000049 +:0413A1000000000048 +:0413A2000000000047 +:0413A3000000000046 +:0413A4000000000045 +:0413A5000000000044 +:0413A6000000000043 +:0413A7000000000042 +:0413A8000000000041 +:0413A9000000000040 +:0413AA00000000003F +:0413AB00000000003E +:0413AC00000000003D +:0413AD00000000003C +:0413AE00000000003B +:0413AF00000000003A +:0413B0000000000039 +:0413B1000000000038 +:0413B2000000000037 +:0413B3000000000036 +:0413B4000000000035 +:0413B5000000000034 +:0413B6000000000033 +:0413B7000000000032 +:0413B8000000000031 +:0413B9000000000030 +:0413BA00000000002F +:0413BB00000000002E +:0413BC00000000002D +:0413BD00000000002C +:0413BE00000000002B +:0413BF00000000002A +:0413C0000000000029 +:0413C1000000000028 +:0413C2000000000027 +:0413C3000000000026 +:0413C4000000000025 +:0413C5000000000024 +:0413C6000000000023 +:0413C7000000000022 +:0413C8000000000021 +:0413C9000000000020 +:0413CA00000000001F +:0413CB00000000001E +:0413CC00000000001D +:0413CD00000000001C +:0413CE00000000001B +:0413CF00000000001A +:0413D0000000000019 +:0413D1000000000018 +:0413D2000000000017 +:0413D3000000000016 +:0413D4000000000015 +:0413D5000000000014 +:0413D6000000000013 +:0413D7000000000012 +:0413D8000000000011 +:0413D9000000000010 +:0413DA00000000000F +:0413DB00000000000E +:0413DC00000000000D +:0413DD00000000000C +:0413DE00000000000B +:0413DF00000000000A +:0413E0000000000009 +:0413E1000000000008 +:0413E2000000000007 +:0413E3000000000006 +:0413E4000000000005 +:0413E5000000000004 +:0413E6000000000003 +:0413E7000000000002 +:0413E8000000000001 +:0413E9000000000000 +:0413EA0000000000FF +:0413EB0000000000FE +:0413EC0000000000FD +:0413ED0000000000FC +:0413EE0000000000FB +:0413EF0000000000FA +:0413F00000000000F9 +:0413F10000000000F8 +:0413F20000000000F7 +:0413F30000000000F6 +:0413F40000000000F5 +:0413F50000000000F4 +:0413F60000000000F3 +:0413F70000000000F2 +:0413F80000000000F1 +:0413F90000000000F0 +:0413FA0000000000EF +:0413FB0000000000EE +:0413FC0000000000ED +:0413FD0000000000EC +:0413FE0000000000EB +:0413FF0000000000EA +:0414000000000000E8 +:0414010000000000E7 +:0414020000000000E6 +:0414030000000000E5 +:0414040000000000E4 +:0414050000000000E3 +:0414060000000000E2 +:0414070000000000E1 +:0414080000000000E0 +:0414090000000000DF +:04140A0000000000DE +:04140B0000000000DD +:04140C0000000000DC +:04140D0000000000DB +:04140E0000000000DA +:04140F0000000000D9 +:0414100000000000D8 +:0414110000000000D7 +:0414120000000000D6 +:0414130000000000D5 +:0414140000000000D4 +:0414150000000000D3 +:0414160000000000D2 +:0414170000000000D1 +:0414180000000000D0 +:0414190000000000CF +:04141A0000000000CE +:04141B0000000000CD +:04141C0000000000CC +:04141D0000000000CB +:04141E0000000000CA +:04141F0000000000C9 +:0414200000000000C8 +:0414210000000000C7 +:0414220000000000C6 +:0414230000000000C5 +:0414240000000000C4 +:0414250000000000C3 +:0414260000000000C2 +:0414270000000000C1 +:0414280000000000C0 +:0414290000000000BF +:04142A0000000000BE +:04142B0000000000BD +:04142C0000000000BC +:04142D0000000000BB +:04142E0000000000BA +:04142F0000000000B9 +:0414300000000000B8 +:0414310000000000B7 +:0414320000000000B6 +:0414330000000000B5 +:0414340000000000B4 +:0414350000000000B3 +:0414360000000000B2 +:0414370000000000B1 +:0414380000000000B0 +:0414390000000000AF +:04143A0000000000AE +:04143B0000000000AD +:04143C0000000000AC +:04143D0000000000AB +:04143E0000000000AA +:04143F0000000000A9 +:0414400000000000A8 +:0414410000000000A7 +:0414420000000000A6 +:0414430000000000A5 +:0414440000000000A4 +:0414450000000000A3 +:0414460000000000A2 +:0414470000000000A1 +:0414480000000000A0 +:04144900000000009F +:04144A00000000009E +:04144B00000000009D +:04144C00000000009C +:04144D00000000009B +:04144E00000000009A +:04144F000000000099 +:041450000000000098 +:041451000000000097 +:041452000000000096 +:041453000000000095 +:041454000000000094 +:041455000000000093 +:041456000000000092 +:041457000000000091 +:041458000000000090 +:04145900000000008F +:04145A00000000008E +:04145B00000000008D +:04145C00000000008C +:04145D00000000008B +:04145E00000000008A +:04145F000000000089 +:041460000000000088 +:041461000000000087 +:041462000000000086 +:041463000000000085 +:041464000000000084 +:041465000000000083 +:041466000000000082 +:041467000000000081 +:041468000000000080 +:04146900000000007F +:04146A00000000007E +:04146B00000000007D +:04146C00000000007C +:04146D00000000007B +:04146E00000000007A +:04146F000000000079 +:041470000000000078 +:041471000000000077 +:041472000000000076 +:041473000000000075 +:041474000000000074 +:041475000000000073 +:041476000000000072 +:041477000000000071 +:041478000000000070 +:04147900000000006F +:04147A00000000006E +:04147B00000000006D +:04147C00000000006C +:04147D00000000006B +:04147E00000000006A +:04147F000000000069 +:041480000000000068 +:041481000000000067 +:041482000000000066 +:041483000000000065 +:041484000000000064 +:041485000000000063 +:041486000000000062 +:041487000000000061 +:041488000000000060 +:04148900000000005F +:04148A00000000005E +:04148B00000000005D +:04148C00000000005C +:04148D00000000005B +:04148E00000000005A +:04148F000000000059 +:041490000000000058 +:041491000000000057 +:041492000000000056 +:041493000000000055 +:041494000000000054 +:041495000000000053 +:041496000000000052 +:041497000000000051 +:041498000000000050 +:04149900000000004F +:04149A00000000004E +:04149B00000000004D +:04149C00000000004C +:04149D00000000004B +:04149E00000000004A +:04149F000000000049 +:0414A0000000000048 +:0414A1000000000047 +:0414A2000000000046 +:0414A3000000000045 +:0414A4000000000044 +:0414A5000000000043 +:0414A6000000000042 +:0414A7000000000041 +:0414A8000000000040 +:0414A900000000003F +:0414AA00000000003E +:0414AB00000000003D +:0414AC00000000003C +:0414AD00000000003B +:0414AE00000000003A +:0414AF000000000039 +:0414B0000000000038 +:0414B1000000000037 +:0414B2000000000036 +:0414B3000000000035 +:0414B4000000000034 +:0414B5000000000033 +:0414B6000000000032 +:0414B7000000000031 +:0414B8000000000030 +:0414B900000000002F +:0414BA00000000002E +:0414BB00000000002D +:0414BC00000000002C +:0414BD00000000002B +:0414BE00000000002A +:0414BF000000000029 +:0414C0000000000028 +:0414C1000000000027 +:0414C2000000000026 +:0414C3000000000025 +:0414C4000000000024 +:0414C5000000000023 +:0414C6000000000022 +:0414C7000000000021 +:0414C8000000000020 +:0414C900000000001F +:0414CA00000000001E +:0414CB00000000001D +:0414CC00000000001C +:0414CD00000000001B +:0414CE00000000001A +:0414CF000000000019 +:0414D0000000000018 +:0414D1000000000017 +:0414D2000000000016 +:0414D3000000000015 +:0414D4000000000014 +:0414D5000000000013 +:0414D6000000000012 +:0414D7000000000011 +:0414D8000000000010 +:0414D900000000000F +:0414DA00000000000E +:0414DB00000000000D +:0414DC00000000000C +:0414DD00000000000B +:0414DE00000000000A +:0414DF000000000009 +:0414E0000000000008 +:0414E1000000000007 +:0414E2000000000006 +:0414E3000000000005 +:0414E4000000000004 +:0414E5000000000003 +:0414E6000000000002 +:0414E7000000000001 +:0414E8000000000000 +:0414E90000000000FF +:0414EA0000000000FE +:0414EB0000000000FD +:0414EC0000000000FC +:0414ED0000000000FB +:0414EE0000000000FA +:0414EF0000000000F9 +:0414F00000000000F8 +:0414F10000000000F7 +:0414F20000000000F6 +:0414F30000000000F5 +:0414F40000000000F4 +:0414F50000000000F3 +:0414F60000000000F2 +:0414F70000000000F1 +:0414F80000000000F0 +:0414F90000000000EF +:0414FA0000000000EE +:0414FB0000000000ED +:0414FC0000000000EC +:0414FD0000000000EB +:0414FE0000000000EA +:0414FF0000000000E9 +:0415000000000000E7 +:0415010000000000E6 +:0415020000000000E5 +:0415030000000000E4 +:0415040000000000E3 +:0415050000000000E2 +:0415060000000000E1 +:0415070000000000E0 +:0415080000000000DF +:0415090000000000DE +:04150A0000000000DD +:04150B0000000000DC +:04150C0000000000DB +:04150D0000000000DA +:04150E0000000000D9 +:04150F0000000000D8 +:0415100000000000D7 +:0415110000000000D6 +:0415120000000000D5 +:0415130000000000D4 +:0415140000000000D3 +:0415150000000000D2 +:0415160000000000D1 +:0415170000000000D0 +:0415180000000000CF +:0415190000000000CE +:04151A0000000000CD +:04151B0000000000CC +:04151C0000000000CB +:04151D0000000000CA +:04151E0000000000C9 +:04151F0000000000C8 +:0415200000000000C7 +:0415210000000000C6 +:0415220000000000C5 +:0415230000000000C4 +:0415240000000000C3 +:0415250000000000C2 +:0415260000000000C1 +:0415270000000000C0 +:0415280000000000BF +:0415290000000000BE +:04152A0000000000BD +:04152B0000000000BC +:04152C0000000000BB +:04152D0000000000BA +:04152E0000000000B9 +:04152F0000000000B8 +:0415300000000000B7 +:0415310000000000B6 +:0415320000000000B5 +:0415330000000000B4 +:0415340000000000B3 +:0415350000000000B2 +:0415360000000000B1 +:0415370000000000B0 +:0415380000000000AF +:0415390000000000AE +:04153A0000000000AD +:04153B0000000000AC +:04153C0000000000AB +:04153D0000000000AA +:04153E0000000000A9 +:04153F0000000000A8 +:0415400000000000A7 +:0415410000000000A6 +:0415420000000000A5 +:0415430000000000A4 +:0415440000000000A3 +:0415450000000000A2 +:0415460000000000A1 +:0415470000000000A0 +:04154800000000009F +:04154900000000009E +:04154A00000000009D +:04154B00000000009C +:04154C00000000009B +:04154D00000000009A +:04154E000000000099 +:04154F000000000098 +:041550000000000097 +:041551000000000096 +:041552000000000095 +:041553000000000094 +:041554000000000093 +:041555000000000092 +:041556000000000091 +:041557000000000090 +:04155800000000008F +:04155900000000008E +:04155A00000000008D +:04155B00000000008C +:04155C00000000008B +:04155D00000000008A +:04155E000000000089 +:04155F000000000088 +:041560000000000087 +:041561000000000086 +:041562000000000085 +:041563000000000084 +:041564000000000083 +:041565000000000082 +:041566000000000081 +:041567000000000080 +:04156800000000007F +:04156900000000007E +:04156A00000000007D +:04156B00000000007C +:04156C00000000007B +:04156D00000000007A +:04156E000000000079 +:04156F000000000078 +:041570000000000077 +:041571000000000076 +:041572000000000075 +:041573000000000074 +:041574000000000073 +:041575000000000072 +:041576000000000071 +:041577000000000070 +:04157800000000006F +:04157900000000006E +:04157A00000000006D +:04157B00000000006C +:04157C00000000006B +:04157D00000000006A +:04157E000000000069 +:04157F000000000068 +:041580000000000067 +:041581000000000066 +:041582000000000065 +:041583000000000064 +:041584000000000063 +:041585000000000062 +:041586000000000061 +:041587000000000060 +:04158800000000005F +:04158900000000005E +:04158A00000000005D +:04158B00000000005C +:04158C00000000005B +:04158D00000000005A +:04158E000000000059 +:04158F000000000058 +:041590000000000057 +:041591000000000056 +:041592000000000055 +:041593000000000054 +:041594000000000053 +:041595000000000052 +:041596000000000051 +:041597000000000050 +:04159800000000004F +:04159900000000004E +:04159A00000000004D +:04159B00000000004C +:04159C00000000004B +:04159D00000000004A +:04159E000000000049 +:04159F000000000048 +:0415A0000000000047 +:0415A1000000000046 +:0415A2000000000045 +:0415A3000000000044 +:0415A4000000000043 +:0415A5000000000042 +:0415A6000000000041 +:0415A7000000000040 +:0415A800000000003F +:0415A900000000003E +:0415AA00000000003D +:0415AB00000000003C +:0415AC00000000003B +:0415AD00000000003A +:0415AE000000000039 +:0415AF000000000038 +:0415B0000000000037 +:0415B1000000000036 +:0415B2000000000035 +:0415B3000000000034 +:0415B4000000000033 +:0415B5000000000032 +:0415B6000000000031 +:0415B7000000000030 +:0415B800000000002F +:0415B900000000002E +:0415BA00000000002D +:0415BB00000000002C +:0415BC00000000002B +:0415BD00000000002A +:0415BE000000000029 +:0415BF000000000028 +:0415C0000000000027 +:0415C1000000000026 +:0415C2000000000025 +:0415C3000000000024 +:0415C4000000000023 +:0415C5000000000022 +:0415C6000000000021 +:0415C7000000000020 +:0415C800000000001F +:0415C900000000001E +:0415CA00000000001D +:0415CB00000000001C +:0415CC00000000001B +:0415CD00000000001A +:0415CE000000000019 +:0415CF000000000018 +:0415D0000000000017 +:0415D1000000000016 +:0415D2000000000015 +:0415D3000000000014 +:0415D4000000000013 +:0415D5000000000012 +:0415D6000000000011 +:0415D7000000000010 +:0415D800000000000F +:0415D900000000000E +:0415DA00000000000D +:0415DB00000000000C +:0415DC00000000000B +:0415DD00000000000A +:0415DE000000000009 +:0415DF000000000008 +:0415E0000000000007 +:0415E1000000000006 +:0415E2000000000005 +:0415E3000000000004 +:0415E4000000000003 +:0415E5000000000002 +:0415E6000000000001 +:0415E7000000000000 +:0415E80000000000FF +:0415E90000000000FE +:0415EA0000000000FD +:0415EB0000000000FC +:0415EC0000000000FB +:0415ED0000000000FA +:0415EE0000000000F9 +:0415EF0000000000F8 +:0415F00000000000F7 +:0415F10000000000F6 +:0415F20000000000F5 +:0415F30000000000F4 +:0415F40000000000F3 +:0415F50000000000F2 +:0415F60000000000F1 +:0415F70000000000F0 +:0415F80000000000EF +:0415F90000000000EE +:0415FA0000000000ED +:0415FB0000000000EC +:0415FC0000000000EB +:0415FD0000000000EA +:0415FE0000000000E9 +:0415FF0000000000E8 +:0416000000000000E6 +:0416010000000000E5 +:0416020000000000E4 +:0416030000000000E3 +:0416040000000000E2 +:0416050000000000E1 +:0416060000000000E0 +:0416070000000000DF +:0416080000000000DE +:0416090000000000DD +:04160A0000000000DC +:04160B0000000000DB +:04160C0000000000DA +:04160D0000000000D9 +:04160E0000000000D8 +:04160F0000000000D7 +:0416100000000000D6 +:0416110000000000D5 +:0416120000000000D4 +:0416130000000000D3 +:0416140000000000D2 +:0416150000000000D1 +:0416160000000000D0 +:0416170000000000CF +:0416180000000000CE +:0416190000000000CD +:04161A0000000000CC +:04161B0000000000CB +:04161C0000000000CA +:04161D0000000000C9 +:04161E0000000000C8 +:04161F0000000000C7 +:0416200000000000C6 +:0416210000000000C5 +:0416220000000000C4 +:0416230000000000C3 +:0416240000000000C2 +:0416250000000000C1 +:0416260000000000C0 +:0416270000000000BF +:0416280000000000BE +:0416290000000000BD +:04162A0000000000BC +:04162B0000000000BB +:04162C0000000000BA +:04162D0000000000B9 +:04162E0000000000B8 +:04162F0000000000B7 +:0416300000000000B6 +:0416310000000000B5 +:0416320000000000B4 +:0416330000000000B3 +:0416340000000000B2 +:0416350000000000B1 +:0416360000000000B0 +:0416370000000000AF +:0416380000000000AE +:0416390000000000AD +:04163A0000000000AC +:04163B0000000000AB +:04163C0000000000AA +:04163D0000000000A9 +:04163E0000000000A8 +:04163F0000000000A7 +:0416400000000000A6 +:0416410000000000A5 +:0416420000000000A4 +:0416430000000000A3 +:0416440000000000A2 +:0416450000000000A1 +:0416460000000000A0 +:04164700000000009F +:04164800000000009E +:04164900000000009D +:04164A00000000009C +:04164B00000000009B +:04164C00000000009A +:04164D000000000099 +:04164E000000000098 +:04164F000000000097 +:041650000000000096 +:041651000000000095 +:041652000000000094 +:041653000000000093 +:041654000000000092 +:041655000000000091 +:041656000000000090 +:04165700000000008F +:04165800000000008E +:04165900000000008D +:04165A00000000008C +:04165B00000000008B +:04165C00000000008A +:04165D000000000089 +:04165E000000000088 +:04165F000000000087 +:041660000000000086 +:041661000000000085 +:041662000000000084 +:041663000000000083 +:041664000000000082 +:041665000000000081 +:041666000000000080 +:04166700000000007F +:04166800000000007E +:04166900000000007D +:04166A00000000007C +:04166B00000000007B +:04166C00000000007A +:04166D000000000079 +:04166E000000000078 +:04166F000000000077 +:041670000000000076 +:041671000000000075 +:041672000000000074 +:041673000000000073 +:041674000000000072 +:041675000000000071 +:041676000000000070 +:04167700000000006F +:04167800000000006E +:04167900000000006D +:04167A00000000006C +:04167B00000000006B +:04167C00000000006A +:04167D000000000069 +:04167E000000000068 +:04167F000000000067 +:041680000000000066 +:041681000000000065 +:041682000000000064 +:041683000000000063 +:041684000000000062 +:041685000000000061 +:041686000000000060 +:04168700000000005F +:04168800000000005E +:04168900000000005D +:04168A00000000005C +:04168B00000000005B +:04168C00000000005A +:04168D000000000059 +:04168E000000000058 +:04168F000000000057 +:041690000000000056 +:041691000000000055 +:041692000000000054 +:041693000000000053 +:041694000000000052 +:041695000000000051 +:041696000000000050 +:04169700000000004F +:04169800000000004E +:04169900000000004D +:04169A00000000004C +:04169B00000000004B +:04169C00000000004A +:04169D000000000049 +:04169E000000000048 +:04169F000000000047 +:0416A0000000000046 +:0416A1000000000045 +:0416A2000000000044 +:0416A3000000000043 +:0416A4000000000042 +:0416A5000000000041 +:0416A6000000000040 +:0416A700000000003F +:0416A800000000003E +:0416A900000000003D +:0416AA00000000003C +:0416AB00000000003B +:0416AC00000000003A +:0416AD000000000039 +:0416AE000000000038 +:0416AF000000000037 +:0416B0000000000036 +:0416B1000000000035 +:0416B2000000000034 +:0416B3000000000033 +:0416B4000000000032 +:0416B5000000000031 +:0416B6000000000030 +:0416B700000000002F +:0416B800000000002E +:0416B900000000002D +:0416BA00000000002C +:0416BB00000000002B +:0416BC00000000002A +:0416BD000000000029 +:0416BE000000000028 +:0416BF000000000027 +:0416C0000000000026 +:0416C1000000000025 +:0416C2000000000024 +:0416C3000000000023 +:0416C4000000000022 +:0416C5000000000021 +:0416C6000000000020 +:0416C700000000001F +:0416C800000000001E +:0416C900000000001D +:0416CA00000000001C +:0416CB00000000001B +:0416CC00000000001A +:0416CD000000000019 +:0416CE000000000018 +:0416CF000000000017 +:0416D0000000000016 +:0416D1000000000015 +:0416D2000000000014 +:0416D3000000000013 +:0416D4000000000012 +:0416D5000000000011 +:0416D6000000000010 +:0416D700000000000F +:0416D800000000000E +:0416D900000000000D +:0416DA00000000000C +:0416DB00000000000B +:0416DC00000000000A +:0416DD000000000009 +:0416DE000000000008 +:0416DF000000000007 +:0416E0000000000006 +:0416E1000000000005 +:0416E2000000000004 +:0416E3000000000003 +:0416E4000000000002 +:0416E5000000000001 +:0416E6000000000000 +:0416E70000000000FF +:0416E80000000000FE +:0416E90000000000FD +:0416EA0000000000FC +:0416EB0000000000FB +:0416EC0000000000FA +:0416ED0000000000F9 +:0416EE0000000000F8 +:0416EF0000000000F7 +:0416F00000000000F6 +:0416F10000000000F5 +:0416F20000000000F4 +:0416F30000000000F3 +:0416F40000000000F2 +:0416F50000000000F1 +:0416F60000000000F0 +:0416F70000000000EF +:0416F80000000000EE +:0416F90000000000ED +:0416FA0000000000EC +:0416FB0000000000EB +:0416FC0000000000EA +:0416FD0000000000E9 +:0416FE0000000000E8 +:0416FF0000000000E7 +:0417000000000000E5 +:0417010000000000E4 +:0417020000000000E3 +:0417030000000000E2 +:0417040000000000E1 +:0417050000000000E0 +:0417060000000000DF +:0417070000000000DE +:0417080000000000DD +:0417090000000000DC +:04170A0000000000DB +:04170B0000000000DA +:04170C0000000000D9 +:04170D0000000000D8 +:04170E0000000000D7 +:04170F0000000000D6 +:0417100000000000D5 +:0417110000000000D4 +:0417120000000000D3 +:0417130000000000D2 +:0417140000000000D1 +:0417150000000000D0 +:0417160000000000CF +:0417170000000000CE +:0417180000000000CD +:0417190000000000CC +:04171A0000000000CB +:04171B0000000000CA +:04171C0000000000C9 +:04171D0000000000C8 +:04171E0000000000C7 +:04171F0000000000C6 +:0417200000000000C5 +:0417210000000000C4 +:0417220000000000C3 +:0417230000000000C2 +:0417240000000000C1 +:0417250000000000C0 +:0417260000000000BF +:0417270000000000BE +:0417280000000000BD +:0417290000000000BC +:04172A0000000000BB +:04172B0000000000BA +:04172C0000000000B9 +:04172D0000000000B8 +:04172E0000000000B7 +:04172F0000000000B6 +:0417300000000000B5 +:0417310000000000B4 +:0417320000000000B3 +:0417330000000000B2 +:0417340000000000B1 +:0417350000000000B0 +:0417360000000000AF +:0417370000000000AE +:0417380000000000AD +:0417390000000000AC +:04173A0000000000AB +:04173B0000000000AA +:04173C0000000000A9 +:04173D0000000000A8 +:04173E0000000000A7 +:04173F0000000000A6 +:0417400000000000A5 +:0417410000000000A4 +:0417420000000000A3 +:0417430000000000A2 +:0417440000000000A1 +:0417450000000000A0 +:04174600000000009F +:04174700000000009E +:04174800000000009D +:04174900000000009C +:04174A00000000009B +:04174B00000000009A +:04174C000000000099 +:04174D000000000098 +:04174E000000000097 +:04174F000000000096 +:041750000000000095 +:041751000000000094 +:041752000000000093 +:041753000000000092 +:041754000000000091 +:041755000000000090 +:04175600000000008F +:04175700000000008E +:04175800000000008D +:04175900000000008C +:04175A00000000008B +:04175B00000000008A +:04175C000000000089 +:04175D000000000088 +:04175E000000000087 +:04175F000000000086 +:041760000000000085 +:041761000000000084 +:041762000000000083 +:041763000000000082 +:041764000000000081 +:041765000000000080 +:04176600000000007F +:04176700000000007E +:04176800000000007D +:04176900000000007C +:04176A00000000007B +:04176B00000000007A +:04176C000000000079 +:04176D000000000078 +:04176E000000000077 +:04176F000000000076 +:041770000000000075 +:041771000000000074 +:041772000000000073 +:041773000000000072 +:041774000000000071 +:041775000000000070 +:04177600000000006F +:04177700000000006E +:04177800000000006D +:04177900000000006C +:04177A00000000006B +:04177B00000000006A +:04177C000000000069 +:04177D000000000068 +:04177E000000000067 +:04177F000000000066 +:041780000000000065 +:041781000000000064 +:041782000000000063 +:041783000000000062 +:041784000000000061 +:041785000000000060 +:04178600000000005F +:04178700000000005E +:04178800000000005D +:04178900000000005C +:04178A00000000005B +:04178B00000000005A +:04178C000000000059 +:04178D000000000058 +:04178E000000000057 +:04178F000000000056 +:041790000000000055 +:041791000000000054 +:041792000000000053 +:041793000000000052 +:041794000000000051 +:041795000000000050 +:04179600000000004F +:04179700000000004E +:04179800000000004D +:04179900000000004C +:04179A00000000004B +:04179B00000000004A +:04179C000000000049 +:04179D000000000048 +:04179E000000000047 +:04179F000000000046 +:0417A0000000000045 +:0417A1000000000044 +:0417A2000000000043 +:0417A3000000000042 +:0417A4000000000041 +:0417A5000000000040 +:0417A600000000003F +:0417A700000000003E +:0417A800000000003D +:0417A900000000003C +:0417AA00000000003B +:0417AB00000000003A +:0417AC000000000039 +:0417AD000000000038 +:0417AE000000000037 +:0417AF000000000036 +:0417B0000000000035 +:0417B1000000000034 +:0417B2000000000033 +:0417B3000000000032 +:0417B4000000000031 +:0417B5000000000030 +:0417B600000000002F +:0417B700000000002E +:0417B800000000002D +:0417B900000000002C +:0417BA00000000002B +:0417BB00000000002A +:0417BC000000000029 +:0417BD000000000028 +:0417BE000000000027 +:0417BF000000000026 +:0417C0000000000025 +:0417C1000000000024 +:0417C2000000000023 +:0417C3000000000022 +:0417C4000000000021 +:0417C5000000000020 +:0417C600000000001F +:0417C700000000001E +:0417C800000000001D +:0417C900000000001C +:0417CA00000000001B +:0417CB00000000001A +:0417CC000000000019 +:0417CD000000000018 +:0417CE000000000017 +:0417CF000000000016 +:0417D0000000000015 +:0417D1000000000014 +:0417D2000000000013 +:0417D3000000000012 +:0417D4000000000011 +:0417D5000000000010 +:0417D600000000000F +:0417D700000000000E +:0417D800000000000D +:0417D900000000000C +:0417DA00000000000B +:0417DB00000000000A +:0417DC000000000009 +:0417DD000000000008 +:0417DE000000000007 +:0417DF000000000006 +:0417E0000000000005 +:0417E1000000000004 +:0417E2000000000003 +:0417E3000000000002 +:0417E4000000000001 +:0417E5000000000000 +:0417E60000000000FF +:0417E70000000000FE +:0417E80000000000FD +:0417E90000000000FC +:0417EA0000000000FB +:0417EB0000000000FA +:0417EC0000000000F9 +:0417ED0000000000F8 +:0417EE0000000000F7 +:0417EF0000000000F6 +:0417F00000000000F5 +:0417F10000000000F4 +:0417F20000000000F3 +:0417F30000000000F2 +:0417F40000000000F1 +:0417F50000000000F0 +:0417F60000000000EF +:0417F70000000000EE +:0417F80000000000ED +:0417F90000000000EC +:0417FA0000000000EB +:0417FB0000000000EA +:0417FC0000000000E9 +:0417FD0000000000E8 +:0417FE0000000000E7 +:0417FF0000000000E6 +:0418000000000000E4 +:0418010000000000E3 +:0418020000000000E2 +:0418030000000000E1 +:0418040000000000E0 +:0418050000000000DF +:0418060000000000DE +:0418070000000000DD +:0418080000000000DC +:0418090000000000DB +:04180A0000000000DA +:04180B0000000000D9 +:04180C0000000000D8 +:04180D0000000000D7 +:04180E0000000000D6 +:04180F0000000000D5 +:0418100000000000D4 +:0418110000000000D3 +:0418120000000000D2 +:0418130000000000D1 +:0418140000000000D0 +:0418150000000000CF +:0418160000000000CE +:0418170000000000CD +:0418180000000000CC +:0418190000000000CB +:04181A0000000000CA +:04181B0000000000C9 +:04181C0000000000C8 +:04181D0000000000C7 +:04181E0000000000C6 +:04181F0000000000C5 +:0418200000000000C4 +:0418210000000000C3 +:0418220000000000C2 +:0418230000000000C1 +:0418240000000000C0 +:0418250000000000BF +:0418260000000000BE +:0418270000000000BD +:0418280000000000BC +:0418290000000000BB +:04182A0000000000BA +:04182B0000000000B9 +:04182C0000000000B8 +:04182D0000000000B7 +:04182E0000000000B6 +:04182F0000000000B5 +:0418300000000000B4 +:0418310000000000B3 +:0418320000000000B2 +:0418330000000000B1 +:0418340000000000B0 +:0418350000000000AF +:0418360000000000AE +:0418370000000000AD +:0418380000000000AC +:0418390000000000AB +:04183A0000000000AA +:04183B0000000000A9 +:04183C0000000000A8 +:04183D0000000000A7 +:04183E0000000000A6 +:04183F0000000000A5 +:0418400000000000A4 +:0418410000000000A3 +:0418420000000000A2 +:0418430000000000A1 +:0418440000000000A0 +:04184500000000009F +:04184600000000009E +:04184700000000009D +:04184800000000009C +:04184900000000009B +:04184A00000000009A +:04184B000000000099 +:04184C000000000098 +:04184D000000000097 +:04184E000000000096 +:04184F000000000095 +:041850000000000094 +:041851000000000093 +:041852000000000092 +:041853000000000091 +:041854000000000090 +:04185500000000008F +:04185600000000008E +:04185700000000008D +:04185800000000008C +:04185900000000008B +:04185A00000000008A +:04185B000000000089 +:04185C000000000088 +:04185D000000000087 +:04185E000000000086 +:04185F000000000085 +:041860000000000084 +:041861000000000083 +:041862000000000082 +:041863000000000081 +:041864000000000080 +:04186500000000007F +:04186600000000007E +:04186700000000007D +:04186800000000007C +:04186900000000007B +:04186A00000000007A +:04186B000000000079 +:04186C000000000078 +:04186D000000000077 +:04186E000000000076 +:04186F000000000075 +:041870000000000074 +:041871000000000073 +:041872000000000072 +:041873000000000071 +:041874000000000070 +:04187500000000006F +:04187600000000006E +:04187700000000006D +:04187800000000006C +:04187900000000006B +:04187A00000000006A +:04187B000000000069 +:04187C000000000068 +:04187D000000000067 +:04187E000000000066 +:04187F000000000065 +:041880000000000064 +:041881000000000063 +:041882000000000062 +:041883000000000061 +:041884000000000060 +:04188500000000005F +:04188600000000005E +:04188700000000005D +:04188800000000005C +:04188900000000005B +:04188A00000000005A +:04188B000000000059 +:04188C000000000058 +:04188D000000000057 +:04188E000000000056 +:04188F000000000055 +:041890000000000054 +:041891000000000053 +:041892000000000052 +:041893000000000051 +:041894000000000050 +:04189500000000004F +:04189600000000004E +:04189700000000004D +:04189800000000004C +:04189900000000004B +:04189A00000000004A +:04189B000000000049 +:04189C000000000048 +:04189D000000000047 +:04189E000000000046 +:04189F000000000045 +:0418A0000000000044 +:0418A1000000000043 +:0418A2000000000042 +:0418A3000000000041 +:0418A4000000000040 +:0418A500000000003F +:0418A600000000003E +:0418A700000000003D +:0418A800000000003C +:0418A900000000003B +:0418AA00000000003A +:0418AB000000000039 +:0418AC000000000038 +:0418AD000000000037 +:0418AE000000000036 +:0418AF000000000035 +:0418B0000000000034 +:0418B1000000000033 +:0418B2000000000032 +:0418B3000000000031 +:0418B4000000000030 +:0418B500000000002F +:0418B600000000002E +:0418B700000000002D +:0418B800000000002C +:0418B900000000002B +:0418BA00000000002A +:0418BB000000000029 +:0418BC000000000028 +:0418BD000000000027 +:0418BE000000000026 +:0418BF000000000025 +:0418C0000000000024 +:0418C1000000000023 +:0418C2000000000022 +:0418C3000000000021 +:0418C4000000000020 +:0418C500000000001F +:0418C600000000001E +:0418C700000000001D +:0418C800000000001C +:0418C900000000001B +:0418CA00000000001A +:0418CB000000000019 +:0418CC000000000018 +:0418CD000000000017 +:0418CE000000000016 +:0418CF000000000015 +:0418D0000000000014 +:0418D1000000000013 +:0418D2000000000012 +:0418D3000000000011 +:0418D4000000000010 +:0418D500000000000F +:0418D600000000000E +:0418D700000000000D +:0418D800000000000C +:0418D900000000000B +:0418DA00000000000A +:0418DB000000000009 +:0418DC000000000008 +:0418DD000000000007 +:0418DE000000000006 +:0418DF000000000005 +:0418E0000000000004 +:0418E1000000000003 +:0418E2000000000002 +:0418E3000000000001 +:0418E4000000000000 +:0418E50000000000FF +:0418E60000000000FE +:0418E70000000000FD +:0418E80000000000FC +:0418E90000000000FB +:0418EA0000000000FA +:0418EB0000000000F9 +:0418EC0000000000F8 +:0418ED0000000000F7 +:0418EE0000000000F6 +:0418EF0000000000F5 +:0418F00000000000F4 +:0418F10000000000F3 +:0418F20000000000F2 +:0418F30000000000F1 +:0418F40000000000F0 +:0418F50000000000EF +:0418F60000000000EE +:0418F70000000000ED +:0418F80000000000EC +:0418F90000000000EB +:0418FA0000000000EA +:0418FB0000000000E9 +:0418FC0000000000E8 +:0418FD0000000000E7 +:0418FE0000000000E6 +:0418FF0000000000E5 +:0419000000000000E3 +:0419010000000000E2 +:0419020000000000E1 +:0419030000000000E0 +:0419040000000000DF +:0419050000000000DE +:0419060000000000DD +:0419070000000000DC +:0419080000000000DB +:0419090000000000DA +:04190A0000000000D9 +:04190B0000000000D8 +:04190C0000000000D7 +:04190D0000000000D6 +:04190E0000000000D5 +:04190F0000000000D4 +:0419100000000000D3 +:0419110000000000D2 +:0419120000000000D1 +:0419130000000000D0 +:0419140000000000CF +:0419150000000000CE +:0419160000000000CD +:0419170000000000CC +:0419180000000000CB +:0419190000000000CA +:04191A0000000000C9 +:04191B0000000000C8 +:04191C0000000000C7 +:04191D0000000000C6 +:04191E0000000000C5 +:04191F0000000000C4 +:0419200000000000C3 +:0419210000000000C2 +:0419220000000000C1 +:0419230000000000C0 +:0419240000000000BF +:0419250000000000BE +:0419260000000000BD +:0419270000000000BC +:0419280000000000BB +:0419290000000000BA +:04192A0000000000B9 +:04192B0000000000B8 +:04192C0000000000B7 +:04192D0000000000B6 +:04192E0000000000B5 +:04192F0000000000B4 +:0419300000000000B3 +:0419310000000000B2 +:0419320000000000B1 +:0419330000000000B0 +:0419340000000000AF +:0419350000000000AE +:0419360000000000AD +:0419370000000000AC +:0419380000000000AB +:0419390000000000AA +:04193A0000000000A9 +:04193B0000000000A8 +:04193C0000000000A7 +:04193D0000000000A6 +:04193E0000000000A5 +:04193F0000000000A4 +:0419400000000000A3 +:0419410000000000A2 +:0419420000000000A1 +:0419430000000000A0 +:04194400000000009F +:04194500000000009E +:04194600000000009D +:04194700000000009C +:04194800000000009B +:04194900000000009A +:04194A000000000099 +:04194B000000000098 +:04194C000000000097 +:04194D000000000096 +:04194E000000000095 +:04194F000000000094 +:041950000000000093 +:041951000000000092 +:041952000000000091 +:041953000000000090 +:04195400000000008F +:04195500000000008E +:04195600000000008D +:04195700000000008C +:04195800000000008B +:04195900000000008A +:04195A000000000089 +:04195B000000000088 +:04195C000000000087 +:04195D000000000086 +:04195E000000000085 +:04195F000000000084 +:041960000000000083 +:041961000000000082 +:041962000000000081 +:041963000000000080 +:04196400000000007F +:04196500000000007E +:04196600000000007D +:04196700000000007C +:04196800000000007B +:04196900000000007A +:04196A000000000079 +:04196B000000000078 +:04196C000000000077 +:04196D000000000076 +:04196E000000000075 +:04196F000000000074 +:041970000000000073 +:041971000000000072 +:041972000000000071 +:041973000000000070 +:04197400000000006F +:04197500000000006E +:04197600000000006D +:04197700000000006C +:04197800000000006B +:04197900000000006A +:04197A000000000069 +:04197B000000000068 +:04197C000000000067 +:04197D000000000066 +:04197E000000000065 +:04197F000000000064 +:041980000000000063 +:041981000000000062 +:041982000000000061 +:041983000000000060 +:04198400000000005F +:04198500000000005E +:04198600000000005D +:04198700000000005C +:04198800000000005B +:04198900000000005A +:04198A000000000059 +:04198B000000000058 +:04198C000000000057 +:04198D000000000056 +:04198E000000000055 +:04198F000000000054 +:041990000000000053 +:041991000000000052 +:041992000000000051 +:041993000000000050 +:04199400000000004F +:04199500000000004E +:04199600000000004D +:04199700000000004C +:04199800000000004B +:04199900000000004A +:04199A000000000049 +:04199B000000000048 +:04199C000000000047 +:04199D000000000046 +:04199E000000000045 +:04199F000000000044 +:0419A0000000000043 +:0419A1000000000042 +:0419A2000000000041 +:0419A3000000000040 +:0419A400000000003F +:0419A500000000003E +:0419A600000000003D +:0419A700000000003C +:0419A800000000003B +:0419A900000000003A +:0419AA000000000039 +:0419AB000000000038 +:0419AC000000000037 +:0419AD000000000036 +:0419AE000000000035 +:0419AF000000000034 +:0419B0000000000033 +:0419B1000000000032 +:0419B2000000000031 +:0419B3000000000030 +:0419B400000000002F +:0419B500000000002E +:0419B600000000002D +:0419B700000000002C +:0419B800000000002B +:0419B900000000002A +:0419BA000000000029 +:0419BB000000000028 +:0419BC000000000027 +:0419BD000000000026 +:0419BE000000000025 +:0419BF000000000024 +:0419C0000000000023 +:0419C1000000000022 +:0419C2000000000021 +:0419C3000000000020 +:0419C400000000001F +:0419C500000000001E +:0419C600000000001D +:0419C700000000001C +:0419C800000000001B +:0419C900000000001A +:0419CA000000000019 +:0419CB000000000018 +:0419CC000000000017 +:0419CD000000000016 +:0419CE000000000015 +:0419CF000000000014 +:0419D0000000000013 +:0419D1000000000012 +:0419D2000000000011 +:0419D3000000000010 +:0419D400000000000F +:0419D500000000000E +:0419D600000000000D +:0419D700000000000C +:0419D800000000000B +:0419D900000000000A +:0419DA000000000009 +:0419DB000000000008 +:0419DC000000000007 +:0419DD000000000006 +:0419DE000000000005 +:0419DF000000000004 +:0419E0000000000003 +:0419E1000000000002 +:0419E2000000000001 +:0419E3000000000000 +:0419E40000000000FF +:0419E50000000000FE +:0419E60000000000FD +:0419E70000000000FC +:0419E80000000000FB +:0419E90000000000FA +:0419EA0000000000F9 +:0419EB0000000000F8 +:0419EC0000000000F7 +:0419ED0000000000F6 +:0419EE0000000000F5 +:0419EF0000000000F4 +:0419F00000000000F3 +:0419F10000000000F2 +:0419F20000000000F1 +:0419F30000000000F0 +:0419F40000000000EF +:0419F50000000000EE +:0419F60000000000ED +:0419F70000000000EC +:0419F80000000000EB +:0419F90000000000EA +:0419FA0000000000E9 +:0419FB0000000000E8 +:0419FC0000000000E7 +:0419FD0000000000E6 +:0419FE0000000000E5 +:0419FF0000000000E4 +:041A000000000000E2 +:041A010000000000E1 +:041A020000000000E0 +:041A030000000000DF +:041A040000000000DE +:041A050000000000DD +:041A060000000000DC +:041A070000000000DB +:041A080000000000DA +:041A090000000000D9 +:041A0A0000000000D8 +:041A0B0000000000D7 +:041A0C0000000000D6 +:041A0D0000000000D5 +:041A0E0000000000D4 +:041A0F0000000000D3 +:041A100000000000D2 +:041A110000000000D1 +:041A120000000000D0 +:041A130000000000CF +:041A140000000000CE +:041A150000000000CD +:041A160000000000CC +:041A170000000000CB +:041A180000000000CA +:041A190000000000C9 +:041A1A0000000000C8 +:041A1B0000000000C7 +:041A1C0000000000C6 +:041A1D0000000000C5 +:041A1E0000000000C4 +:041A1F0000000000C3 +:041A200000000000C2 +:041A210000000000C1 +:041A220000000000C0 +:041A230000000000BF +:041A240000000000BE +:041A250000000000BD +:041A260000000000BC +:041A270000000000BB +:041A280000000000BA +:041A290000000000B9 +:041A2A0000000000B8 +:041A2B0000000000B7 +:041A2C0000000000B6 +:041A2D0000000000B5 +:041A2E0000000000B4 +:041A2F0000000000B3 +:041A300000000000B2 +:041A310000000000B1 +:041A320000000000B0 +:041A330000000000AF +:041A340000000000AE +:041A350000000000AD +:041A360000000000AC +:041A370000000000AB +:041A380000000000AA +:041A390000000000A9 +:041A3A0000000000A8 +:041A3B0000000000A7 +:041A3C0000000000A6 +:041A3D0000000000A5 +:041A3E0000000000A4 +:041A3F0000000000A3 +:041A400000000000A2 +:041A410000000000A1 +:041A420000000000A0 +:041A4300000000009F +:041A4400000000009E +:041A4500000000009D +:041A4600000000009C +:041A4700000000009B +:041A4800000000009A +:041A49000000000099 +:041A4A000000000098 +:041A4B000000000097 +:041A4C000000000096 +:041A4D000000000095 +:041A4E000000000094 +:041A4F000000000093 +:041A50000000000092 +:041A51000000000091 +:041A52000000000090 +:041A5300000000008F +:041A5400000000008E +:041A5500000000008D +:041A5600000000008C +:041A5700000000008B +:041A5800000000008A +:041A59000000000089 +:041A5A000000000088 +:041A5B000000000087 +:041A5C000000000086 +:041A5D000000000085 +:041A5E000000000084 +:041A5F000000000083 +:041A60000000000082 +:041A61000000000081 +:041A62000000000080 +:041A6300000000007F +:041A6400000000007E +:041A6500000000007D +:041A6600000000007C +:041A6700000000007B +:041A6800000000007A +:041A69000000000079 +:041A6A000000000078 +:041A6B000000000077 +:041A6C000000000076 +:041A6D000000000075 +:041A6E000000000074 +:041A6F000000000073 +:041A70000000000072 +:041A71000000000071 +:041A72000000000070 +:041A7300000000006F +:041A7400000000006E +:041A7500000000006D +:041A7600000000006C +:041A7700000000006B +:041A7800000000006A +:041A79000000000069 +:041A7A000000000068 +:041A7B000000000067 +:041A7C000000000066 +:041A7D000000000065 +:041A7E000000000064 +:041A7F000000000063 +:041A80000000000062 +:041A81000000000061 +:041A82000000000060 +:041A8300000000005F +:041A8400000000005E +:041A8500000000005D +:041A8600000000005C +:041A8700000000005B +:041A8800000000005A +:041A89000000000059 +:041A8A000000000058 +:041A8B000000000057 +:041A8C000000000056 +:041A8D000000000055 +:041A8E000000000054 +:041A8F000000000053 +:041A90000000000052 +:041A91000000000051 +:041A92000000000050 +:041A9300000000004F +:041A9400000000004E +:041A9500000000004D +:041A9600000000004C +:041A9700000000004B +:041A9800000000004A +:041A99000000000049 +:041A9A000000000048 +:041A9B000000000047 +:041A9C000000000046 +:041A9D000000000045 +:041A9E000000000044 +:041A9F000000000043 +:041AA0000000000042 +:041AA1000000000041 +:041AA2000000000040 +:041AA300000000003F +:041AA400000000003E +:041AA500000000003D +:041AA600000000003C +:041AA700000000003B +:041AA800000000003A +:041AA9000000000039 +:041AAA000000000038 +:041AAB000000000037 +:041AAC000000000036 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF000000000023 +:041AC0000000000022 +:041AC1000000000021 +:041AC2000000000020 +:041AC300000000001F +:041AC400000000001E +:041AC500000000001D +:041AC600000000001C +:041AC700000000001B +:041AC800000000001A +:041AC9000000000019 +:041ACA000000000018 +:041ACB000000000017 +:041ACC000000000016 +:041ACD000000000015 +:041ACE000000000014 +:041ACF000000000013 +:041AD0000000000012 +:041AD1000000000011 +:041AD2000000000010 +:041AD300000000000F +:041AD400000000000E +:041AD500000000000D +:041AD600000000000C +:041AD700000000000B +:041AD800000000000A +:041AD9000000000009 +:041ADA000000000008 +:041ADB000000000007 +:041ADC000000000006 +:041ADD000000000005 +:041ADE000000000004 +:041ADF000000000003 +:041AE0000000000002 +:041AE1000000000001 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000000000FE +:041AE50000000000FD +:041AE60000000000FC +:041AE70000000000FB +:041AE80000000000FA +:041AE90000000000F9 +:041AEA0000000000F8 +:041AEB0000000000F7 +:041AEC0000000000F6 +:041AED0000000000F5 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF70000000000EB +:041AF80000000000EA +:041AF90000000000E9 +:041AFA0000000000E8 +:041AFB0000000000E7 +:041AFC0000000000E6 +:041AFD0000000000E5 +:041AFE0000000000E4 +:041AFF0000000000E3 +:041B000000000000E1 +:041B010000000000E0 +:041B020000000000DF +:041B030000000000DE +:041B040000000000DD +:041B050000000000DC +:041B060000000000DB +:041B070000000000DA +:041B080000000000D9 +:041B090000000000D8 +:041B0A0000000000D7 +:041B0B0000000000D6 +:041B0C0000000000D5 +:041B0D0000000000D4 +:041B0E0000000000D3 +:041B0F0000000000D2 +:041B100000000000D1 +:041B110000000000D0 +:041B120000000000CF +:041B130000000000CE +:041B140000000000CD +:041B150000000000CC +:041B160000000000CB +:041B170000000000CA +:041B180000000000C9 +:041B190000000000C8 +:041B1A0000000000C7 +:041B1B0000000000C6 +:041B1C0000000000C5 +:041B1D0000000000C4 +:041B1E0000000000C3 +:041B1F0000000000C2 +:041B200000000000C1 +:041B210000000000C0 +:041B220000000000BF +:041B230000000000BE +:041B240000000000BD +:041B250000000000BC +:041B260000000000BB +:041B270000000000BA +:041B280000000000B9 +:041B290000000000B8 +:041B2A0000000000B7 +:041B2B0000000000B6 +:041B2C0000000000B5 +:041B2D0000000000B4 +:041B2E0000000000B3 +:041B2F0000000000B2 +:041B300000000000B1 +:041B310000000000B0 +:041B320000000000AF +:041B330000000000AE +:041B340000000000AD +:041B350000000000AC +:041B360000000000AB +:041B370000000000AA +:041B380000000000A9 +:041B390000000000A8 +:041B3A0000000000A7 +:041B3B0000000000A6 +:041B3C0000000000A5 +:041B3D0000000000A4 +:041B3E0000000000A3 +:041B3F0000000000A2 +:041B400000000000A1 +:041B410000000000A0 +:041B4200000000009F +:041B4300000000009E +:041B4400000000009D +:041B4500000000009C +:041B4600000000009B +:041B4700000000009A +:041B48000000000099 +:041B49000000000098 +:041B4A000000000097 +:041B4B000000000096 +:041B4C000000000095 +:041B4D000000000094 +:041B4E000000000093 +:041B4F000000000092 +:041B50000000000091 +:041B51000000000090 +:041B5200000000008F +:041B5300000000008E +:041B5400000000008D +:041B5500000000008C +:041B5600000000008B +:041B5700000000008A +:041B58000000000089 +:041B59000000000088 +:041B5A000000000087 +:041B5B000000000086 +:041B5C000000000085 +:041B5D000000000084 +:041B5E000000000083 +:041B5F000000000082 +:041B60000000000081 +:041B61000000000080 +:041B6200000000007F +:041B6300000000007E +:041B6400000000007D +:041B6500000000007C +:041B6600000000007B +:041B6700000000007A +:041B68000000000079 +:041B69000000000078 +:041B6A000000000077 +:041B6B000000000076 +:041B6C000000000075 +:041B6D000000000074 +:041B6E000000000073 +:041B6F000000000072 +:041B70000000000071 +:041B71000000000070 +:041B7200000000006F +:041B7300000000006E +:041B7400000000006D +:041B7500000000006C +:041B7600000000006B +:041B7700000000006A +:041B78000000000069 +:041B79000000000068 +:041B7A000000000067 +:041B7B000000000066 +:041B7C000000000065 +:041B7D000000000064 +:041B7E000000000063 +:041B7F000000000062 +:041B80000000000061 +:041B81000000000060 +:041B8200000000005F +:041B8300000000005E +:041B8400000000005D +:041B8500000000005C +:041B8600000000005B +:041B8700000000005A +:041B88000000000059 +:041B89000000000058 +:041B8A000000000057 +:041B8B000000000056 +:041B8C000000000055 +:041B8D000000000054 +:041B8E000000000053 +:041B8F000000000052 +:041B90000000000051 +:041B91000000000050 +:041B9200000000004F +:041B9300000000004E +:041B9400000000004D +:041B9500000000004C +:041B9600000000004B +:041B9700000000004A +:041B98000000000049 +:041B99000000000048 +:041B9A000000000047 +:041B9B000000000046 +:041B9C000000000045 +:041B9D000000000044 +:041B9E000000000043 +:041B9F000000000042 +:041BA0000000000041 +:041BA1000000000040 +:041BA200000000003F +:041BA300000000003E +:041BA400000000003D +:041BA500000000003C +:041BA600000000003B +:041BA700000000003A +:041BA8000000000039 +:041BA9000000000038 +:041BAA000000000037 +:041BAB000000000036 +:041BAC000000000035 +:041BAD000000000034 +:041BAE000000000033 +:041BAF000000000032 +:041BB0000000000031 +:041BB1000000000030 +:041BB200000000002F +:041BB300000000002E +:041BB400000000002D +:041BB500000000002C +:041BB600000000002B +:041BB700000000002A +:041BB8000000000029 +:041BB9000000000028 +:041BBA000000000027 +:041BBB000000000026 +:041BBC000000000025 +:041BBD000000000024 +:041BBE000000000023 +:041BBF000000000022 +:041BC0000000000021 +:041BC1000000000020 +:041BC200000000001F +:041BC300000000001E +:041BC400000000001D +:041BC500000000001C +:041BC600000000001B +:041BC700000000001A +:041BC8000000000019 +:041BC9000000000018 +:041BCA000000000017 +:041BCB000000000016 +:041BCC000000000015 +:041BCD000000000014 +:041BCE000000000013 +:041BCF000000000012 +:041BD0000000000011 +:041BD1000000000010 +:041BD200000000000F +:041BD300000000000E +:041BD400000000000D +:041BD500000000000C +:041BD600000000000B +:041BD700000000000A +:041BD8000000000009 +:041BD9000000000008 +:041BDA000000000007 +:041BDB000000000006 +:041BDC000000000005 +:041BDD000000000004 +:041BDE000000000003 +:041BDF000000000002 +:041BE0000000000001 +:041BE1000000000000 +:041BE20000000000FF +:041BE30000000000FE +:041BE40000000000FD +:041BE50000000000FC +:041BE60000000000FB +:041BE70000000000FA +:041BE80000000000F9 +:041BE90000000000F8 +:041BEA0000000000F7 +:041BEB0000000000F6 +:041BEC0000000000F5 +:041BED0000000000F4 +:041BEE0000000000F3 +:041BEF0000000000F2 +:041BF00000000000F1 +:041BF10000000000F0 +:041BF20000000000EF +:041BF30000000000EE +:041BF40000000000ED +:041BF50000000000EC +:041BF60000000000EB +:041BF70000000000EA +:041BF80000000000E9 +:041BF90000000000E8 +:041BFA0000000000E7 +:041BFB0000000000E6 +:041BFC0000000000E5 +:041BFD0000000000E4 +:041BFE0000000000E3 +:041BFF0000000000E2 +:041C000000000000E0 +:041C010000000000DF +:041C020000000000DE +:041C030000000000DD +:041C040000000000DC +:041C050000000000DB +:041C060000000000DA +:041C070000000000D9 +:041C080000000000D8 +:041C090000000000D7 +:041C0A0000000000D6 +:041C0B0000000000D5 +:041C0C0000000000D4 +:041C0D0000000000D3 +:041C0E0000000000D2 +:041C0F0000000000D1 +:041C100000000000D0 +:041C110000000000CF +:041C120000000000CE +:041C130000000000CD +:041C140000000000CC +:041C150000000000CB +:041C160000000000CA +:041C170000000000C9 +:041C180000000000C8 +:041C190000000000C7 +:041C1A0000000000C6 +:041C1B0000000000C5 +:041C1C0000000000C4 +:041C1D0000000000C3 +:041C1E0000000000C2 +:041C1F0000000000C1 +:041C200000000000C0 +:041C210000000000BF +:041C220000000000BE +:041C230000000000BD +:041C240000000000BC +:041C250000000000BB +:041C260000000000BA +:041C270000000000B9 +:041C280000000000B8 +:041C290000000000B7 +:041C2A0000000000B6 +:041C2B0000000000B5 +:041C2C0000000000B4 +:041C2D0000000000B3 +:041C2E0000000000B2 +:041C2F0000000000B1 +:041C300000000000B0 +:041C310000000000AF +:041C320000000000AE +:041C330000000000AD +:041C340000000000AC +:041C350000000000AB +:041C360000000000AA +:041C370000000000A9 +:041C380000000000A8 +:041C390000000000A7 +:041C3A0000000000A6 +:041C3B0000000000A5 +:041C3C0000000000A4 +:041C3D0000000000A3 +:041C3E0000000000A2 +:041C3F0000000000A1 +:041C400000000000A0 +:041C4100000000009F +:041C4200000000009E +:041C4300000000009D +:041C4400000000009C +:041C4500000000009B +:041C4600000000009A +:041C47000000000099 +:041C48000000000098 +:041C49000000000097 +:041C4A000000000096 +:041C4B000000000095 +:041C4C000000000094 +:041C4D000000000093 +:041C4E000000000092 +:041C4F000000000091 +:041C50000000000090 +:041C5100000000008F +:041C5200000000008E +:041C5300000000008D +:041C5400000000008C +:041C5500000000008B +:041C5600000000008A +:041C57000000000089 +:041C58000000000088 +:041C59000000000087 +:041C5A000000000086 +:041C5B000000000085 +:041C5C000000000084 +:041C5D000000000083 +:041C5E000000000082 +:041C5F000000000081 +:041C60000000000080 +:041C6100000000007F +:041C6200000000007E +:041C6300000000007D +:041C6400000000007C +:041C6500000000007B +:041C6600000000007A +:041C67000000000079 +:041C68000000000078 +:041C69000000000077 +:041C6A000000000076 +:041C6B000000000075 +:041C6C000000000074 +:041C6D000000000073 +:041C6E000000000072 +:041C6F000000000071 +:041C70000000000070 +:041C7100000000006F +:041C7200000000006E +:041C7300000000006D +:041C7400000000006C +:041C7500000000006B +:041C7600000000006A +:041C77000000000069 +:041C78000000000068 +:041C79000000000067 +:041C7A000000000066 +:041C7B000000000065 +:041C7C000000000064 +:041C7D000000000063 +:041C7E000000000062 +:041C7F000000000061 +:041C80000000000060 +:041C8100000000005F +:041C8200000000005E +:041C8300000000005D +:041C8400000000005C +:041C8500000000005B +:041C8600000000005A +:041C87000000000059 +:041C88000000000058 +:041C89000000000057 +:041C8A000000000056 +:041C8B000000000055 +:041C8C000000000054 +:041C8D000000000053 +:041C8E000000000052 +:041C8F000000000051 +:041C90000000000050 +:041C9100000000004F +:041C9200000000004E +:041C9300000000004D +:041C9400000000004C +:041C9500000000004B +:041C9600000000004A +:041C97000000000049 +:041C98000000000048 +:041C99000000000047 +:041C9A000000000046 +:041C9B000000000045 +:041C9C000000000044 +:041C9D000000000043 +:041C9E000000000042 +:041C9F000000000041 +:041CA0000000000040 +:041CA100000000003F +:041CA200000000003E +:041CA300000000003D +:041CA400000000003C +:041CA500000000003B +:041CA600000000003A +:041CA7000000000039 +:041CA8000000000038 +:041CA9000000000037 +:041CAA000000000036 +:041CAB000000000035 +:041CAC000000000034 +:041CAD000000000033 +:041CAE000000000032 +:041CAF000000000031 +:041CB0000000000030 +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D +:041CB400000000002C +:041CB500000000002B +:041CB600000000002A +:041CB7000000000029 +:041CB8000000000028 +:041CB9000000000027 +:041CBA000000000026 +:041CBB000000000025 +:041CBC000000000024 +:041CBD000000000023 +:041CBE000000000022 +:041CBF000000000021 +:041CC0000000000020 +:041CC100000000001F +:041CC200000000001E +:041CC300000000001D +:041CC400000000001C +:041CC500000000001B +:041CC600000000001A +:041CC7000000000019 +:041CC8000000000018 +:041CC9000000000017 +:041CCA000000000016 +:041CCB000000000015 +:041CCC000000000014 +:041CCD000000000013 +:041CCE000000000012 +:041CCF000000000011 +:041CD0000000000010 +:041CD100000000000F +:041CD200000000000E +:041CD300000000000D +:041CD400000000000C +:041CD500000000000B +:041CD600000000000A +:041CD7000000000009 +:041CD8000000000008 +:041CD9000000000007 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000000000F8 +:041CE90000000000F7 +:041CEA0000000000F6 +:041CEB0000000000F5 +:041CEC0000000000F4 +:041CED0000000000F3 +:041CEE0000000000F2 +:041CEF0000000000F1 +:041CF00000000000F0 +:041CF10000000000EF +:041CF20000000000EE +:041CF30000000000ED +:041CF40000000000EC +:041CF50000000000EB +:041CF60000000000EA +:041CF70000000000E9 +:041CF80000000000E8 +:041CF90000000000E7 +:041CFA0000000000E6 +:041CFB0000000000E5 +:041CFC0000000000E4 +:041CFD0000000000E3 +:041CFE0000000000E2 +:041CFF0000000000E1 +:041D000000000000DF +:041D010000000000DE +:041D020000000000DD +:041D030000000000DC +:041D040000000000DB +:041D050000000000DA +:041D060000000000D9 +:041D070000000000D8 +:041D080000000000D7 +:041D090000000000D6 +:041D0A0000000000D5 +:041D0B0000000000D4 +:041D0C0000000000D3 +:041D0D0000000000D2 +:041D0E0000000000D1 +:041D0F0000000000D0 +:041D100000000000CF +:041D110000000000CE +:041D120000000000CD +:041D130000000000CC +:041D140000000000CB +:041D150000000000CA +:041D160000000000C9 +:041D170000000000C8 +:041D180000000000C7 +:041D190000000000C6 +:041D1A0000000000C5 +:041D1B0000000000C4 +:041D1C0000000000C3 +:041D1D0000000000C2 +:041D1E0000000000C1 +:041D1F0000000000C0 +:041D200000000000BF +:041D210000000000BE +:041D220000000000BD +:041D230000000000BC +:041D240000000000BB +:041D250000000000BA +:041D260000000000B9 +:041D270000000000B8 +:041D280000000000B7 +:041D290000000000B6 +:041D2A0000000000B5 +:041D2B0000000000B4 +:041D2C0000000000B3 +:041D2D0000000000B2 +:041D2E0000000000B1 +:041D2F0000000000B0 +:041D300000000000AF +:041D310000000000AE +:041D320000000000AD +:041D330000000000AC +:041D340000000000AB +:041D350000000000AA +:041D360000000000A9 +:041D370000000000A8 +:041D380000000000A7 +:041D390000000000A6 +:041D3A0000000000A5 +:041D3B0000000000A4 +:041D3C0000000000A3 +:041D3D0000000000A2 +:041D3E0000000000A1 +:041D3F0000000000A0 +:041D4000000000009F +:041D4100000000009E +:041D4200000000009D +:041D4300000000009C +:041D4400000000009B +:041D4500000000009A +:041D46000000000099 +:041D47000000000098 +:041D48000000000097 +:041D49000000000096 +:041D4A000000000095 +:041D4B000000000094 +:041D4C000000000093 +:041D4D000000000092 +:041D4E000000000091 +:041D4F000000000090 +:041D5000000000008F +:041D5100000000008E +:041D5200000000008D +:041D5300000000008C +:041D5400000000008B +:041D5500000000008A +:041D56000000000089 +:041D57000000000088 +:041D58000000000087 +:041D59000000000086 +:041D5A000000000085 +:041D5B000000000084 +:041D5C000000000083 +:041D5D000000000082 +:041D5E000000000081 +:041D5F000000000080 +:041D6000000000007F +:041D6100000000007E +:041D6200000000007D +:041D6300000000007C +:041D6400000000007B +:041D6500000000007A +:041D66000000000079 +:041D67000000000078 +:041D68000000000077 +:041D69000000000076 +:041D6A000000000075 +:041D6B000000000074 +:041D6C000000000073 +:041D6D000000000072 +:041D6E000000000071 +:041D6F000000000070 +:041D7000000000006F +:041D7100000000006E +:041D7200000000006D +:041D7300000000006C +:041D7400000000006B +:041D7500000000006A +:041D76000000000069 +:041D77000000000068 +:041D78000000000067 +:041D79000000000066 +:041D7A000000000065 +:041D7B000000000064 +:041D7C000000000063 +:041D7D000000000062 +:041D7E000000000061 +:041D7F000000000060 +:041D8000000000005F +:041D8100000000005E +:041D8200000000005D +:041D8300000000005C +:041D8400000000005B +:041D8500000000005A +:041D86000000000059 +:041D87000000000058 +:041D88000000000057 +:041D89000000000056 +:041D8A000000000055 +:041D8B000000000054 +:041D8C000000000053 +:041D8D000000000052 +:041D8E000000000051 +:041D8F000000000050 +:041D9000000000004F +:041D9100000000004E +:041D9200000000004D +:041D9300000000004C +:041D9400000000004B +:041D9500000000004A +:041D96000000000049 +:041D97000000000048 +:041D98000000000047 +:041D99000000000046 +:041D9A000000000045 +:041D9B000000000044 +:041D9C000000000043 +:041D9D000000000042 +:041D9E000000000041 +:041D9F000000000040 +:041DA000000000003F +:041DA100000000003E +:041DA200000000003D +:041DA300000000003C +:041DA400000000003B +:041DA500000000003A +:041DA6000000000039 +:041DA7000000000038 +:041DA8000000000037 +:041DA9000000000036 +:041DAA000000000035 +:041DAB000000000034 +:041DAC000000000033 +:041DAD000000000032 +:041DAE000000000031 +:041DAF000000000030 +:041DB000000000002F +:041DB100000000002E +:041DB200000000002D +:041DB300000000002C +:041DB400000000002B +:041DB500000000002A +:041DB6000000000029 +:041DB7000000000028 +:041DB8000000000027 +:041DB9000000000026 +:041DBA000000000025 +:041DBB000000000024 +:041DBC000000000023 +:041DBD000000000022 +:041DBE000000000021 +:041DBF000000000020 +:041DC000000000001F +:041DC100000000001E +:041DC200000000001D +:041DC300000000001C +:041DC400000000001B +:041DC500000000001A +:041DC6000000000019 +:041DC7000000000018 +:041DC8000000000017 +:041DC9000000000016 +:041DCA000000000015 +:041DCB000000000014 +:041DCC000000000013 +:041DCD000000000012 +:041DCE000000000011 +:041DCF000000000010 +:041DD000000000000F +:041DD100000000000E +:041DD200000000000D +:041DD300000000000C +:041DD400000000000B +:041DD500000000000A +:041DD6000000000009 +:041DD7000000000008 +:041DD8000000000007 +:041DD9000000000006 +:041DDA000000000005 +:041DDB000000000004 +:041DDC000000000003 +:041DDD000000000002 +:041DDE000000000001 +:041DDF000000000000 +:041DE00000000000FF +:041DE10000000000FE +:041DE20000000000FD +:041DE30000000000FC +:041DE40000000000FB +:041DE50000000000FA +:041DE60000000000F9 +:041DE70000000000F8 +:041DE80000000000F7 +:041DE90000000000F6 +:041DEA0000000000F5 +:041DEB0000000000F4 +:041DEC0000000000F3 +:041DED0000000000F2 +:041DEE0000000000F1 +:041DEF0000000000F0 +:041DF00000000000EF +:041DF10000000000EE +:041DF20000000000ED +:041DF30000000000EC +:041DF40000000000EB +:041DF50000000000EA +:041DF60000000000E9 +:041DF70000000000E8 +:041DF80000000000E7 +:041DF90000000000E6 +:041DFA0000000000E5 +:041DFB0000000000E4 +:041DFC0000000000E3 +:041DFD0000000000E2 +:041DFE0000000000E1 +:041DFF0000000000E0 +:041E000000000000DE +:041E010000000000DD +:041E020000000000DC +:041E030000000000DB +:041E040000000000DA +:041E050000000000D9 +:041E060000000000D8 +:041E070000000000D7 +:041E080000000000D6 +:041E090000000000D5 +:041E0A0000000000D4 +:041E0B0000000000D3 +:041E0C0000000000D2 +:041E0D0000000000D1 +:041E0E0000000000D0 +:041E0F0000000000CF +:041E100000000000CE +:041E110000000000CD +:041E120000000000CC +:041E130000000000CB +:041E140000000000CA +:041E150000000000C9 +:041E160000000000C8 +:041E170000000000C7 +:041E180000000000C6 +:041E190000000000C5 +:041E1A0000000000C4 +:041E1B0000000000C3 +:041E1C0000000000C2 +:041E1D0000000000C1 +:041E1E0000000000C0 +:041E1F0000000000BF +:041E200000000000BE +:041E210000000000BD +:041E220000000000BC +:041E230000000000BB +:041E240000000000BA +:041E250000000000B9 +:041E260000000000B8 +:041E270000000000B7 +:041E280000000000B6 +:041E290000000000B5 +:041E2A0000000000B4 +:041E2B0000000000B3 +:041E2C0000000000B2 +:041E2D0000000000B1 +:041E2E0000000000B0 +:041E2F0000000000AF +:041E300000000000AE +:041E310000000000AD +:041E320000000000AC +:041E330000000000AB +:041E340000000000AA +:041E350000000000A9 +:041E360000000000A8 +:041E370000000000A7 +:041E380000000000A6 +:041E390000000000A5 +:041E3A0000000000A4 +:041E3B0000000000A3 +:041E3C0000000000A2 +:041E3D0000000000A1 +:041E3E0000000000A0 +:041E3F00000000009F +:041E4000000000009E +:041E4100000000009D +:041E4200000000009C +:041E4300000000009B +:041E4400000000009A +:041E45000000000099 +:041E46000000000098 +:041E47000000000097 +:041E48000000000096 +:041E49000000000095 +:041E4A000000000094 +:041E4B000000000093 +:041E4C000000000092 +:041E4D000000000091 +:041E4E000000000090 +:041E4F00000000008F +:041E5000000000008E +:041E5100000000008D +:041E5200000000008C +:041E5300000000008B +:041E5400000000008A +:041E55000000000089 +:041E56000000000088 +:041E57000000000087 +:041E58000000000086 +:041E59000000000085 +:041E5A000000000084 +:041E5B000000000083 +:041E5C000000000082 +:041E5D000000000081 +:041E5E000000000080 +:041E5F00000000007F +:041E6000000000007E +:041E6100000000007D +:041E6200000000007C +:041E6300000000007B +:041E6400000000007A +:041E65000000000079 +:041E66000000000078 +:041E67000000000077 +:041E68000000000076 +:041E69000000000075 +:041E6A000000000074 +:041E6B000000000073 +:041E6C000000000072 +:041E6D000000000071 +:041E6E000000000070 +:041E6F00000000006F +:041E7000000000006E +:041E7100000000006D +:041E7200000000006C +:041E7300000000006B +:041E7400000000006A +:041E75000000000069 +:041E76000000000068 +:041E77000000000067 +:041E78000000000066 +:041E79000000000065 +:041E7A000000000064 +:041E7B000000000063 +:041E7C000000000062 +:041E7D000000000061 +:041E7E000000000060 +:041E7F00000000005F +:041E8000000000005E +:041E8100000000005D +:041E8200000000005C +:041E8300000000005B +:041E8400000000005A +:041E85000000000059 +:041E86000000000058 +:041E87000000000057 +:041E88000000000056 +:041E89000000000055 +:041E8A000000000054 +:041E8B000000000053 +:041E8C000000000052 +:041E8D000000000051 +:041E8E000000000050 +:041E8F00000000004F +:041E9000000000004E +:041E9100000000004D +:041E9200000000004C +:041E9300000000004B +:041E9400000000004A +:041E95000000000049 +:041E96000000000048 +:041E97000000000047 +:041E98000000000046 +:041E99000000000045 +:041E9A000000000044 +:041E9B000000000043 +:041E9C000000000042 +:041E9D000000000041 +:041E9E000000000040 +:041E9F00000000003F +:041EA000000000003E +:041EA100000000003D +:041EA200000000003C +:041EA300000000003B +:041EA400000000003A +:041EA5000000000039 +:041EA6000000000038 +:041EA7000000000037 +:041EA8000000000036 +:041EA9000000000035 +:041EAA000000000034 +:041EAB000000000033 +:041EAC000000000032 +:041EAD000000000031 +:041EAE000000000030 +:041EAF00000000002F +:041EB000000000002E +:041EB100000000002D +:041EB200000000002C +:041EB300000000002B +:041EB400000000002A +:041EB5000000000029 +:041EB6000000000028 +:041EB7000000000027 +:041EB8000000000026 +:041EB9000000000025 +:041EBA000000000024 +:041EBB000000000023 +:041EBC000000000022 +:041EBD000000000021 +:041EBE000000000020 +:041EBF00000000001F +:041EC000000000001E +:041EC100000000001D +:041EC200000000001C +:041EC300000000001B +:041EC400000000001A +:041EC5000000000019 +:041EC6000000000018 +:041EC7000000000017 +:041EC8000000000016 +:041EC9000000000015 +:041ECA000000000014 +:041ECB000000000013 +:041ECC000000000012 +:041ECD000000000011 +:041ECE000000000010 +:041ECF00000000000F +:041ED000000000000E +:041ED100000000000D +:041ED200000000000C +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000000000008 +:041ED7000000000007 +:041ED8000000000006 +:041ED9000000000005 +:041EDA000000000004 +:041EDB000000000003 +:041EDC000000000002 +:041EDD000000000001 +:041EDE000000000000 +:041EDF0000000000FF +:041EE00000000000FE +:041EE10000000000FD +:041EE20000000000FC +:041EE30000000000FB +:041EE40000000000FA +:041EE50000000000F9 +:041EE60000000000F8 +:041EE70000000000F7 +:041EE80000000000F6 +:041EE90000000000F5 +:041EEA0000000000F4 +:041EEB0000000000F3 +:041EEC0000000000F2 +:041EED0000000000F1 +:041EEE0000000000F0 +:041EEF0000000000EF +:041EF00000000000EE +:041EF10000000000ED +:041EF20000000000EC +:041EF30000000000EB +:041EF40000000000EA +:041EF50000000000E9 +:041EF60000000000E8 +:041EF70000000000E7 +:041EF80000000000E6 +:041EF90000000000E5 +:041EFA0000000000E4 +:041EFB0000000000E3 +:041EFC0000000000E2 +:041EFD0000000000E1 +:041EFE0000000000E0 +:041EFF0000000000DF +:041F000000000000DD +:041F010000000000DC +:041F020000000000DB +:041F030000000000DA +:041F040000000000D9 +:041F050000000000D8 +:041F060000000000D7 +:041F070000000000D6 +:041F080000000000D5 +:041F090000000000D4 +:041F0A0000000000D3 +:041F0B0000000000D2 +:041F0C0000000000D1 +:041F0D0000000000D0 +:041F0E0000000000CF +:041F0F0000000000CE +:041F100000000000CD +:041F110000000000CC +:041F120000000000CB +:041F130000000000CA +:041F140000000000C9 +:041F150000000000C8 +:041F160000000000C7 +:041F170000000000C6 +:041F180000000000C5 +:041F190000000000C4 +:041F1A0000000000C3 +:041F1B0000000000C2 +:041F1C0000000000C1 +:041F1D0000000000C0 +:041F1E0000000000BF +:041F1F0000000000BE +:041F200000000000BD +:041F210000000000BC +:041F220000000000BB +:041F230000000000BA +:041F240000000000B9 +:041F250000000000B8 +:041F260000000000B7 +:041F270000000000B6 +:041F280000000000B5 +:041F290000000000B4 +:041F2A0000000000B3 +:041F2B0000000000B2 +:041F2C0000000000B1 +:041F2D0000000000B0 +:041F2E0000000000AF +:041F2F0000000000AE +:041F300000000000AD +:041F310000000000AC +:041F320000000000AB +:041F330000000000AA +:041F340000000000A9 +:041F350000000000A8 +:041F360000000000A7 +:041F370000000000A6 +:041F380000000000A5 +:041F390000000000A4 +:041F3A0000000000A3 +:041F3B0000000000A2 +:041F3C0000000000A1 +:041F3D0000000000A0 +:041F3E00000000009F +:041F3F00000000009E +:041F4000000000009D +:041F4100000000009C +:041F4200000000009B +:041F4300000000009A +:041F44000000000099 +:041F45000000000098 +:041F46000000000097 +:041F47000000000096 +:041F48000000000095 +:041F49000000000094 +:041F4A000000000093 +:041F4B000000000092 +:041F4C000000000091 +:041F4D000000000090 +:041F4E00000000008F +:041F4F00000000008E +:041F5000000000008D +:041F5100000000008C +:041F5200000000008B +:041F5300000000008A +:041F54000000000089 +:041F55000000000088 +:041F56000000000087 +:041F57000000000086 +:041F58000000000085 +:041F59000000000084 +:041F5A000000000083 +:041F5B000000000082 +:041F5C000000000081 +:041F5D000000000080 +:041F5E00000000007F +:041F5F00000000007E +:041F6000000000007D +:041F6100000000007C +:041F6200000000007B +:041F6300000000007A +:041F64000000000079 +:041F65000000000078 +:041F66000000000077 +:041F67000000000076 +:041F68000000000075 +:041F69000000000074 +:041F6A000000000073 +:041F6B000000000072 +:041F6C000000000071 +:041F6D000000000070 +:041F6E00000000006F +:041F6F00000000006E +:041F7000000000006D +:041F7100000000006C +:041F7200000000006B +:041F7300000000006A +:041F74000000000069 +:041F75000000000068 +:041F76000000000067 +:041F77000000000066 +:041F78000000000065 +:041F79000000000064 +:041F7A000000000063 +:041F7B000000000062 +:041F7C000000000061 +:041F7D000000000060 +:041F7E00000000005F +:041F7F00000000005E +:041F8000000000005D +:041F8100000000005C +:041F8200000000005B +:041F8300000000005A +:041F84000000000059 +:041F85000000000058 +:041F86000000000057 +:041F87000000000056 +:041F88000000000055 +:041F89000000000054 +:041F8A000000000053 +:041F8B000000000052 +:041F8C000000000051 +:041F8D000000000050 +:041F8E00000000004F +:041F8F00000000004E +:041F9000000000004D +:041F9100000000004C +:041F9200000000004B +:041F9300000000004A +:041F94000000000049 +:041F95000000000048 +:041F96000000000047 +:041F97000000000046 +:041F98000000000045 +:041F99000000000044 +:041F9A000000000043 +:041F9B000000000042 +:041F9C000000000041 +:041F9D000000000040 +:041F9E00000000003F +:041F9F00000000003E +:041FA000000000003D +:041FA100000000003C +:041FA200000000003B +:041FA300000000003A +:041FA4000000000039 +:041FA5000000000038 +:041FA6000000000037 +:041FA7000000000036 +:041FA8000000000035 +:041FA9000000000034 +:041FAA000000000033 +:041FAB000000000032 +:041FAC000000000031 +:041FAD000000000030 +:041FAE00000000002F +:041FAF00000000002E +:041FB000000000002D +:041FB100000000002C +:041FB200000000002B +:041FB300000000002A +:041FB4000000000029 +:041FB5000000000028 +:041FB6000000000027 +:041FB7000000000026 +:041FB8000000000025 +:041FB9000000000024 +:041FBA000000000023 +:041FBB000000000022 +:041FBC000000000021 +:041FBD000000000020 +:041FBE00000000001F +:041FBF00000000001E +:041FC000000000001D +:041FC100000000001C +:041FC200000000001B +:041FC300000000001A +:041FC4000000000019 +:041FC5000000000018 +:041FC6000000000017 +:041FC7000000000016 +:041FC8000000000015 +:041FC9000000000014 +:041FCA000000000013 +:041FCB000000000012 +:041FCC000000000011 +:041FCD000000000010 +:041FCE00000000000F +:041FCF00000000000E +:041FD000000000000D +:041FD100000000000C +:041FD200000000000B +:041FD300000000000A +:041FD4000000000009 +:041FD5000000000008 +:041FD6000000000007 +:041FD7000000000006 +:041FD8000000000005 +:041FD9000000000004 +:041FDA000000000003 +:041FDB000000000002 +:041FDC000000000001 +:041FDD000000000000 +:041FDE0000000000FF +:041FDF0000000000FE +:041FE00000000000FD +:041FE10000000000FC +:041FE20000000000FB +:041FE30000000000FA +:041FE40000000000F9 +:041FE50000000000F8 +:041FE60000000000F7 +:041FE70000000000F6 +:041FE80000000000F5 +:041FE90000000000F4 +:041FEA0000000000F3 +:041FEB0000000000F2 +:041FEC0000000000F1 +:041FED0000000000F0 +:041FEE0000000000EF +:041FEF0000000000EE +:041FF00000000000ED +:041FF10000000000EC +:041FF20000000000EB +:041FF30000000000EA +:041FF40000000000E9 +:041FF50000000000E8 +:041FF60000000000E7 +:041FF70000000000E6 +:041FF80000000000E5 +:041FF90000000000E4 +:041FFA0000000000E3 +:041FFB0000000000E2 +:041FFC0000000000E1 +:041FFD0000000000E0 +:041FFE0000000000DF +:041FFF0000000000DE +:0420000000000000DC +:0420010000000000DB +:0420020000000000DA +:0420030000000000D9 +:0420040000000000D8 +:0420050000000000D7 +:0420060000000000D6 +:0420070000000000D5 +:0420080000000000D4 +:0420090000000000D3 +:04200A0000000000D2 +:04200B0000000000D1 +:04200C0000000000D0 +:04200D0000000000CF +:04200E0000000000CE +:04200F0000000000CD +:0420100000000000CC +:0420110000000000CB +:0420120000000000CA +:0420130000000000C9 +:0420140000000000C8 +:0420150000000000C7 +:0420160000000000C6 +:0420170000000000C5 +:0420180000000000C4 +:0420190000000000C3 +:04201A0000000000C2 +:04201B0000000000C1 +:04201C0000000000C0 +:04201D0000000000BF +:04201E0000000000BE +:04201F0000000000BD +:0420200000000000BC +:0420210000000000BB +:0420220000000000BA +:0420230000000000B9 +:0420240000000000B8 +:0420250000000000B7 +:0420260000000000B6 +:0420270000000000B5 +:0420280000000000B4 +:0420290000000000B3 +:04202A0000000000B2 +:04202B0000000000B1 +:04202C0000000000B0 +:04202D0000000000AF +:04202E0000000000AE +:04202F0000000000AD +:0420300000000000AC +:0420310000000000AB +:0420320000000000AA +:0420330000000000A9 +:0420340000000000A8 +:0420350000000000A7 +:0420360000000000A6 +:0420370000000000A5 +:0420380000000000A4 +:0420390000000000A3 +:04203A0000000000A2 +:04203B0000000000A1 +:04203C0000000000A0 +:04203D00000000009F +:04203E00000000009E +:04203F00000000009D +:04204000000000009C +:04204100000000009B +:04204200000000009A +:042043000000000099 +:042044000000000098 +:042045000000000097 +:042046000000000096 +:042047000000000095 +:042048000000000094 +:042049000000000093 +:04204A000000000092 +:04204B000000000091 +:04204C000000000090 +:04204D00000000008F +:04204E00000000008E +:04204F00000000008D +:04205000000000008C +:04205100000000008B +:04205200000000008A +:042053000000000089 +:042054000000000088 +:042055000000000087 +:042056000000000086 +:042057000000000085 +:042058000000000084 +:042059000000000083 +:04205A000000000082 +:04205B000000000081 +:04205C000000000080 +:04205D00000000007F +:04205E00000000007E +:04205F00000000007D +:04206000000000007C +:04206100000000007B +:04206200000000007A +:042063000000000079 +:042064000000000078 +:042065000000000077 +:042066000000000076 +:042067000000000075 +:042068000000000074 +:042069000000000073 +:04206A000000000072 +:04206B000000000071 +:04206C000000000070 +:04206D00000000006F +:04206E00000000006E +:04206F00000000006D +:04207000000000006C +:04207100000000006B +:04207200000000006A +:042073000000000069 +:042074000000000068 +:042075000000000067 +:042076000000000066 +:042077000000000065 +:042078000000000064 +:042079000000000063 +:04207A000000000062 +:04207B000000000061 +:04207C000000000060 +:04207D00000000005F +:04207E00000000005E +:04207F00000000005D +:04208000000000005C +:04208100000000005B +:04208200000000005A +:042083000000000059 +:042084000000000058 +:042085000000000057 +:042086000000000056 +:042087000000000055 +:042088000000000054 +:042089000000000053 +:04208A000000000052 +:04208B000000000051 +:04208C000000000050 +:04208D00000000004F +:04208E00000000004E +:04208F00000000004D +:04209000000000004C +:04209100000000004B +:04209200000000004A +:042093000000000049 +:042094000000000048 +:042095000000000047 +:042096000000000046 +:042097000000000045 +:042098000000000044 +:042099000000000043 +:04209A000000000042 +:04209B000000000041 +:04209C000000000040 +:04209D00000000003F +:04209E00000000003E +:04209F00000000003D +:0420A000000000003C +:0420A100000000003B +:0420A200000000003A +:0420A3000000000039 +:0420A4000000000038 +:0420A5000000000037 +:0420A6000000000036 +:0420A7000000000035 +:0420A8000000000034 +:0420A9000000000033 +:0420AA000000000032 +:0420AB000000000031 +:0420AC000000000030 +:0420AD00000000002F +:0420AE00000000002E +:0420AF00000000002D +:0420B000000000002C +:0420B100000000002B +:0420B200000000002A +:0420B3000000000029 +:0420B4000000000028 +:0420B5000000000027 +:0420B6000000000026 +:0420B7000000000025 +:0420B8000000000024 +:0420B9000000000023 +:0420BA000000000022 +:0420BB000000000021 +:0420BC000000000020 +:0420BD00000000001F +:0420BE00000000001E +:0420BF00000000001D +:0420C000000000001C +:0420C100000000001B +:0420C200000000001A +:0420C3000000000019 +:0420C4000000000018 +:0420C5000000000017 +:0420C6000000000016 +:0420C7000000000015 +:0420C8000000000014 +:0420C9000000000013 +:0420CA000000000012 +:0420CB000000000011 +:0420CC000000000010 +:0420CD00000000000F +:0420CE00000000000E +:0420CF00000000000D +:0420D000000000000C +:0420D100000000000B +:0420D200000000000A +:0420D3000000000009 +:0420D4000000000008 +:0420D5000000000007 +:0420D6000000000006 +:0420D7000000000005 +:0420D8000000000004 +:0420D9000000000003 +:0420DA000000000002 +:0420DB000000000001 +:0420DC000000000000 +:0420DD0000000000FF +:0420DE0000000000FE +:0420DF0000000000FD +:0420E00000000000FC +:0420E10000000000FB +:0420E20000000000FA +:0420E30000000000F9 +:0420E40000000000F8 +:0420E50000000000F7 +:0420E60000000000F6 +:0420E70000000000F5 +:0420E80000000000F4 +:0420E90000000000F3 +:0420EA0000000000F2 +:0420EB0000000000F1 +:0420EC0000000000F0 +:0420ED0000000000EF +:0420EE0000000000EE +:0420EF0000000000ED +:0420F00000000000EC +:0420F10000000000EB +:0420F20000000000EA +:0420F30000000000E9 +:0420F40000000000E8 +:0420F50000000000E7 +:0420F60000000000E6 +:0420F70000000000E5 +:0420F80000000000E4 +:0420F90000000000E3 +:0420FA0000000000E2 +:0420FB0000000000E1 +:0420FC0000000000E0 +:0420FD0000000000DF +:0420FE0000000000DE +:0420FF0000000000DD +:0421000000000000DB +:0421010000000000DA +:0421020000000000D9 +:0421030000000000D8 +:0421040000000000D7 +:0421050000000000D6 +:0421060000000000D5 +:0421070000000000D4 +:0421080000000000D3 +:0421090000000000D2 +:04210A0000000000D1 +:04210B0000000000D0 +:04210C0000000000CF +:04210D0000000000CE +:04210E0000000000CD +:04210F0000000000CC +:0421100000000000CB +:0421110000000000CA +:0421120000000000C9 +:0421130000000000C8 +:0421140000000000C7 +:0421150000000000C6 +:0421160000000000C5 +:0421170000000000C4 +:0421180000000000C3 +:0421190000000000C2 +:04211A0000000000C1 +:04211B0000000000C0 +:04211C0000000000BF +:04211D0000000000BE +:04211E0000000000BD +:04211F0000000000BC +:0421200000000000BB +:0421210000000000BA +:0421220000000000B9 +:0421230000000000B8 +:0421240000000000B7 +:0421250000000000B6 +:0421260000000000B5 +:0421270000000000B4 +:0421280000000000B3 +:0421290000000000B2 +:04212A0000000000B1 +:04212B0000000000B0 +:04212C0000000000AF +:04212D0000000000AE +:04212E0000000000AD +:04212F0000000000AC +:0421300000000000AB +:0421310000000000AA +:0421320000000000A9 +:0421330000000000A8 +:0421340000000000A7 +:0421350000000000A6 +:0421360000000000A5 +:0421370000000000A4 +:0421380000000000A3 +:0421390000000000A2 +:04213A0000000000A1 +:04213B0000000000A0 +:04213C00000000009F +:04213D00000000009E +:04213E00000000009D +:04213F00000000009C +:04214000000000009B +:04214100000000009A +:042142000000000099 +:042143000000000098 +:042144000000000097 +:042145000000000096 +:042146000000000095 +:042147000000000094 +:042148000000000093 +:042149000000000092 +:04214A000000000091 +:04214B000000000090 +:04214C00000000008F +:04214D00000000008E +:04214E00000000008D +:04214F00000000008C +:04215000000000008B +:04215100000000008A +:042152000000000089 +:042153000000000088 +:042154000000000087 +:042155000000000086 +:042156000000000085 +:042157000000000084 +:042158000000000083 +:042159000000000082 +:04215A000000000081 +:04215B000000000080 +:04215C00000000007F +:04215D00000000007E +:04215E00000000007D +:04215F00000000007C +:04216000000000007B +:04216100000000007A +:042162000000000079 +:042163000000000078 +:042164000000000077 +:042165000000000076 +:042166000000000075 +:042167000000000074 +:042168000000000073 +:042169000000000072 +:04216A000000000071 +:04216B000000000070 +:04216C00000000006F +:04216D00000000006E +:04216E00000000006D +:04216F00000000006C +:04217000000000006B +:04217100000000006A +:042172000000000069 +:042173000000000068 +:042174000000000067 +:042175000000000066 +:042176000000000065 +:042177000000000064 +:042178000000000063 +:042179000000000062 +:04217A000000000061 +:04217B000000000060 +:04217C00000000005F +:04217D00000000005E +:04217E00000000005D +:04217F00000000005C +:04218000000000005B +:04218100000000005A +:042182000000000059 +:042183000000000058 +:042184000000000057 +:042185000000000056 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A000000000051 +:04218B000000000050 +:04218C00000000004F +:04218D00000000004E +:04218E00000000004D +:04218F00000000004C +:04219000000000004B +:04219100000000004A +:042192000000000049 +:042193000000000048 +:042194000000000047 +:042195000000000046 +:042196000000000045 +:042197000000000044 +:042198000000000043 +:042199000000000042 +:04219A000000000041 +:04219B000000000040 +:04219C00000000003F +:04219D00000000003E +:04219E00000000003D +:04219F00000000003C +:0421A000000000003B +:0421A100000000003A +:0421A2000000000039 +:0421A3000000000038 +:0421A4000000000037 +:0421A5000000000036 +:0421A6000000000035 +:0421A7000000000034 +:0421A8000000000033 +:0421A9000000000032 +:0421AA000000000031 +:0421AB000000000030 +:0421AC00000000002F +:0421AD00000000002E +:0421AE00000000002D +:0421AF00000000002C +:0421B000000000002B +:0421B100000000002A +:0421B2000000000029 +:0421B3000000000028 +:0421B4000000000027 +:0421B5000000000026 +:0421B6000000000025 +:0421B7000000000024 +:0421B8000000000023 +:0421B9000000000022 +:0421BA000000000021 +:0421BB000000000020 +:0421BC00000000001F +:0421BD00000000001E +:0421BE00000000001D +:0421BF00000000001C +:0421C000000000001B +:0421C100000000001A +:0421C2000000000019 +:0421C3000000000018 +:0421C4000000000017 +:0421C5000000000016 +:0421C6000000000015 +:0421C7000000000014 +:0421C8000000000013 +:0421C9000000000012 +:0421CA000000000011 +:0421CB000000000010 +:0421CC00000000000F +:0421CD00000000000E +:0421CE00000000000D +:0421CF00000000000C +:0421D000000000000B +:0421D100000000000A +:0421D2000000000009 +:0421D3000000000008 +:0421D4000000000007 +:0421D5000000000006 +:0421D6000000000005 +:0421D7000000000004 +:0421D8000000000003 +:0421D9000000000002 +:0421DA000000000001 +:0421DB000000000000 +:0421DC0000000000FF +:0421DD0000000000FE +:0421DE0000000000FD +:0421DF0000000000FC +:0421E00000000000FB +:0421E10000000000FA +:0421E20000000000F9 +:0421E30000000000F8 +:0421E40000000000F7 +:0421E50000000000F6 +:0421E60000000000F5 +:0421E70000000000F4 +:0421E80000000000F3 +:0421E90000000000F2 +:0421EA0000000000F1 +:0421EB0000000000F0 +:0421EC0000000000EF +:0421ED0000000000EE +:0421EE0000000000ED +:0421EF0000000000EC +:0421F00000000000EB +:0421F10000000000EA +:0421F20000000000E9 +:0421F30000000000E8 +:0421F40000000000E7 +:0421F50000000000E6 +:0421F60000000000E5 +:0421F70000000000E4 +:0421F80000000000E3 +:0421F90000000000E2 +:0421FA0000000000E1 +:0421FB0000000000E0 +:0421FC0000000000DF +:0421FD0000000000DE +:0421FE0000000000DD +:0421FF0000000000DC +:0422000000000000DA +:0422010000000000D9 +:0422020000000000D8 +:0422030000000000D7 +:0422040000000000D6 +:0422050000000000D5 +:0422060000000000D4 +:0422070000000000D3 +:0422080000000000D2 +:0422090000000000D1 +:04220A0000000000D0 +:04220B0000000000CF +:04220C0000000000CE +:04220D0000000000CD +:04220E0000000000CC +:04220F0000000000CB +:0422100000000000CA +:0422110000000000C9 +:0422120000000000C8 +:0422130000000000C7 +:0422140000000000C6 +:0422150000000000C5 +:0422160000000000C4 +:0422170000000000C3 +:0422180000000000C2 +:0422190000000000C1 +:04221A0000000000C0 +:04221B0000000000BF +:04221C0000000000BE +:04221D0000000000BD +:04221E0000000000BC +:04221F0000000000BB +:0422200000000000BA +:0422210000000000B9 +:0422220000000000B8 +:0422230000000000B7 +:0422240000000000B6 +:0422250000000000B5 +:0422260000000000B4 +:0422270000000000B3 +:0422280000000000B2 +:0422290000000000B1 +:04222A0000000000B0 +:04222B0000000000AF +:04222C0000000000AE +:04222D0000000000AD +:04222E0000000000AC +:04222F0000000000AB +:0422300000000000AA +:0422310000000000A9 +:0422320000000000A8 +:0422330000000000A7 +:0422340000000000A6 +:0422350000000000A5 +:0422360000000000A4 +:0422370000000000A3 +:0422380000000000A2 +:0422390000000000A1 +:04223A0000000000A0 +:04223B00000000009F +:04223C00000000009E +:04223D00000000009D +:04223E00000000009C +:04223F00000000009B +:04224000000000009A +:042241000000000099 +:042242000000000098 +:042243000000000097 +:042244000000000096 +:042245000000000095 +:042246000000000094 +:042247000000000093 +:042248000000000092 +:042249000000000091 +:04224A000000000090 +:04224B00000000008F +:04224C00000000008E +:04224D00000000008D +:04224E00000000008C +:04224F00000000008B +:04225000000000008A +:042251000000000089 +:042252000000000088 +:042253000000000087 +:042254000000000086 +:042255000000000085 +:042256000000000084 +:042257000000000083 +:042258000000000082 +:042259000000000081 +:04225A000000000080 +:04225B00000000007F +:04225C00000000007E +:04225D00000000007D +:04225E00000000007C +:04225F00000000007B +:04226000000000007A +:042261000000000079 +:042262000000000078 +:042263000000000077 +:042264000000000076 +:042265000000000075 +:042266000000000074 +:042267000000000073 +:042268000000000072 +:042269000000000071 +:04226A000000000070 +:04226B00000000006F +:04226C00000000006E +:04226D00000000006D +:04226E00000000006C +:04226F00000000006B +:04227000000000006A +:042271000000000069 +:042272000000000068 +:042273000000000067 +:042274000000000066 +:042275000000000065 +:042276000000000064 +:042277000000000063 +:042278000000000062 +:042279000000000061 +:04227A000000000060 +:04227B00000000005F +:04227C00000000005E +:04227D00000000005D +:04227E00000000005C +:04227F00000000005B +:04228000000000005A +:042281000000000059 +:042282000000000058 +:042283000000000057 +:042284000000000056 +:042285000000000055 +:042286000000000054 +:042287000000000053 +:042288000000000052 +:042289000000000051 +:04228A000000000050 +:04228B00000000004F +:04228C00000000004E +:04228D00000000004D +:04228E00000000004C +:04228F00000000004B +:04229000000000004A +:042291000000000049 +:042292000000000048 +:042293000000000047 +:042294000000000046 +:042295000000000045 +:042296000000000044 +:042297000000000043 +:042298000000000042 +:042299000000000041 +:04229A000000000040 +:04229B00000000003F +:04229C00000000003E +:04229D00000000003D +:04229E00000000003C +:04229F00000000003B +:0422A000000000003A +:0422A1000000000039 +:0422A2000000000038 +:0422A3000000000037 +:0422A4000000000036 +:0422A5000000000035 +:0422A6000000000034 +:0422A7000000000033 +:0422A8000000000032 +:0422A9000000000031 +:0422AA000000000030 +:0422AB00000000002F +:0422AC00000000002E +:0422AD00000000002D +:0422AE00000000002C +:0422AF00000000002B +:0422B000000000002A +:0422B1000000000029 +:0422B2000000000028 +:0422B3000000000027 +:0422B4000000000026 +:0422B5000000000025 +:0422B6000000000024 +:0422B7000000000023 +:0422B8000000000022 +:0422B9000000000021 +:0422BA000000000020 +:0422BB00000000001F +:0422BC00000000001E +:0422BD00000000001D +:0422BE00000000001C +:0422BF00000000001B +:0422C000000000001A +:0422C1000000000019 +:0422C2000000000018 +:0422C3000000000017 +:0422C4000000000016 +:0422C5000000000015 +:0422C6000000000014 +:0422C7000000000013 +:0422C8000000000012 +:0422C9000000000011 +:0422CA000000000010 +:0422CB00000000000F +:0422CC00000000000E +:0422CD00000000000D +:0422CE00000000000C +:0422CF00000000000B +:0422D000000000000A +:0422D1000000000009 +:0422D2000000000008 +:0422D3000000000007 +:0422D4000000000006 +:0422D5000000000005 +:0422D6000000000004 +:0422D7000000000003 +:0422D8000000000002 +:0422D9000000000001 +:0422DA000000000000 +:0422DB0000000000FF +:0422DC0000000000FE +:0422DD0000000000FD +:0422DE0000000000FC +:0422DF0000000000FB +:0422E00000000000FA +:0422E10000000000F9 +:0422E20000000000F8 +:0422E30000000000F7 +:0422E40000000000F6 +:0422E50000000000F5 +:0422E60000000000F4 +:0422E70000000000F3 +:0422E80000000000F2 +:0422E90000000000F1 +:0422EA0000000000F0 +:0422EB0000000000EF +:0422EC0000000000EE +:0422ED0000000000ED +:0422EE0000000000EC +:0422EF0000000000EB +:0422F00000000000EA +:0422F10000000000E9 +:0422F20000000000E8 +:0422F30000000000E7 +:0422F40000000000E6 +:0422F50000000000E5 +:0422F60000000000E4 +:0422F70000000000E3 +:0422F80000000000E2 +:0422F90000000000E1 +:0422FA0000000000E0 +:0422FB0000000000DF +:0422FC0000000000DE +:0422FD0000000000DD +:0422FE0000000000DC +:0422FF0000000000DB +:0423000000000000D9 +:0423010000000000D8 +:0423020000000000D7 +:0423030000000000D6 +:0423040000000000D5 +:0423050000000000D4 +:0423060000000000D3 +:0423070000000000D2 +:0423080000000000D1 +:0423090000000000D0 +:04230A0000000000CF +:04230B0000000000CE +:04230C0000000000CD +:04230D0000000000CC +:04230E0000000000CB +:04230F0000000000CA +:0423100000000000C9 +:0423110000000000C8 +:0423120000000000C7 +:0423130000000000C6 +:0423140000000000C5 +:0423150000000000C4 +:0423160000000000C3 +:0423170000000000C2 +:0423180000000000C1 +:0423190000000000C0 +:04231A0000000000BF +:04231B0000000000BE +:04231C0000000000BD +:04231D0000000000BC +:04231E0000000000BB +:04231F0000000000BA +:0423200000000000B9 +:0423210000000000B8 +:0423220000000000B7 +:0423230000000000B6 +:0423240000000000B5 +:0423250000000000B4 +:0423260000000000B3 +:0423270000000000B2 +:0423280000000000B1 +:0423290000000000B0 +:04232A0000000000AF +:04232B0000000000AE +:04232C0000000000AD +:04232D0000000000AC +:04232E0000000000AB +:04232F0000000000AA +:0423300000000000A9 +:0423310000000000A8 +:0423320000000000A7 +:0423330000000000A6 +:0423340000000000A5 +:0423350000000000A4 +:0423360000000000A3 +:0423370000000000A2 +:0423380000000000A1 +:0423390000000000A0 +:04233A00000000009F +:04233B00000000009E +:04233C00000000009D +:04233D00000000009C +:04233E00000000009B +:04233F00000000009A +:042340000000000099 +:042341000000000098 +:042342000000000097 +:042343000000000096 +:042344000000000095 +:042345000000000094 +:042346000000000093 +:042347000000000092 +:042348000000000091 +:042349000000000090 +:04234A00000000008F +:04234B00000000008E +:04234C00000000008D +:04234D00000000008C +:04234E00000000008B +:04234F00000000008A +:042350000000000089 +:042351000000000088 +:042352000000000087 +:042353000000000086 +:042354000000000085 +:042355000000000084 +:042356000000000083 +:042357000000000082 +:042358000000000081 +:042359000000000080 +:04235A00000000007F +:04235B00000000007E +:04235C00000000007D +:04235D00000000007C +:04235E00000000007B +:04235F00000000007A +:042360000000000079 +:042361000000000078 +:042362000000000077 +:042363000000000076 +:042364000000000075 +:042365000000000074 +:042366000000000073 +:042367000000000072 +:042368000000000071 +:042369000000000070 +:04236A00000000006F +:04236B00000000006E +:04236C00000000006D +:04236D00000000006C +:04236E00000000006B +:04236F00000000006A +:042370000000000069 +:042371000000000068 +:042372000000000067 +:042373000000000066 +:042374000000000065 +:042375000000000064 +:042376000000000063 +:042377000000000062 +:042378000000000061 +:042379000000000060 +:04237A00000000005F +:04237B00000000005E +:04237C00000000005D +:04237D00000000005C +:04237E00000000005B +:04237F00000000005A +:042380000000000059 +:042381000000000058 +:042382000000000057 +:042383000000000056 +:042384000000000055 +:042385000000000054 +:042386000000000053 +:042387000000000052 +:042388000000000051 +:042389000000000050 +:04238A00000000004F +:04238B00000000004E +:04238C00000000004D +:04238D00000000004C +:04238E00000000004B +:04238F00000000004A +:042390000000000049 +:042391000000000048 +:042392000000000047 +:042393000000000046 +:042394000000000045 +:042395000000000044 +:042396000000000043 +:042397000000000042 +:042398000000000041 +:042399000000000040 +:04239A00000000003F +:04239B00000000003E +:04239C00000000003D +:04239D00000000003C +:04239E00000000003B +:04239F00000000003A +:0423A0000000000039 +:0423A1000000000038 +:0423A2000000000037 +:0423A3000000000036 +:0423A4000000000035 +:0423A5000000000034 +:0423A6000000000033 +:0423A7000000000032 +:0423A8000000000031 +:0423A9000000000030 +:0423AA00000000002F +:0423AB00000000002E +:0423AC00000000002D +:0423AD00000000002C +:0423AE00000000002B +:0423AF00000000002A +:0423B0000000000029 +:0423B1000000000028 +:0423B2000000000027 +:0423B3000000000026 +:0423B4000000000025 +:0423B5000000000024 +:0423B6000000000023 +:0423B7000000000022 +:0423B8000000000021 +:0423B9000000000020 +:0423BA00000000001F +:0423BB00000000001E +:0423BC00000000001D +:0423BD00000000001C +:0423BE00000000001B +:0423BF00000000001A +:0423C0000000000019 +:0423C1000000000018 +:0423C2000000000017 +:0423C3000000000016 +:0423C4000000000015 +:0423C5000000000014 +:0423C6000000000013 +:0423C7000000000012 +:0423C8000000000011 +:0423C9000000000010 +:0423CA00000000000F +:0423CB00000000000E +:0423CC00000000000D +:0423CD00000000000C +:0423CE00000000000B +:0423CF00000000000A +:0423D0000000000009 +:0423D1000000000008 +:0423D2000000000007 +:0423D3000000000006 +:0423D4000000000005 +:0423D5000000000004 +:0423D6000000000003 +:0423D7000000000002 +:0423D8000000000001 +:0423D9000000000000 +:0423DA0000000000FF +:0423DB0000000000FE +:0423DC0000000000FD +:0423DD0000000000FC +:0423DE0000000000FB +:0423DF0000000000FA +:0423E00000000000F9 +:0423E10000000000F8 +:0423E20000000000F7 +:0423E30000000000F6 +:0423E40000000000F5 +:0423E50000000000F4 +:0423E60000000000F3 +:0423E70000000000F2 +:0423E80000000000F1 +:0423E90000000000F0 +:0423EA0000000000EF +:0423EB0000000000EE +:0423EC0000000000ED +:0423ED0000000000EC +:0423EE0000000000EB +:0423EF0000000000EA +:0423F00000000000E9 +:0423F10000000000E8 +:0423F20000000000E7 +:0423F30000000000E6 +:0423F40000000000E5 +:0423F50000000000E4 +:0423F60000000000E3 +:0423F70000000000E2 +:0423F80000000000E1 +:0423F90000000000E0 +:0423FA0000000000DF +:0423FB0000000000DE +:0423FC0000000000DD +:0423FD0000000000DC +:0423FE0000000000DB +:0423FF0000000000DA +:0424000000000000D8 +:0424010000000000D7 +:0424020000000000D6 +:0424030000000000D5 +:0424040000000000D4 +:0424050000000000D3 +:0424060000000000D2 +:0424070000000000D1 +:0424080000000000D0 +:0424090000000000CF +:04240A0000000000CE +:04240B0000000000CD +:04240C0000000000CC +:04240D0000000000CB +:04240E0000000000CA +:04240F0000000000C9 +:0424100000000000C8 +:0424110000000000C7 +:0424120000000000C6 +:0424130000000000C5 +:0424140000000000C4 +:0424150000000000C3 +:0424160000000000C2 +:0424170000000000C1 +:0424180000000000C0 +:0424190000000000BF +:04241A0000000000BE +:04241B0000000000BD +:04241C0000000000BC +:04241D0000000000BB +:04241E0000000000BA +:04241F0000000000B9 +:0424200000000000B8 +:0424210000000000B7 +:0424220000000000B6 +:0424230000000000B5 +:0424240000000000B4 +:0424250000000000B3 +:0424260000000000B2 +:0424270000000000B1 +:0424280000000000B0 +:0424290000000000AF +:04242A0000000000AE +:04242B0000000000AD +:04242C0000000000AC +:04242D0000000000AB +:04242E0000000000AA +:04242F0000000000A9 +:0424300000000000A8 +:0424310000000000A7 +:0424320000000000A6 +:0424330000000000A5 +:0424340000000000A4 +:0424350000000000A3 +:0424360000000000A2 +:0424370000000000A1 +:0424380000000000A0 +:04243900000000009F +:04243A00000000009E +:04243B00000000009D +:04243C00000000009C +:04243D00000000009B +:04243E00000000009A +:04243F000000000099 +:042440000000000098 +:042441000000000097 +:042442000000000096 +:042443000000000095 +:042444000000000094 +:042445000000000093 +:042446000000000092 +:042447000000000091 +:042448000000000090 +:04244900000000008F +:04244A00000000008E +:04244B00000000008D +:04244C00000000008C +:04244D00000000008B +:04244E00000000008A +:04244F000000000089 +:042450000000000088 +:042451000000000087 +:042452000000000086 +:042453000000000085 +:042454000000000084 +:042455000000000083 +:042456000000000082 +:042457000000000081 +:042458000000000080 +:04245900000000007F +:04245A00000000007E +:04245B00000000007D +:04245C00000000007C +:04245D00000000007B +:04245E00000000007A +:04245F000000000079 +:042460000000000078 +:042461000000000077 +:042462000000000076 +:042463000000000075 +:042464000000000074 +:042465000000000073 +:042466000000000072 +:042467000000000071 +:042468000000000070 +:04246900000000006F +:04246A00000000006E +:04246B00000000006D +:04246C00000000006C +:04246D00000000006B +:04246E00000000006A +:04246F000000000069 +:042470000000000068 +:042471000000000067 +:042472000000000066 +:042473000000000065 +:042474000000000064 +:042475000000000063 +:042476000000000062 +:042477000000000061 +:042478000000000060 +:04247900000000005F +:04247A00000000005E +:04247B00000000005D +:04247C00000000005C +:04247D00000000005B +:04247E00000000005A +:04247F000000000059 +:042480000000000058 +:042481000000000057 +:042482000000000056 +:042483000000000055 +:042484000000000054 +:042485000000000053 +:042486000000000052 +:042487000000000051 +:042488000000000050 +:04248900000000004F +:04248A00000000004E +:04248B00000000004D +:04248C00000000004C +:04248D00000000004B +:04248E00000000004A +:04248F000000000049 +:042490000000000048 +:042491000000000047 +:042492000000000046 +:042493000000000045 +:042494000000000044 +:042495000000000043 +:042496000000000042 +:042497000000000041 +:042498000000000040 +:04249900000000003F +:04249A00000000003E +:04249B00000000003D +:04249C00000000003C +:04249D00000000003B +:04249E00000000003A +:04249F000000000039 +:0424A0000000000038 +:0424A1000000000037 +:0424A2000000000036 +:0424A3000000000035 +:0424A4000000000034 +:0424A5000000000033 +:0424A6000000000032 +:0424A7000000000031 +:0424A8000000000030 +:0424A900000000002F +:0424AA00000000002E +:0424AB00000000002D +:0424AC00000000002C +:0424AD00000000002B +:0424AE00000000002A +:0424AF000000000029 +:0424B0000000000028 +:0424B1000000000027 +:0424B2000000000026 +:0424B3000000000025 +:0424B4000000000024 +:0424B5000000000023 +:0424B6000000000022 +:0424B7000000000021 +:0424B8000000000020 +:0424B900000000001F +:0424BA00000000001E +:0424BB00000000001D +:0424BC00000000001C +:0424BD00000000001B +:0424BE00000000001A +:0424BF000000000019 +:0424C0000000000018 +:0424C1000000000017 +:0424C2000000000016 +:0424C3000000000015 +:0424C4000000000014 +:0424C5000000000013 +:0424C6000000000012 +:0424C7000000000011 +:0424C8000000000010 +:0424C900000000000F +:0424CA00000000000E +:0424CB00000000000D +:0424CC00000000000C +:0424CD00000000000B +:0424CE00000000000A +:0424CF000000000009 +:0424D0000000000008 +:0424D1000000000007 +:0424D2000000000006 +:0424D3000000000005 +:0424D4000000000004 +:0424D5000000000003 +:0424D6000000000002 +:0424D7000000000001 +:0424D8000000000000 +:0424D90000000000FF +:0424DA0000000000FE +:0424DB0000000000FD +:0424DC0000000000FC +:0424DD0000000000FB +:0424DE0000000000FA +:0424DF0000000000F9 +:0424E00000000000F8 +:0424E10000000000F7 +:0424E20000000000F6 +:0424E30000000000F5 +:0424E40000000000F4 +:0424E50000000000F3 +:0424E60000000000F2 +:0424E70000000000F1 +:0424E80000000000F0 +:0424E90000000000EF +:0424EA0000000000EE +:0424EB0000000000ED +:0424EC0000000000EC +:0424ED0000000000EB +:0424EE0000000000EA +:0424EF0000000000E9 +:0424F00000000000E8 +:0424F10000000000E7 +:0424F20000000000E6 +:0424F30000000000E5 +:0424F40000000000E4 +:0424F50000000000E3 +:0424F60000000000E2 +:0424F70000000000E1 +:0424F80000000000E0 +:0424F90000000000DF +:0424FA0000000000DE +:0424FB0000000000DD +:0424FC0000000000DC +:0424FD0000000000DB +:0424FE0000000000DA +:0424FF0000000000D9 +:0425000000000000D7 +:0425010000000000D6 +:0425020000000000D5 +:0425030000000000D4 +:0425040000000000D3 +:0425050000000000D2 +:0425060000000000D1 +:0425070000000000D0 +:0425080000000000CF +:0425090000000000CE +:04250A0000000000CD +:04250B0000000000CC +:04250C0000000000CB +:04250D0000000000CA +:04250E0000000000C9 +:04250F0000000000C8 +:0425100000000000C7 +:0425110000000000C6 +:0425120000000000C5 +:0425130000000000C4 +:0425140000000000C3 +:0425150000000000C2 +:0425160000000000C1 +:0425170000000000C0 +:0425180000000000BF +:0425190000000000BE +:04251A0000000000BD +:04251B0000000000BC +:04251C0000000000BB +:04251D0000000000BA +:04251E0000000000B9 +:04251F0000000000B8 +:0425200000000000B7 +:0425210000000000B6 +:0425220000000000B5 +:0425230000000000B4 +:0425240000000000B3 +:0425250000000000B2 +:0425260000000000B1 +:0425270000000000B0 +:0425280000000000AF +:0425290000000000AE +:04252A0000000000AD +:04252B0000000000AC +:04252C0000000000AB +:04252D0000000000AA +:04252E0000000000A9 +:04252F0000000000A8 +:0425300000000000A7 +:0425310000000000A6 +:0425320000000000A5 +:0425330000000000A4 +:0425340000000000A3 +:0425350000000000A2 +:0425360000000000A1 +:0425370000000000A0 +:04253800000000009F +:04253900000000009E +:04253A00000000009D +:04253B00000000009C +:04253C00000000009B +:04253D00000000009A +:04253E000000000099 +:04253F000000000098 +:042540000000000097 +:042541000000000096 +:042542000000000095 +:042543000000000094 +:042544000000000093 +:042545000000000092 +:042546000000000091 +:042547000000000090 +:04254800000000008F +:04254900000000008E +:04254A00000000008D +:04254B00000000008C +:04254C00000000008B +:04254D00000000008A +:04254E000000000089 +:04254F000000000088 +:042550000000000087 +:042551000000000086 +:042552000000000085 +:042553000000000084 +:042554000000000083 +:042555000000000082 +:042556000000000081 +:042557000000000080 +:04255800000000007F +:04255900000000007E +:04255A00000000007D +:04255B00000000007C +:04255C00000000007B +:04255D00000000007A +:04255E000000000079 +:04255F000000000078 +:042560000000000077 +:042561000000000076 +:042562000000000075 +:042563000000000074 +:042564000000000073 +:042565000000000072 +:042566000000000071 +:042567000000000070 +:04256800000000006F +:04256900000000006E +:04256A00000000006D +:04256B00000000006C +:04256C00000000006B +:04256D00000000006A +:04256E000000000069 +:04256F000000000068 +:042570000000000067 +:042571000000000066 +:042572000000000065 +:042573000000000064 +:042574000000000063 +:042575000000000062 +:042576000000000061 +:042577000000000060 +:04257800000000005F +:04257900000000005E +:04257A00000000005D +:04257B00000000005C +:04257C00000000005B +:04257D00000000005A +:04257E000000000059 +:04257F000000000058 +:042580000000000057 +:042581000000000056 +:042582000000000055 +:042583000000000054 +:042584000000000053 +:042585000000000052 +:042586000000000051 +:042587000000000050 +:04258800000000004F +:04258900000000004E +:04258A00000000004D +:04258B00000000004C +:04258C00000000004B +:04258D00000000004A +:04258E000000000049 +:04258F000000000048 +:042590000000000047 +:042591000000000046 +:042592000000000045 +:042593000000000044 +:042594000000000043 +:042595000000000042 +:042596000000000041 +:042597000000000040 +:04259800000000003F +:04259900000000003E +:04259A00000000003D +:04259B00000000003C +:04259C00000000003B +:04259D00000000003A +:04259E000000000039 +:04259F000000000038 +:0425A0000000000037 +:0425A1000000000036 +:0425A2000000000035 +:0425A3000000000034 +:0425A4000000000033 +:0425A5000000000032 +:0425A6000000000031 +:0425A7000000000030 +:0425A800000000002F +:0425A900000000002E +:0425AA00000000002D +:0425AB00000000002C +:0425AC00000000002B +:0425AD00000000002A +:0425AE000000000029 +:0425AF000000000028 +:0425B0000000000027 +:0425B1000000000026 +:0425B2000000000025 +:0425B3000000000024 +:0425B4000000000023 +:0425B5000000000022 +:0425B6000000000021 +:0425B7000000000020 +:0425B800000000001F +:0425B900000000001E +:0425BA00000000001D +:0425BB00000000001C +:0425BC00000000001B +:0425BD00000000001A +:0425BE000000000019 +:0425BF000000000018 +:0425C0000000000017 +:0425C1000000000016 +:0425C2000000000015 +:0425C3000000000014 +:0425C4000000000013 +:0425C5000000000012 +:0425C6000000000011 +:0425C7000000000010 +:0425C800000000000F +:0425C900000000000E +:0425CA00000000000D +:0425CB00000000000C +:0425CC00000000000B +:0425CD00000000000A +:0425CE000000000009 +:0425CF000000000008 +:0425D0000000000007 +:0425D1000000000006 +:0425D2000000000005 +:0425D3000000000004 +:0425D4000000000003 +:0425D5000000000002 +:0425D6000000000001 +:0425D7000000000000 +:0425D80000000000FF +:0425D90000000000FE +:0425DA0000000000FD +:0425DB0000000000FC +:0425DC0000000000FB +:0425DD0000000000FA +:0425DE0000000000F9 +:0425DF0000000000F8 +:0425E00000000000F7 +:0425E10000000000F6 +:0425E20000000000F5 +:0425E30000000000F4 +:0425E40000000000F3 +:0425E50000000000F2 +:0425E60000000000F1 +:0425E70000000000F0 +:0425E80000000000EF +:0425E90000000000EE +:0425EA0000000000ED +:0425EB0000000000EC +:0425EC0000000000EB +:0425ED0000000000EA +:0425EE0000000000E9 +:0425EF0000000000E8 +:0425F00000000000E7 +:0425F10000000000E6 +:0425F20000000000E5 +:0425F30000000000E4 +:0425F40000000000E3 +:0425F50000000000E2 +:0425F60000000000E1 +:0425F70000000000E0 +:0425F80000000000DF +:0425F90000000000DE +:0425FA0000000000DD +:0425FB0000000000DC +:0425FC0000000000DB +:0425FD0000000000DA +:0425FE0000000000D9 +:0425FF0000000000D8 +:0426000000000000D6 +:0426010000000000D5 +:0426020000000000D4 +:0426030000000000D3 +:0426040000000000D2 +:0426050000000000D1 +:0426060000000000D0 +:0426070000000000CF +:0426080000000000CE +:0426090000000000CD +:04260A0000000000CC +:04260B0000000000CB +:04260C0000000000CA +:04260D0000000000C9 +:04260E0000000000C8 +:04260F0000000000C7 +:0426100000000000C6 +:0426110000000000C5 +:0426120000000000C4 +:0426130000000000C3 +:0426140000000000C2 +:0426150000000000C1 +:0426160000000000C0 +:0426170000000000BF +:0426180000000000BE +:0426190000000000BD +:04261A0000000000BC +:04261B0000000000BB +:04261C0000000000BA +:04261D0000000000B9 +:04261E0000000000B8 +:04261F0000000000B7 +:0426200000000000B6 +:0426210000000000B5 +:0426220000000000B4 +:0426230000000000B3 +:0426240000000000B2 +:0426250000000000B1 +:0426260000000000B0 +:0426270000000000AF +:0426280000000000AE +:0426290000000000AD +:04262A0000000000AC +:04262B0000000000AB +:04262C0000000000AA +:04262D0000000000A9 +:04262E0000000000A8 +:04262F0000000000A7 +:0426300000000000A6 +:0426310000000000A5 +:0426320000000000A4 +:0426330000000000A3 +:0426340000000000A2 +:0426350000000000A1 +:0426360000000000A0 +:04263700000000009F +:04263800000000009E +:04263900000000009D +:04263A00000000009C +:04263B00000000009B +:04263C00000000009A +:04263D000000000099 +:04263E000000000098 +:04263F000000000097 +:042640000000000096 +:042641000000000095 +:042642000000000094 +:042643000000000093 +:042644000000000092 +:042645000000000091 +:042646000000000090 +:04264700000000008F +:04264800000000008E +:04264900000000008D +:04264A00000000008C +:04264B00000000008B +:04264C00000000008A +:04264D000000000089 +:04264E000000000088 +:04264F000000000087 +:042650000000000086 +:042651000000000085 +:042652000000000084 +:042653000000000083 +:042654000000000082 +:042655000000000081 +:042656000000000080 +:04265700000000007F +:04265800000000007E +:04265900000000007D +:04265A00000000007C +:04265B00000000007B +:04265C00000000007A +:04265D000000000079 +:04265E000000000078 +:04265F000000000077 +:042660000000000076 +:042661000000000075 +:042662000000000074 +:042663000000000073 +:042664000000000072 +:042665000000000071 +:042666000000000070 +:04266700000000006F +:04266800000000006E +:04266900000000006D +:04266A00000000006C +:04266B00000000006B +:04266C00000000006A +:04266D000000000069 +:04266E000000000068 +:04266F000000000067 +:042670000000000066 +:042671000000000065 +:042672000000000064 +:042673000000000063 +:042674000000000062 +:042675000000000061 +:042676000000000060 +:04267700000000005F +:04267800000000005E +:04267900000000005D +:04267A00000000005C +:04267B00000000005B +:04267C00000000005A +:04267D000000000059 +:04267E000000000058 +:04267F000000000057 +:042680000000000056 +:042681000000000055 +:042682000000000054 +:042683000000000053 +:042684000000000052 +:042685000000000051 +:042686000000000050 +:04268700000000004F +:04268800000000004E +:04268900000000004D +:04268A00000000004C +:04268B00000000004B +:04268C00000000004A +:04268D000000000049 +:04268E000000000048 +:04268F000000000047 +:042690000000000046 +:042691000000000045 +:042692000000000044 +:042693000000000043 +:042694000000000042 +:042695000000000041 +:042696000000000040 +:04269700000000003F +:04269800000000003E +:04269900000000003D +:04269A00000000003C +:04269B00000000003B +:04269C00000000003A +:04269D000000000039 +:04269E000000000038 +:04269F000000000037 +:0426A0000000000036 +:0426A1000000000035 +:0426A2000000000034 +:0426A3000000000033 +:0426A4000000000032 +:0426A5000000000031 +:0426A6000000000030 +:0426A700000000002F +:0426A800000000002E +:0426A900000000002D +:0426AA00000000002C +:0426AB00000000002B +:0426AC00000000002A +:0426AD000000000029 +:0426AE000000000028 +:0426AF000000000027 +:0426B0000000000026 +:0426B1000000000025 +:0426B2000000000024 +:0426B3000000000023 +:0426B4000000000022 +:0426B5000000000021 +:0426B6000000000020 +:0426B700000000001F +:0426B800000000001E +:0426B900000000001D +:0426BA00000000001C +:0426BB00000000001B +:0426BC00000000001A +:0426BD000000000019 +:0426BE000000000018 +:0426BF000000000017 +:0426C0000000000016 +:0426C1000000000015 +:0426C2000000000014 +:0426C3000000000013 +:0426C4000000000012 +:0426C5000000000011 +:0426C6000000000010 +:0426C700000000000F +:0426C800000000000E +:0426C900000000000D +:0426CA00000000000C +:0426CB00000000000B +:0426CC00000000000A +:0426CD000000000009 +:0426CE000000000008 +:0426CF000000000007 +:0426D0000000000006 +:0426D1000000000005 +:0426D2000000000004 +:0426D3000000000003 +:0426D4000000000002 +:0426D5000000000001 +:0426D6000000000000 +:0426D70000000000FF +:0426D80000000000FE +:0426D90000000000FD +:0426DA0000000000FC +:0426DB0000000000FB +:0426DC0000000000FA +:0426DD0000000000F9 +:0426DE0000000000F8 +:0426DF0000000000F7 +:0426E00000000000F6 +:0426E10000000000F5 +:0426E20000000000F4 +:0426E30000000000F3 +:0426E40000000000F2 +:0426E50000000000F1 +:0426E60000000000F0 +:0426E70000000000EF +:0426E80000000000EE +:0426E90000000000ED +:0426EA0000000000EC +:0426EB0000000000EB +:0426EC0000000000EA +:0426ED0000000000E9 +:0426EE0000000000E8 +:0426EF0000000000E7 +:0426F00000000000E6 +:0426F10000000000E5 +:0426F20000000000E4 +:0426F30000000000E3 +:0426F40000000000E2 +:0426F50000000000E1 +:0426F60000000000E0 +:0426F70000000000DF +:0426F80000000000DE +:0426F90000000000DD +:0426FA0000000000DC +:0426FB0000000000DB +:0426FC0000000000DA +:0426FD0000000000D9 +:0426FE0000000000D8 +:0426FF0000000000D7 +:0427000000000000D5 +:0427010000000000D4 +:0427020000000000D3 +:0427030000000000D2 +:0427040000000000D1 +:0427050000000000D0 +:0427060000000000CF +:0427070000000000CE +:0427080000000000CD +:0427090000000000CC +:04270A0000000000CB +:04270B0000000000CA +:04270C0000000000C9 +:04270D0000000000C8 +:04270E0000000000C7 +:04270F0000000000C6 +:0427100000000000C5 +:0427110000000000C4 +:0427120000000000C3 +:0427130000000000C2 +:0427140000000000C1 +:0427150000000000C0 +:0427160000000000BF +:0427170000000000BE +:0427180000000000BD +:0427190000000000BC +:04271A0000000000BB +:04271B0000000000BA +:04271C0000000000B9 +:04271D0000000000B8 +:04271E0000000000B7 +:04271F0000000000B6 +:0427200000000000B5 +:0427210000000000B4 +:0427220000000000B3 +:0427230000000000B2 +:0427240000000000B1 +:0427250000000000B0 +:0427260000000000AF +:0427270000000000AE +:0427280000000000AD +:0427290000000000AC +:04272A0000000000AB +:04272B0000000000AA +:04272C0000000000A9 +:04272D0000000000A8 +:04272E0000000000A7 +:04272F0000000000A6 +:0427300000000000A5 +:0427310000000000A4 +:0427320000000000A3 +:0427330000000000A2 +:0427340000000000A1 +:0427350000000000A0 +:04273600000000009F +:04273700000000009E +:04273800000000009D +:04273900000000009C +:04273A00000000009B +:04273B00000000009A +:04273C000000000099 +:04273D000000000098 +:04273E000000000097 +:04273F000000000096 +:042740000000000095 +:042741000000000094 +:042742000000000093 +:042743000000000092 +:042744000000000091 +:042745000000000090 +:04274600000000008F +:04274700000000008E +:04274800000000008D +:04274900000000008C +:04274A00000000008B +:04274B00000000008A +:04274C000000000089 +:04274D000000000088 +:04274E000000000087 +:04274F000000000086 +:042750000000000085 +:042751000000000084 +:042752000000000083 +:042753000000000082 +:042754000000000081 +:042755000000000080 +:04275600000000007F +:04275700000000007E +:04275800000000007D +:04275900000000007C +:04275A00000000007B +:04275B00000000007A +:04275C000000000079 +:04275D000000000078 +:04275E000000000077 +:04275F000000000076 +:042760000000000075 +:042761000000000074 +:042762000000000073 +:042763000000000072 +:042764000000000071 +:042765000000000070 +:04276600000000006F +:04276700000000006E +:04276800000000006D +:04276900000000006C +:04276A00000000006B +:04276B00000000006A +:04276C000000000069 +:04276D000000000068 +:04276E000000000067 +:04276F000000000066 +:042770000000000065 +:042771000000000064 +:042772000000000063 +:042773000000000062 +:042774000000000061 +:042775000000000060 +:04277600000000005F +:04277700000000005E +:04277800000000005D +:04277900000000005C +:04277A00000000005B +:04277B00000000005A +:04277C000000000059 +:04277D000000000058 +:04277E000000000057 +:04277F000000000056 +:042780000000000055 +:042781000000000054 +:042782000000000053 +:042783000000000052 +:042784000000000051 +:042785000000000050 +:04278600000000004F +:04278700000000004E +:04278800000000004D +:04278900000000004C +:04278A00000000004B +:04278B00000000004A +:04278C000000000049 +:04278D000000000048 +:04278E000000000047 +:04278F000000000046 +:042790000000000045 +:042791000000000044 +:042792000000000043 +:042793000000000042 +:042794000000000041 +:042795000000000040 +:04279600000000003F +:04279700000000003E +:04279800000000003D +:04279900000000003C +:04279A00000000003B +:04279B00000000003A +:04279C000000000039 +:04279D000000000038 +:04279E000000000037 +:04279F000000000036 +:0427A0000000000035 +:0427A1000000000034 +:0427A2000000000033 +:0427A3000000000032 +:0427A4000000000031 +:0427A5000000000030 +:0427A600000000002F +:0427A700000000002E +:0427A800000000002D +:0427A900000000002C +:0427AA00000000002B +:0427AB00000000002A +:0427AC000000000029 +:0427AD000000000028 +:0427AE000000000027 +:0427AF000000000026 +:0427B0000000000025 +:0427B1000000000024 +:0427B2000000000023 +:0427B3000000000022 +:0427B4000000000021 +:0427B5000000000020 +:0427B600000000001F +:0427B700000000001E +:0427B800000000001D +:0427B900000000001C +:0427BA00000000001B +:0427BB00000000001A +:0427BC000000000019 +:0427BD000000000018 +:0427BE000000000017 +:0427BF000000000016 +:0427C0000000000015 +:0427C1000000000014 +:0427C2000000000013 +:0427C3000000000012 +:0427C4000000000011 +:0427C5000000000010 +:0427C600000000000F +:0427C700000000000E +:0427C800000000000D +:0427C900000000000C +:0427CA00000000000B +:0427CB00000000000A +:0427CC000000000009 +:0427CD000000000008 +:0427CE000000000007 +:0427CF000000000006 +:0427D0000000000005 +:0427D1000000000004 +:0427D2000000000003 +:0427D3000000000002 +:0427D4000000000001 +:0427D5000000000000 +:0427D60000000000FF +:0427D70000000000FE +:0427D80000000000FD +:0427D90000000000FC +:0427DA0000000000FB +:0427DB0000000000FA +:0427DC0000000000F9 +:0427DD0000000000F8 +:0427DE0000000000F7 +:0427DF0000000000F6 +:0427E00000000000F5 +:0427E10000000000F4 +:0427E20000000000F3 +:0427E30000000000F2 +:0427E40000000000F1 +:0427E50000000000F0 +:0427E60000000000EF +:0427E70000000000EE +:0427E80000000000ED +:0427E90000000000EC +:0427EA0000000000EB +:0427EB0000000000EA +:0427EC0000000000E9 +:0427ED0000000000E8 +:0427EE0000000000E7 +:0427EF0000000000E6 +:0427F00000000000E5 +:0427F10000000000E4 +:0427F20000000000E3 +:0427F30000000000E2 +:0427F40000000000E1 +:0427F50000000000E0 +:0427F60000000000DF +:0427F70000000000DE +:0427F80000000000DD +:0427F90000000000DC +:0427FA0000000000DB +:0427FB0000000000DA +:0427FC0000000000D9 +:0427FD0000000000D8 +:0427FE0000000000D7 +:0427FF0000000000D6 +:0428000000000000D4 +:0428010000000000D3 +:0428020000000000D2 +:0428030000000000D1 +:0428040000000000D0 +:0428050000000000CF +:0428060000000000CE +:0428070000000000CD +:0428080000000000CC +:0428090000000000CB +:04280A0000000000CA +:04280B0000000000C9 +:04280C0000000000C8 +:04280D0000000000C7 +:04280E0000000000C6 +:04280F0000000000C5 +:0428100000000000C4 +:0428110000000000C3 +:0428120000000000C2 +:0428130000000000C1 +:0428140000000000C0 +:0428150000000000BF +:0428160000000000BE +:0428170000000000BD +:0428180000000000BC +:0428190000000000BB +:04281A0000000000BA +:04281B0000000000B9 +:04281C0000000000B8 +:04281D0000000000B7 +:04281E0000000000B6 +:04281F0000000000B5 +:0428200000000000B4 +:0428210000000000B3 +:0428220000000000B2 +:0428230000000000B1 +:0428240000000000B0 +:0428250000000000AF +:0428260000000000AE +:0428270000000000AD +:0428280000000000AC +:0428290000000000AB +:04282A0000000000AA +:04282B0000000000A9 +:04282C0000000000A8 +:04282D0000000000A7 +:04282E0000000000A6 +:04282F0000000000A5 +:0428300000000000A4 +:0428310000000000A3 +:0428320000000000A2 +:0428330000000000A1 +:0428340000000000A0 +:04283500000000009F +:04283600000000009E +:04283700000000009D +:04283800000000009C +:04283900000000009B +:04283A00000000009A +:04283B000000000099 +:04283C000000000098 +:04283D000000000097 +:04283E000000000096 +:04283F000000000095 +:042840000000000094 +:042841000000000093 +:042842000000000092 +:042843000000000091 +:042844000000000090 +:04284500000000008F +:04284600000000008E +:04284700000000008D +:04284800000000008C +:04284900000000008B +:04284A00000000008A +:04284B000000000089 +:04284C000000000088 +:04284D000000000087 +:04284E000000000086 +:04284F000000000085 +:042850000000000084 +:042851000000000083 +:042852000000000082 +:042853000000000081 +:042854000000000080 +:04285500000000007F +:04285600000000007E +:04285700000000007D +:04285800000000007C +:04285900000000007B +:04285A00000000007A +:04285B000000000079 +:04285C000000000078 +:04285D000000000077 +:04285E000000000076 +:04285F000000000075 +:042860000000000074 +:042861000000000073 +:042862000000000072 +:042863000000000071 +:042864000000000070 +:04286500000000006F +:04286600000000006E +:04286700000000006D +:04286800000000006C +:04286900000000006B +:04286A00000000006A +:04286B000000000069 +:04286C000000000068 +:04286D000000000067 +:04286E000000000066 +:04286F000000000065 +:042870000000000064 +:042871000000000063 +:042872000000000062 +:042873000000000061 +:042874000000000060 +:04287500000000005F +:04287600000000005E +:04287700000000005D +:04287800000000005C +:04287900000000005B +:04287A00000000005A +:04287B000000000059 +:04287C000000000058 +:04287D000000000057 +:04287E000000000056 +:04287F000000000055 +:042880000000000054 +:042881000000000053 +:042882000000000052 +:042883000000000051 +:042884000000000050 +:04288500000000004F +:04288600000000004E +:04288700000000004D +:04288800000000004C +:04288900000000004B +:04288A00000000004A +:04288B000000000049 +:04288C000000000048 +:04288D000000000047 +:04288E000000000046 +:04288F000000000045 +:042890000000000044 +:042891000000000043 +:042892000000000042 +:042893000000000041 +:042894000000000040 +:04289500000000003F +:04289600000000003E +:04289700000000003D +:04289800000000003C +:04289900000000003B +:04289A00000000003A +:04289B000000000039 +:04289C000000000038 +:04289D000000000037 +:04289E000000000036 +:04289F000000000035 +:0428A0000000000034 +:0428A1000000000033 +:0428A2000000000032 +:0428A3000000000031 +:0428A4000000000030 +:0428A500000000002F +:0428A600000000002E +:0428A700000000002D +:0428A800000000002C +:0428A900000000002B +:0428AA00000000002A +:0428AB000000000029 +:0428AC000000000028 +:0428AD000000000027 +:0428AE000000000026 +:0428AF000000000025 +:0428B0000000000024 +:0428B1000000000023 +:0428B2000000000022 +:0428B3000000000021 +:0428B4000000000020 +:0428B500000000001F +:0428B600000000001E +:0428B700000000001D +:0428B800000000001C +:0428B900000000001B +:0428BA00000000001A +:0428BB000000000019 +:0428BC000000000018 +:0428BD000000000017 +:0428BE000000000016 +:0428BF000000000015 +:0428C0000000000014 +:0428C1000000000013 +:0428C2000000000012 +:0428C3000000000011 +:0428C4000000000010 +:0428C500000000000F +:0428C600000000000E +:0428C700000000000D +:0428C800000000000C +:0428C900000000000B +:0428CA00000000000A +:0428CB000000000009 +:0428CC000000000008 +:0428CD000000000007 +:0428CE000000000006 +:0428CF000000000005 +:0428D0000000000004 +:0428D1000000000003 +:0428D2000000000002 +:0428D3000000000001 +:0428D4000000000000 +:0428D50000000000FF +:0428D60000000000FE +:0428D70000000000FD +:0428D80000000000FC +:0428D90000000000FB +:0428DA0000000000FA +:0428DB0000000000F9 +:0428DC0000000000F8 +:0428DD0000000000F7 +:0428DE0000000000F6 +:0428DF0000000000F5 +:0428E00000000000F4 +:0428E10000000000F3 +:0428E20000000000F2 +:0428E30000000000F1 +:0428E40000000000F0 +:0428E50000000000EF +:0428E60000000000EE +:0428E70000000000ED +:0428E80000000000EC +:0428E90000000000EB +:0428EA0000000000EA +:0428EB0000000000E9 +:0428EC0000000000E8 +:0428ED0000000000E7 +:0428EE0000000000E6 +:0428EF0000000000E5 +:0428F00000000000E4 +:0428F10000000000E3 +:0428F20000000000E2 +:0428F30000000000E1 +:0428F40000000000E0 +:0428F50000000000DF +:0428F60000000000DE +:0428F70000000000DD +:0428F80000000000DC +:0428F90000000000DB +:0428FA0000000000DA +:0428FB0000000000D9 +:0428FC0000000000D8 +:0428FD0000000000D7 +:0428FE0000000000D6 +:0428FF0000000000D5 +:0429000000000000D3 +:0429010000000000D2 +:0429020000000000D1 +:0429030000000000D0 +:0429040000000000CF +:0429050000000000CE +:0429060000000000CD +:0429070000000000CC +:0429080000000000CB +:0429090000000000CA +:04290A0000000000C9 +:04290B0000000000C8 +:04290C0000000000C7 +:04290D0000000000C6 +:04290E0000000000C5 +:04290F0000000000C4 +:0429100000000000C3 +:0429110000000000C2 +:0429120000000000C1 +:0429130000000000C0 +:0429140000000000BF +:0429150000000000BE +:0429160000000000BD +:0429170000000000BC +:0429180000000000BB +:0429190000000000BA +:04291A0000000000B9 +:04291B0000000000B8 +:04291C0000000000B7 +:04291D0000000000B6 +:04291E0000000000B5 +:04291F0000000000B4 +:0429200000000000B3 +:0429210000000000B2 +:0429220000000000B1 +:0429230000000000B0 +:0429240000000000AF +:0429250000000000AE +:0429260000000000AD +:0429270000000000AC +:0429280000000000AB +:0429290000000000AA +:04292A0000000000A9 +:04292B0000000000A8 +:04292C0000000000A7 +:04292D0000000000A6 +:04292E0000000000A5 +:04292F0000000000A4 +:0429300000000000A3 +:0429310000000000A2 +:0429320000000000A1 +:0429330000000000A0 +:04293400000000009F +:04293500000000009E +:04293600000000009D +:04293700000000009C +:04293800000000009B +:04293900000000009A +:04293A000000000099 +:04293B000000000098 +:04293C000000000097 +:04293D000000000096 +:04293E000000000095 +:04293F000000000094 +:042940000000000093 +:042941000000000092 +:042942000000000091 +:042943000000000090 +:04294400000000008F +:04294500000000008E +:04294600000000008D +:04294700000000008C +:04294800000000008B +:04294900000000008A +:04294A000000000089 +:04294B000000000088 +:04294C000000000087 +:04294D000000000086 +:04294E000000000085 +:04294F000000000084 +:042950000000000083 +:042951000000000082 +:042952000000000081 +:042953000000000080 +:04295400000000007F +:04295500000000007E +:04295600000000007D +:04295700000000007C +:04295800000000007B +:04295900000000007A +:04295A000000000079 +:04295B000000000078 +:04295C000000000077 +:04295D000000000076 +:04295E000000000075 +:04295F000000000074 +:042960000000000073 +:042961000000000072 +:042962000000000071 +:042963000000000070 +:04296400000000006F +:04296500000000006E +:04296600000000006D +:04296700000000006C +:04296800000000006B +:04296900000000006A +:04296A000000000069 +:04296B000000000068 +:04296C000000000067 +:04296D000000000066 +:04296E000000000065 +:04296F000000000064 +:042970000000000063 +:042971000000000062 +:042972000000000061 +:042973000000000060 +:04297400000000005F +:04297500000000005E +:04297600000000005D +:04297700000000005C +:04297800000000005B +:04297900000000005A +:04297A000000000059 +:04297B000000000058 +:04297C000000000057 +:04297D000000000056 +:04297E000000000055 +:04297F000000000054 +:042980000000000053 +:042981000000000052 +:042982000000000051 +:042983000000000050 +:04298400000000004F +:04298500000000004E +:04298600000000004D +:04298700000000004C +:04298800000000004B +:04298900000000004A +:04298A000000000049 +:04298B000000000048 +:04298C000000000047 +:04298D000000000046 +:04298E000000000045 +:04298F000000000044 +:042990000000000043 +:042991000000000042 +:042992000000000041 +:042993000000000040 +:04299400000000003F +:04299500000000003E +:04299600000000003D +:04299700000000003C +:04299800000000003B +:04299900000000003A +:04299A000000000039 +:04299B000000000038 +:04299C000000000037 +:04299D000000000036 +:04299E000000000035 +:04299F000000000034 +:0429A0000000000033 +:0429A1000000000032 +:0429A2000000000031 +:0429A3000000000030 +:0429A400000000002F +:0429A500000000002E +:0429A600000000002D +:0429A700000000002C +:0429A800000000002B +:0429A900000000002A +:0429AA000000000029 +:0429AB000000000028 +:0429AC000000000027 +:0429AD000000000026 +:0429AE000000000025 +:0429AF000000000024 +:0429B0000000000023 +:0429B1000000000022 +:0429B2000000000021 +:0429B3000000000020 +:0429B400000000001F +:0429B500000000001E +:0429B600000000001D +:0429B700000000001C +:0429B800000000001B +:0429B900000000001A +:0429BA000000000019 +:0429BB000000000018 +:0429BC000000000017 +:0429BD000000000016 +:0429BE000000000015 +:0429BF000000000014 +:0429C0000000000013 +:0429C1000000000012 +:0429C2000000000011 +:0429C3000000000010 +:0429C400000000000F +:0429C500000000000E +:0429C600000000000D +:0429C700000000000C +:0429C800000000000B +:0429C900000000000A +:0429CA000000000009 +:0429CB000000000008 +:0429CC000000000007 +:0429CD000000000006 +:0429CE000000000005 +:0429CF000000000004 +:0429D0000000000003 +:0429D1000000000002 +:0429D2000000000001 +:0429D3000000000000 +:0429D40000000000FF +:0429D50000000000FE +:0429D60000000000FD +:0429D70000000000FC +:0429D80000000000FB +:0429D90000000000FA +:0429DA0000000000F9 +:0429DB0000000000F8 +:0429DC0000000000F7 +:0429DD0000000000F6 +:0429DE0000000000F5 +:0429DF0000000000F4 +:0429E00000000000F3 +:0429E10000000000F2 +:0429E20000000000F1 +:0429E30000000000F0 +:0429E40000000000EF +:0429E50000000000EE +:0429E60000000000ED +:0429E70000000000EC +:0429E80000000000EB +:0429E90000000000EA +:0429EA0000000000E9 +:0429EB0000000000E8 +:0429EC0000000000E7 +:0429ED0000000000E6 +:0429EE0000000000E5 +:0429EF0000000000E4 +:0429F00000000000E3 +:0429F10000000000E2 +:0429F20000000000E1 +:0429F30000000000E0 +:0429F40000000000DF +:0429F50000000000DE +:0429F60000000000DD +:0429F70000000000DC +:0429F80000000000DB +:0429F90000000000DA +:0429FA0000000000D9 +:0429FB0000000000D8 +:0429FC0000000000D7 +:0429FD0000000000D6 +:0429FE0000000000D5 +:0429FF0000000000D4 +:042A000000000000D2 +:042A010000000000D1 +:042A020000000000D0 +:042A030000000000CF +:042A040000000000CE +:042A050000000000CD +:042A060000000000CC +:042A070000000000CB +:042A080000000000CA +:042A090000000000C9 +:042A0A0000000000C8 +:042A0B0000000000C7 +:042A0C0000000000C6 +:042A0D0000000000C5 +:042A0E0000000000C4 +:042A0F0000000000C3 +:042A100000000000C2 +:042A110000000000C1 +:042A120000000000C0 +:042A130000000000BF +:042A140000000000BE +:042A150000000000BD +:042A160000000000BC +:042A170000000000BB +:042A180000000000BA +:042A190000000000B9 +:042A1A0000000000B8 +:042A1B0000000000B7 +:042A1C0000000000B6 +:042A1D0000000000B5 +:042A1E0000000000B4 +:042A1F0000000000B3 +:042A200000000000B2 +:042A210000000000B1 +:042A220000000000B0 +:042A230000000000AF +:042A240000000000AE +:042A250000000000AD +:042A260000000000AC +:042A270000000000AB +:042A280000000000AA +:042A290000000000A9 +:042A2A0000000000A8 +:042A2B0000000000A7 +:042A2C0000000000A6 +:042A2D0000000000A5 +:042A2E0000000000A4 +:042A2F0000000000A3 +:042A300000000000A2 +:042A310000000000A1 +:042A320000000000A0 +:042A3300000000009F +:042A3400000000009E +:042A3500000000009D +:042A3600000000009C +:042A3700000000009B +:042A3800000000009A +:042A39000000000099 +:042A3A000000000098 +:042A3B000000000097 +:042A3C000000000096 +:042A3D000000000095 +:042A3E000000000094 +:042A3F000000000093 +:042A40000000000092 +:042A41000000000091 +:042A42000000000090 +:042A4300000000008F +:042A4400000000008E +:042A4500000000008D +:042A4600000000008C +:042A4700000000008B +:042A4800000000008A +:042A49000000000089 +:042A4A000000000088 +:042A4B000000000087 +:042A4C000000000086 +:042A4D000000000085 +:042A4E000000000084 +:042A4F000000000083 +:042A50000000000082 +:042A51000000000081 +:042A52000000000080 +:042A5300000000007F +:042A5400000000007E +:042A5500000000007D +:042A5600000000007C +:042A5700000000007B +:042A5800000000007A +:042A59000000000079 +:042A5A000000000078 +:042A5B000000000077 +:042A5C000000000076 +:042A5D000000000075 +:042A5E000000000074 +:042A5F000000000073 +:042A60000000000072 +:042A61000000000071 +:042A62000000000070 +:042A6300000000006F +:042A6400000000006E +:042A6500000000006D +:042A6600000000006C +:042A6700000000006B +:042A6800000000006A +:042A69000000000069 +:042A6A000000000068 +:042A6B000000000067 +:042A6C000000000066 +:042A6D000000000065 +:042A6E000000000064 +:042A6F000000000063 +:042A70000000000062 +:042A71000000000061 +:042A72000000000060 +:042A7300000000005F +:042A7400000000005E +:042A7500000000005D +:042A7600000000005C +:042A7700000000005B +:042A7800000000005A +:042A79000000000059 +:042A7A000000000058 +:042A7B000000000057 +:042A7C000000000056 +:042A7D000000000055 +:042A7E000000000054 +:042A7F000000000053 +:042A80000000000052 +:042A81000000000051 +:042A82000000000050 +:042A8300000000004F +:042A8400000000004E +:042A8500000000004D +:042A8600000000004C +:042A8700000000004B +:042A8800000000004A +:042A89000000000049 +:042A8A000000000048 +:042A8B000000000047 +:042A8C000000000046 +:042A8D000000000045 +:042A8E000000000044 +:042A8F000000000043 +:042A90000000000042 +:042A91000000000041 +:042A92000000000040 +:042A9300000000003F +:042A9400000000003E +:042A9500000000003D +:042A9600000000003C +:042A9700000000003B +:042A9800000000003A +:042A99000000000039 +:042A9A000000000038 +:042A9B000000000037 +:042A9C000000000036 +:042A9D000000000035 +:042A9E000000000034 +:042A9F000000000033 +:042AA0000000000032 +:042AA1000000000031 +:042AA2000000000030 +:042AA300000000002F +:042AA400000000002E +:042AA500000000002D +:042AA600000000002C +:042AA700000000002B +:042AA800000000002A +:042AA9000000000029 +:042AAA000000000028 +:042AAB000000000027 +:042AAC000000000026 +:042AAD000000000025 +:042AAE000000000024 +:042AAF000000000023 +:042AB0000000000022 +:042AB1000000000021 +:042AB2000000000020 +:042AB300000000001F +:042AB400000000001E +:042AB500000000001D +:042AB600000000001C +:042AB700000000001B +:042AB800000000001A +:042AB9000000000019 +:042ABA000000000018 +:042ABB000000000017 +:042ABC000000000016 +:042ABD000000000015 +:042ABE000000000014 +:042ABF000000000013 +:042AC0000000000012 +:042AC1000000000011 +:042AC2000000000010 +:042AC300000000000F +:042AC400000000000E +:042AC500000000000D +:042AC600000000000C +:042AC700000000000B +:042AC800000000000A +:042AC9000000000009 +:042ACA000000000008 +:042ACB000000000007 +:042ACC000000000006 +:042ACD000000000005 +:042ACE000000000004 +:042ACF000000000003 +:042AD0000000000002 +:042AD1000000000001 +:042AD2000000000000 +:042AD30000000000FF +:042AD40000000000FE +:042AD50000000000FD +:042AD60000000000FC +:042AD70000000000FB +:042AD80000000000FA +:042AD90000000000F9 +:042ADA0000000000F8 +:042ADB0000000000F7 +:042ADC0000000000F6 +:042ADD0000000000F5 +:042ADE0000000000F4 +:042ADF0000000000F3 +:042AE00000000000F2 +:042AE10000000000F1 +:042AE20000000000F0 +:042AE30000000000EF +:042AE40000000000EE +:042AE50000000000ED +:042AE60000000000EC +:042AE70000000000EB +:042AE80000000000EA +:042AE90000000000E9 +:042AEA0000000000E8 +:042AEB0000000000E7 +:042AEC0000000000E6 +:042AED0000000000E5 +:042AEE0000000000E4 +:042AEF0000000000E3 +:042AF00000000000E2 +:042AF10000000000E1 +:042AF20000000000E0 +:042AF30000000000DF +:042AF40000000000DE +:042AF50000000000DD +:042AF60000000000DC +:042AF70000000000DB +:042AF80000000000DA +:042AF90000000000D9 +:042AFA0000000000D8 +:042AFB0000000000D7 +:042AFC0000000000D6 +:042AFD0000000000D5 +:042AFE0000000000D4 +:042AFF0000000000D3 +:042B000000000000D1 +:042B010000000000D0 +:042B020000000000CF +:042B030000000000CE +:042B040000000000CD +:042B050000000000CC +:042B060000000000CB +:042B070000000000CA +:042B080000000000C9 +:042B090000000000C8 +:042B0A0000000000C7 +:042B0B0000000000C6 +:042B0C0000000000C5 +:042B0D0000000000C4 +:042B0E0000000000C3 +:042B0F0000000000C2 +:042B100000000000C1 +:042B110000000000C0 +:042B120000000000BF +:042B130000000000BE +:042B140000000000BD +:042B150000000000BC +:042B160000000000BB +:042B170000000000BA +:042B180000000000B9 +:042B190000000000B8 +:042B1A0000000000B7 +:042B1B0000000000B6 +:042B1C0000000000B5 +:042B1D0000000000B4 +:042B1E0000000000B3 +:042B1F0000000000B2 +:042B200000000000B1 +:042B210000000000B0 +:042B220000000000AF +:042B230000000000AE +:042B240000000000AD +:042B250000000000AC +:042B260000000000AB +:042B270000000000AA +:042B280000000000A9 +:042B290000000000A8 +:042B2A0000000000A7 +:042B2B0000000000A6 +:042B2C0000000000A5 +:042B2D0000000000A4 +:042B2E0000000000A3 +:042B2F0000000000A2 +:042B300000000000A1 +:042B310000000000A0 +:042B3200000000009F +:042B3300000000009E +:042B3400000000009D +:042B3500000000009C +:042B3600000000009B +:042B3700000000009A +:042B38000000000099 +:042B39000000000098 +:042B3A000000000097 +:042B3B000000000096 +:042B3C000000000095 +:042B3D000000000094 +:042B3E000000000093 +:042B3F000000000092 +:042B40000000000091 +:042B41000000000090 +:042B4200000000008F +:042B4300000000008E +:042B4400000000008D +:042B4500000000008C +:042B4600000000008B +:042B4700000000008A +:042B48000000000089 +:042B49000000000088 +:042B4A000000000087 +:042B4B000000000086 +:042B4C000000000085 +:042B4D000000000084 +:042B4E000000000083 +:042B4F000000000082 +:042B50000000000081 +:042B51000000000080 +:042B5200000000007F +:042B5300000000007E +:042B5400000000007D +:042B5500000000007C +:042B5600000000007B +:042B5700000000007A +:042B58000000000079 +:042B59000000000078 +:042B5A000000000077 +:042B5B000000000076 +:042B5C000000000075 +:042B5D000000000074 +:042B5E000000000073 +:042B5F000000000072 +:042B60000000000071 +:042B61000000000070 +:042B6200000000006F +:042B6300000000006E +:042B6400000000006D +:042B6500000000006C +:042B6600000000006B +:042B6700000000006A +:042B68000000000069 +:042B69000000000068 +:042B6A000000000067 +:042B6B000000000066 +:042B6C000000000065 +:042B6D000000000064 +:042B6E000000000063 +:042B6F000000000062 +:042B70000000000061 +:042B71000000000060 +:042B7200000000005F +:042B7300000000005E +:042B7400000000005D +:042B7500000000005C +:042B7600000000005B +:042B7700000000005A +:042B78000000000059 +:042B79000000000058 +:042B7A000000000057 +:042B7B000000000056 +:042B7C000000000055 +:042B7D000000000054 +:042B7E000000000053 +:042B7F000000000052 +:042B80000000000051 +:042B81000000000050 +:042B8200000000004F +:042B8300000000004E +:042B8400000000004D +:042B8500000000004C +:042B8600000000004B +:042B8700000000004A +:042B88000000000049 +:042B89000000000048 +:042B8A000000000047 +:042B8B000000000046 +:042B8C000000000045 +:042B8D000000000044 +:042B8E000000000043 +:042B8F000000000042 +:042B90000000000041 +:042B91000000000040 +:042B9200000000003F +:042B9300000000003E +:042B9400000000003D +:042B9500000000003C +:042B9600000000003B +:042B9700000000003A +:042B98000000000039 +:042B99000000000038 +:042B9A000000000037 +:042B9B000000000036 +:042B9C000000000035 +:042B9D000000000034 +:042B9E000000000033 +:042B9F000000000032 +:042BA0000000000031 +:042BA1000000000030 +:042BA200000000002F +:042BA300000000002E +:042BA400000000002D +:042BA500000000002C +:042BA600000000002B +:042BA700000000002A +:042BA8000000000029 +:042BA9000000000028 +:042BAA000000000027 +:042BAB000000000026 +:042BAC000000000025 +:042BAD000000000024 +:042BAE000000000023 +:042BAF000000000022 +:042BB0000000000021 +:042BB1000000000020 +:042BB200000000001F +:042BB300000000001E +:042BB400000000001D +:042BB500000000001C +:042BB600000000001B +:042BB700000000001A +:042BB8000000000019 +:042BB9000000000018 +:042BBA000000000017 +:042BBB000000000016 +:042BBC000000000015 +:042BBD000000000014 +:042BBE000000000013 +:042BBF000000000012 +:042BC0000000000011 +:042BC1000000000010 +:042BC200000000000F +:042BC300000000000E +:042BC400000000000D +:042BC500000000000C +:042BC600000000000B +:042BC700000000000A +:042BC8000000000009 +:042BC9000000000008 +:042BCA000000000007 +:042BCB000000000006 +:042BCC000000000005 +:042BCD000000000004 +:042BCE000000000003 +:042BCF000000000002 +:042BD0000000000001 +:042BD1000000000000 +:042BD20000000000FF +:042BD30000000000FE +:042BD40000000000FD +:042BD50000000000FC +:042BD60000000000FB +:042BD70000000000FA +:042BD80000000000F9 +:042BD90000000000F8 +:042BDA0000000000F7 +:042BDB0000000000F6 +:042BDC0000000000F5 +:042BDD0000000000F4 +:042BDE0000000000F3 +:042BDF0000000000F2 +:042BE00000000000F1 +:042BE10000000000F0 +:042BE20000000000EF +:042BE30000000000EE +:042BE40000000000ED +:042BE50000000000EC +:042BE60000000000EB +:042BE70000000000EA +:042BE80000000000E9 +:042BE90000000000E8 +:042BEA0000000000E7 +:042BEB0000000000E6 +:042BEC0000000000E5 +:042BED0000000000E4 +:042BEE0000000000E3 +:042BEF0000000000E2 +:042BF00000000000E1 +:042BF10000000000E0 +:042BF20000000000DF +:042BF30000000000DE +:042BF40000000000DD +:042BF50000000000DC +:042BF60000000000DB +:042BF70000000000DA +:042BF80000000000D9 +:042BF90000000000D8 +:042BFA0000000000D7 +:042BFB0000000000D6 +:042BFC0000000000D5 +:042BFD0000000000D4 +:042BFE0000000000D3 +:042BFF0000000000D2 +:042C000000000000D0 +:042C010000000000CF +:042C020000000000CE +:042C030000000000CD +:042C040000000000CC +:042C050000000000CB +:042C060000000000CA +:042C070000000000C9 +:042C080000000000C8 +:042C090000000000C7 +:042C0A0000000000C6 +:042C0B0000000000C5 +:042C0C0000000000C4 +:042C0D0000000000C3 +:042C0E0000000000C2 +:042C0F0000000000C1 +:042C100000000000C0 +:042C110000000000BF +:042C120000000000BE +:042C130000000000BD +:042C140000000000BC +:042C150000000000BB +:042C160000000000BA +:042C170000000000B9 +:042C180000000000B8 +:042C190000000000B7 +:042C1A0000000000B6 +:042C1B0000000000B5 +:042C1C0000000000B4 +:042C1D0000000000B3 +:042C1E0000000000B2 +:042C1F0000000000B1 +:042C200000000000B0 +:042C210000000000AF +:042C220000000000AE +:042C230000000000AD +:042C240000000000AC +:042C250000000000AB +:042C260000000000AA +:042C270000000000A9 +:042C280000000000A8 +:042C290000000000A7 +:042C2A0000000000A6 +:042C2B0000000000A5 +:042C2C0000000000A4 +:042C2D0000000000A3 +:042C2E0000000000A2 +:042C2F0000000000A1 +:042C300000000000A0 +:042C3100000000009F +:042C3200000000009E +:042C3300000000009D +:042C3400000000009C +:042C3500000000009B +:042C3600000000009A +:042C37000000000099 +:042C38000000000098 +:042C39000000000097 +:042C3A000000000096 +:042C3B000000000095 +:042C3C000000000094 +:042C3D000000000093 +:042C3E000000000092 +:042C3F000000000091 +:042C40000000000090 +:042C4100000000008F +:042C4200000000008E +:042C4300000000008D +:042C4400000000008C +:042C4500000000008B +:042C4600000000008A +:042C47000000000089 +:042C48000000000088 +:042C49000000000087 +:042C4A000000000086 +:042C4B000000000085 +:042C4C000000000084 +:042C4D000000000083 +:042C4E000000000082 +:042C4F000000000081 +:042C50000000000080 +:042C5100000000007F +:042C5200000000007E +:042C5300000000007D +:042C5400000000007C +:042C5500000000007B +:042C5600000000007A +:042C57000000000079 +:042C58000000000078 +:042C59000000000077 +:042C5A000000000076 +:042C5B000000000075 +:042C5C000000000074 +:042C5D000000000073 +:042C5E000000000072 +:042C5F000000000071 +:042C60000000000070 +:042C6100000000006F +:042C6200000000006E +:042C6300000000006D +:042C6400000000006C +:042C6500000000006B +:042C6600000000006A +:042C67000000000069 +:042C68000000000068 +:042C69000000000067 +:042C6A000000000066 +:042C6B000000000065 +:042C6C000000000064 +:042C6D000000000063 +:042C6E000000000062 +:042C6F000000000061 +:042C70000000000060 +:042C7100000000005F +:042C7200000000005E +:042C7300000000005D +:042C7400000000005C +:042C7500000000005B +:042C7600000000005A +:042C77000000000059 +:042C78000000000058 +:042C79000000000057 +:042C7A000000000056 +:042C7B000000000055 +:042C7C000000000054 +:042C7D000000000053 +:042C7E000000000052 +:042C7F000000000051 +:042C80000000000050 +:042C8100000000004F +:042C8200000000004E +:042C8300000000004D +:042C8400000000004C +:042C8500000000004B +:042C8600000000004A +:042C87000000000049 +:042C88000000000048 +:042C89000000000047 +:042C8A000000000046 +:042C8B000000000045 +:042C8C000000000044 +:042C8D000000000043 +:042C8E000000000042 +:042C8F000000000041 +:042C90000000000040 +:042C9100000000003F +:042C9200000000003E +:042C9300000000003D +:042C9400000000003C +:042C9500000000003B +:042C9600000000003A +:042C97000000000039 +:042C98000000000038 +:042C99000000000037 +:042C9A000000000036 +:042C9B000000000035 +:042C9C000000000034 +:042C9D000000000033 +:042C9E000000000032 +:042C9F000000000031 +:042CA0000000000030 +:042CA100000000002F +:042CA200000000002E +:042CA300000000002D +:042CA400000000002C +:042CA500000000002B +:042CA600000000002A +:042CA7000000000029 +:042CA8000000000028 +:042CA9000000000027 +:042CAA000000000026 +:042CAB000000000025 +:042CAC000000000024 +:042CAD000000000023 +:042CAE000000000022 +:042CAF000000000021 +:042CB0000000000020 +:042CB100000000001F +:042CB200000000001E +:042CB300000000001D +:042CB400000000001C +:042CB500000000001B +:042CB600000000001A +:042CB7000000000019 +:042CB8000000000018 +:042CB9000000000017 +:042CBA000000000016 +:042CBB000000000015 +:042CBC000000000014 +:042CBD000000000013 +:042CBE000000000012 +:042CBF000000000011 +:042CC0000000000010 +:042CC100000000000F +:042CC200000000000E +:042CC300000000000D +:042CC400000000000C +:042CC500000000000B +:042CC600000000000A +:042CC7000000000009 +:042CC8000000000008 +:042CC9000000000007 +:042CCA000000000006 +:042CCB000000000005 +:042CCC000000000004 +:042CCD000000000003 +:042CCE000000000002 +:042CCF000000000001 +:042CD0000000000000 +:042CD10000000000FF +:042CD20000000000FE +:042CD30000000000FD +:042CD40000000000FC +:042CD50000000000FB +:042CD60000000000FA +:042CD70000000000F9 +:042CD80000000000F8 +:042CD90000000000F7 +:042CDA0000000000F6 +:042CDB0000000000F5 +:042CDC0000000000F4 +:042CDD0000000000F3 +:042CDE0000000000F2 +:042CDF0000000000F1 +:042CE00000000000F0 +:042CE10000000000EF +:042CE20000000000EE +:042CE30000000000ED +:042CE40000000000EC +:042CE50000000000EB +:042CE60000000000EA +:042CE70000000000E9 +:042CE80000000000E8 +:042CE90000000000E7 +:042CEA0000000000E6 +:042CEB0000000000E5 +:042CEC0000000000E4 +:042CED0000000000E3 +:042CEE0000000000E2 +:042CEF0000000000E1 +:042CF00000000000E0 +:042CF10000000000DF +:042CF20000000000DE +:042CF30000000000DD +:042CF40000000000DC +:042CF50000000000DB +:042CF60000000000DA +:042CF70000000000D9 +:042CF80000000000D8 +:042CF90000000000D7 +:042CFA0000000000D6 +:042CFB0000000000D5 +:042CFC0000000000D4 +:042CFD0000000000D3 +:042CFE0000000000D2 +:042CFF0000000000D1 +:042D000000000000CF +:042D010000000000CE +:042D020000000000CD +:042D030000000000CC +:042D040000000000CB +:042D050000000000CA +:042D060000000000C9 +:042D070000000000C8 +:042D080000000000C7 +:042D090000000000C6 +:042D0A0000000000C5 +:042D0B0000000000C4 +:042D0C0000000000C3 +:042D0D0000000000C2 +:042D0E0000000000C1 +:042D0F0000000000C0 +:042D100000000000BF +:042D110000000000BE +:042D120000000000BD +:042D130000000000BC +:042D140000000000BB +:042D150000000000BA +:042D160000000000B9 +:042D170000000000B8 +:042D180000000000B7 +:042D190000000000B6 +:042D1A0000000000B5 +:042D1B0000000000B4 +:042D1C0000000000B3 +:042D1D0000000000B2 +:042D1E0000000000B1 +:042D1F0000000000B0 +:042D200000000000AF +:042D210000000000AE +:042D220000000000AD +:042D230000000000AC +:042D240000000000AB +:042D250000000000AA +:042D260000000000A9 +:042D270000000000A8 +:042D280000000000A7 +:042D290000000000A6 +:042D2A0000000000A5 +:042D2B0000000000A4 +:042D2C0000000000A3 +:042D2D0000000000A2 +:042D2E0000000000A1 +:042D2F0000000000A0 +:042D3000000000009F +:042D3100000000009E +:042D3200000000009D +:042D3300000000009C +:042D3400000000009B +:042D3500000000009A +:042D36000000000099 +:042D37000000000098 +:042D38000000000097 +:042D39000000000096 +:042D3A000000000095 +:042D3B000000000094 +:042D3C000000000093 +:042D3D000000000092 +:042D3E000000000091 +:042D3F000000000090 +:042D4000000000008F +:042D4100000000008E +:042D4200000000008D +:042D4300000000008C +:042D4400000000008B +:042D4500000000008A +:042D46000000000089 +:042D47000000000088 +:042D48000000000087 +:042D49000000000086 +:042D4A000000000085 +:042D4B000000000084 +:042D4C000000000083 +:042D4D000000000082 +:042D4E000000000081 +:042D4F000000000080 +:042D5000000000007F +:042D5100000000007E +:042D5200000000007D +:042D5300000000007C +:042D5400000000007B +:042D5500000000007A +:042D56000000000079 +:042D57000000000078 +:042D58000000000077 +:042D59000000000076 +:042D5A000000000075 +:042D5B000000000074 +:042D5C000000000073 +:042D5D000000000072 +:042D5E000000000071 +:042D5F000000000070 +:042D6000000000006F +:042D6100000000006E +:042D6200000000006D +:042D6300000000006C +:042D6400000000006B +:042D6500000000006A +:042D66000000000069 +:042D67000000000068 +:042D68000000000067 +:042D69000000000066 +:042D6A000000000065 +:042D6B000000000064 +:042D6C000000000063 +:042D6D000000000062 +:042D6E000000000061 +:042D6F000000000060 +:042D7000000000005F +:042D7100000000005E +:042D7200000000005D +:042D7300000000005C +:042D7400000000005B +:042D7500000000005A +:042D76000000000059 +:042D77000000000058 +:042D78000000000057 +:042D79000000000056 +:042D7A000000000055 +:042D7B000000000054 +:042D7C000000000053 +:042D7D000000000052 +:042D7E000000000051 +:042D7F000000000050 +:042D8000000000004F +:042D8100000000004E +:042D8200000000004D +:042D8300000000004C +:042D8400000000004B +:042D8500000000004A +:042D86000000000049 +:042D87000000000048 +:042D88000000000047 +:042D89000000000046 +:042D8A000000000045 +:042D8B000000000044 +:042D8C000000000043 +:042D8D000000000042 +:042D8E000000000041 +:042D8F000000000040 +:042D9000000000003F +:042D9100000000003E +:042D9200000000003D +:042D9300000000003C +:042D9400000000003B +:042D9500000000003A +:042D96000000000039 +:042D97000000000038 +:042D98000000000037 +:042D99000000000036 +:042D9A000000000035 +:042D9B000000000034 +:042D9C000000000033 +:042D9D000000000032 +:042D9E000000000031 +:042D9F000000000030 +:042DA000000000002F +:042DA100000000002E +:042DA200000000002D +:042DA300000000002C +:042DA400000000002B +:042DA500000000002A +:042DA6000000000029 +:042DA7000000000028 +:042DA8000000000027 +:042DA9000000000026 +:042DAA000000000025 +:042DAB000000000024 +:042DAC000000000023 +:042DAD000000000022 +:042DAE000000000021 +:042DAF000000000020 +:042DB000000000001F +:042DB100000000001E +:042DB200000000001D +:042DB300000000001C +:042DB400000000001B +:042DB500000000001A +:042DB6000000000019 +:042DB7000000000018 +:042DB8000000000017 +:042DB9000000000016 +:042DBA000000000015 +:042DBB000000000014 +:042DBC000000000013 +:042DBD000000000012 +:042DBE000000000011 +:042DBF000000000010 +:042DC000000000000F +:042DC100000000000E +:042DC200000000000D +:042DC300000000000C +:042DC400000000000B +:042DC500000000000A +:042DC6000000000009 +:042DC7000000000008 +:042DC8000000000007 +:042DC9000000000006 +:042DCA000000000005 +:042DCB000000000004 +:042DCC000000000003 +:042DCD000000000002 +:042DCE000000000001 +:042DCF000000000000 +:042DD00000000000FF +:042DD10000000000FE +:042DD20000000000FD +:042DD30000000000FC +:042DD40000000000FB +:042DD50000000000FA +:042DD60000000000F9 +:042DD70000000000F8 +:042DD80000000000F7 +:042DD90000000000F6 +:042DDA0000000000F5 +:042DDB0000000000F4 +:042DDC0000000000F3 +:042DDD0000000000F2 +:042DDE0000000000F1 +:042DDF0000000000F0 +:042DE00000000000EF +:042DE10000000000EE +:042DE20000000000ED +:042DE30000000000EC +:042DE40000000000EB +:042DE50000000000EA +:042DE60000000000E9 +:042DE70000000000E8 +:042DE80000000000E7 +:042DE90000000000E6 +:042DEA0000000000E5 +:042DEB0000000000E4 +:042DEC0000000000E3 +:042DED0000000000E2 +:042DEE0000000000E1 +:042DEF0000000000E0 +:042DF00000000000DF +:042DF10000000000DE +:042DF20000000000DD +:042DF30000000000DC +:042DF40000000000DB +:042DF50000000000DA +:042DF60000000000D9 +:042DF70000000000D8 +:042DF80000000000D7 +:042DF90000000000D6 +:042DFA0000000000D5 +:042DFB0000000000D4 +:042DFC0000000000D3 +:042DFD0000000000D2 +:042DFE0000000000D1 +:042DFF0000000000D0 +:042E000000000000CE +:042E010000000000CD +:042E020000000000CC +:042E030000000000CB +:042E040000000000CA +:042E050000000000C9 +:042E060000000000C8 +:042E070000000000C7 +:042E080000000000C6 +:042E090000000000C5 +:042E0A0000000000C4 +:042E0B0000000000C3 +:042E0C0000000000C2 +:042E0D0000000000C1 +:042E0E0000000000C0 +:042E0F0000000000BF +:042E100000000000BE +:042E110000000000BD +:042E120000000000BC +:042E130000000000BB +:042E140000000000BA +:042E150000000000B9 +:042E160000000000B8 +:042E170000000000B7 +:042E180000000000B6 +:042E190000000000B5 +:042E1A0000000000B4 +:042E1B0000000000B3 +:042E1C0000000000B2 +:042E1D0000000000B1 +:042E1E0000000000B0 +:042E1F0000000000AF +:042E200000000000AE +:042E210000000000AD +:042E220000000000AC +:042E230000000000AB +:042E240000000000AA +:042E250000000000A9 +:042E260000000000A8 +:042E270000000000A7 +:042E280000000000A6 +:042E290000000000A5 +:042E2A0000000000A4 +:042E2B0000000000A3 +:042E2C0000000000A2 +:042E2D0000000000A1 +:042E2E0000000000A0 +:042E2F00000000009F +:042E3000000000009E +:042E3100000000009D +:042E3200000000009C +:042E3300000000009B +:042E3400000000009A +:042E35000000000099 +:042E36000000000098 +:042E37000000000097 +:042E38000000000096 +:042E39000000000095 +:042E3A000000000094 +:042E3B000000000093 +:042E3C000000000092 +:042E3D000000000091 +:042E3E000000000090 +:042E3F00000000008F +:042E4000000000008E +:042E4100000000008D +:042E4200000000008C +:042E4300000000008B +:042E4400000000008A +:042E45000000000089 +:042E46000000000088 +:042E47000000000087 +:042E48000000000086 +:042E49000000000085 +:042E4A000000000084 +:042E4B000000000083 +:042E4C000000000082 +:042E4D000000000081 +:042E4E000000000080 +:042E4F00000000007F +:042E5000000000007E +:042E5100000000007D +:042E5200000000007C +:042E5300000000007B +:042E5400000000007A +:042E55000000000079 +:042E56000000000078 +:042E57000000000077 +:042E58000000000076 +:042E59000000000075 +:042E5A000000000074 +:042E5B000000000073 +:042E5C000000000072 +:042E5D000000000071 +:042E5E000000000070 +:042E5F00000000006F +:042E6000000000006E +:042E6100000000006D +:042E6200000000006C +:042E6300000000006B +:042E6400000000006A +:042E65000000000069 +:042E66000000000068 +:042E67000000000067 +:042E68000000000066 +:042E69000000000065 +:042E6A000000000064 +:042E6B000000000063 +:042E6C000000000062 +:042E6D000000000061 +:042E6E000000000060 +:042E6F00000000005F +:042E7000000000005E +:042E7100000000005D +:042E7200000000005C +:042E7300000000005B +:042E7400000000005A +:042E75000000000059 +:042E76000000000058 +:042E77000000000057 +:042E78000000000056 +:042E79000000000055 +:042E7A000000000054 +:042E7B000000000053 +:042E7C000000000052 +:042E7D000000000051 +:042E7E000000000050 +:042E7F00000000004F +:042E8000000000004E +:042E8100000000004D +:042E8200000000004C +:042E8300000000004B +:042E8400000000004A +:042E85000000000049 +:042E86000000000048 +:042E87000000000047 +:042E88000000000046 +:042E89000000000045 +:042E8A000000000044 +:042E8B000000000043 +:042E8C000000000042 +:042E8D000000000041 +:042E8E000000000040 +:042E8F00000000003F +:042E9000000000003E +:042E9100000000003D +:042E9200000000003C +:042E9300000000003B +:042E9400000000003A +:042E95000000000039 +:042E96000000000038 +:042E97000000000037 +:042E98000000000036 +:042E99000000000035 +:042E9A000000000034 +:042E9B000000000033 +:042E9C000000000032 +:042E9D000000000031 +:042E9E000000000030 +:042E9F00000000002F +:042EA000000000002E +:042EA100000000002D +:042EA200000000002C +:042EA300000000002B +:042EA400000000002A +:042EA5000000000029 +:042EA6000000000028 +:042EA7000000000027 +:042EA8000000000026 +:042EA9000000000025 +:042EAA000000000024 +:042EAB000000000023 +:042EAC000000000022 +:042EAD000000000021 +:042EAE000000000020 +:042EAF00000000001F +:042EB000000000001E +:042EB100000000001D +:042EB200000000001C +:042EB300000000001B +:042EB400000000001A +:042EB5000000000019 +:042EB6000000000018 +:042EB7000000000017 +:042EB8000000000016 +:042EB9000000000015 +:042EBA000000000014 +:042EBB000000000013 +:042EBC000000000012 +:042EBD000000000011 +:042EBE000000000010 +:042EBF00000000000F +:042EC000000000000E +:042EC100000000000D +:042EC200000000000C +:042EC300000000000B +:042EC400000000000A +:042EC5000000000009 +:042EC6000000000008 +:042EC7000000000007 +:042EC8000000000006 +:042EC9000000000005 +:042ECA000000000004 +:042ECB000000000003 +:042ECC000000000002 +:042ECD000000000001 +:042ECE000000000000 +:042ECF0000000000FF +:042ED00000000000FE +:042ED10000000000FD +:042ED20000000000FC +:042ED30000000000FB +:042ED40000000000FA +:042ED50000000000F9 +:042ED60000000000F8 +:042ED70000000000F7 +:042ED80000000000F6 +:042ED90000000000F5 +:042EDA0000000000F4 +:042EDB0000000000F3 +:042EDC0000000000F2 +:042EDD0000000000F1 +:042EDE0000000000F0 +:042EDF0000000000EF +:042EE00000000000EE +:042EE10000000000ED +:042EE20000000000EC +:042EE30000000000EB +:042EE40000000000EA +:042EE50000000000E9 +:042EE60000000000E8 +:042EE70000000000E7 +:042EE80000000000E6 +:042EE90000000000E5 +:042EEA0000000000E4 +:042EEB0000000000E3 +:042EEC0000000000E2 +:042EED0000000000E1 +:042EEE0000000000E0 +:042EEF0000000000DF +:042EF00000000000DE +:042EF10000000000DD +:042EF20000000000DC +:042EF30000000000DB +:042EF40000000000DA +:042EF50000000000D9 +:042EF60000000000D8 +:042EF70000000000D7 +:042EF80000000000D6 +:042EF90000000000D5 +:042EFA0000000000D4 +:042EFB0000000000D3 +:042EFC0000000000D2 +:042EFD0000000000D1 +:042EFE0000000000D0 +:042EFF0000000000CF +:042F000000000000CD +:042F010000000000CC +:042F020000000000CB +:042F030000000000CA +:042F040000000000C9 +:042F050000000000C8 +:042F060000000000C7 +:042F070000000000C6 +:042F080000000000C5 +:042F090000000000C4 +:042F0A0000000000C3 +:042F0B0000000000C2 +:042F0C0000000000C1 +:042F0D0000000000C0 +:042F0E0000000000BF +:042F0F0000000000BE +:042F100000000000BD +:042F110000000000BC +:042F120000000000BB +:042F130000000000BA +:042F140000000000B9 +:042F150000000000B8 +:042F160000000000B7 +:042F170000000000B6 +:042F180000000000B5 +:042F190000000000B4 +:042F1A0000000000B3 +:042F1B0000000000B2 +:042F1C0000000000B1 +:042F1D0000000000B0 +:042F1E0000000000AF +:042F1F0000000000AE +:042F200000000000AD +:042F210000000000AC +:042F220000000000AB +:042F230000000000AA +:042F240000000000A9 +:042F250000000000A8 +:042F260000000000A7 +:042F270000000000A6 +:042F280000000000A5 +:042F290000000000A4 +:042F2A0000000000A3 +:042F2B0000000000A2 +:042F2C0000000000A1 +:042F2D0000000000A0 +:042F2E00000000009F +:042F2F00000000009E +:042F3000000000009D +:042F3100000000009C +:042F3200000000009B +:042F3300000000009A +:042F34000000000099 +:042F35000000000098 +:042F36000000000097 +:042F37000000000096 +:042F38000000000095 +:042F39000000000094 +:042F3A000000000093 +:042F3B000000000092 +:042F3C000000000091 +:042F3D000000000090 +:042F3E00000000008F +:042F3F00000000008E +:042F4000000000008D +:042F4100000000008C +:042F4200000000008B +:042F4300000000008A +:042F44000000000089 +:042F45000000000088 +:042F46000000000087 +:042F47000000000086 +:042F48000000000085 +:042F49000000000084 +:042F4A000000000083 +:042F4B000000000082 +:042F4C000000000081 +:042F4D000000000080 +:042F4E00000000007F +:042F4F00000000007E +:042F5000000000007D +:042F5100000000007C +:042F5200000000007B +:042F5300000000007A +:042F54000000000079 +:042F55000000000078 +:042F56000000000077 +:042F57000000000076 +:042F58000000000075 +:042F59000000000074 +:042F5A000000000073 +:042F5B000000000072 +:042F5C000000000071 +:042F5D000000000070 +:042F5E00000000006F +:042F5F00000000006E +:042F6000000000006D +:042F6100000000006C +:042F6200000000006B +:042F6300000000006A +:042F64000000000069 +:042F65000000000068 +:042F66000000000067 +:042F67000000000066 +:042F68000000000065 +:042F69000000000064 +:042F6A000000000063 +:042F6B000000000062 +:042F6C000000000061 +:042F6D000000000060 +:042F6E00000000005F +:042F6F00000000005E +:042F7000000000005D +:042F7100000000005C +:042F7200000000005B +:042F7300000000005A +:042F74000000000059 +:042F75000000000058 +:042F76000000000057 +:042F77000000000056 +:042F78000000000055 +:042F79000000000054 +:042F7A000000000053 +:042F7B000000000052 +:042F7C000000000051 +:042F7D000000000050 +:042F7E00000000004F +:042F7F00000000004E +:042F8000000000004D +:042F8100000000004C +:042F8200000000004B +:042F8300000000004A +:042F84000000000049 +:042F85000000000048 +:042F86000000000047 +:042F87000000000046 +:042F88000000000045 +:042F89000000000044 +:042F8A000000000043 +:042F8B000000000042 +:042F8C000000000041 +:042F8D000000000040 +:042F8E00000000003F +:042F8F00000000003E +:042F9000000000003D +:042F9100000000003C +:042F9200000000003B +:042F9300000000003A +:042F94000000000039 +:042F95000000000038 +:042F96000000000037 +:042F97000000000036 +:042F98000000000035 +:042F99000000000034 +:042F9A000000000033 +:042F9B000000000032 +:042F9C000000000031 +:042F9D000000000030 +:042F9E00000000002F +:042F9F00000000002E +:042FA000000000002D +:042FA100000000002C +:042FA200000000002B +:042FA300000000002A +:042FA4000000000029 +:042FA5000000000028 +:042FA6000000000027 +:042FA7000000000026 +:042FA8000000000025 +:042FA9000000000024 +:042FAA000000000023 +:042FAB000000000022 +:042FAC000000000021 +:042FAD000000000020 +:042FAE00000000001F +:042FAF00000000001E +:042FB000000000001D +:042FB100000000001C +:042FB200000000001B +:042FB300000000001A +:042FB4000000000019 +:042FB5000000000018 +:042FB6000000000017 +:042FB7000000000016 +:042FB8000000000015 +:042FB9000000000014 +:042FBA000000000013 +:042FBB000000000012 +:042FBC000000000011 +:042FBD000000000010 +:042FBE00000000000F +:042FBF00000000000E +:042FC000000000000D +:042FC100000000000C +:042FC200000000000B +:042FC300000000000A +:042FC4000000000009 +:042FC5000000000008 +:042FC6000000000007 +:042FC7000000000006 +:042FC8000000000005 +:042FC9000000000004 +:042FCA000000000003 +:042FCB000000000002 +:042FCC000000000001 +:042FCD000000000000 +:042FCE0000000000FF +:042FCF0000000000FE +:042FD00000000000FD +:042FD10000000000FC +:042FD20000000000FB +:042FD30000000000FA +:042FD40000000000F9 +:042FD50000000000F8 +:042FD60000000000F7 +:042FD70000000000F6 +:042FD80000000000F5 +:042FD90000000000F4 +:042FDA0000000000F3 +:042FDB0000000000F2 +:042FDC0000000000F1 +:042FDD0000000000F0 +:042FDE0000000000EF +:042FDF0000000000EE +:042FE00000000000ED +:042FE10000000000EC +:042FE20000000000EB +:042FE30000000000EA +:042FE40000000000E9 +:042FE50000000000E8 +:042FE60000000000E7 +:042FE70000000000E6 +:042FE80000000000E5 +:042FE90000000000E4 +:042FEA0000000000E3 +:042FEB0000000000E2 +:042FEC0000000000E1 +:042FED0000000000E0 +:042FEE0000000000DF +:042FEF0000000000DE +:042FF00000000000DD +:042FF10000000000DC +:042FF20000000000DB +:042FF30000000000DA +:042FF40000000000D9 +:042FF50000000000D8 +:042FF60000000000D7 +:042FF70000000000D6 +:042FF80000000000D5 +:042FF90000000000D4 +:042FFA0000000000D3 +:042FFB0000000000D2 +:042FFC0000000000D1 +:042FFD0000000000D0 +:042FFE0000000000CF +:042FFF0000000000CE +:0430000000000000CC +:0430010000000000CB +:0430020000000000CA +:0430030000000000C9 +:0430040000000000C8 +:0430050000000000C7 +:0430060000000000C6 +:0430070000000000C5 +:0430080000000000C4 +:0430090000000000C3 +:04300A0000000000C2 +:04300B0000000000C1 +:04300C0000000000C0 +:04300D0000000000BF +:04300E0000000000BE +:04300F0000000000BD +:0430100000000000BC +:0430110000000000BB +:0430120000000000BA +:0430130000000000B9 +:0430140000000000B8 +:0430150000000000B7 +:0430160000000000B6 +:0430170000000000B5 +:0430180000000000B4 +:0430190000000000B3 +:04301A0000000000B2 +:04301B0000000000B1 +:04301C0000000000B0 +:04301D0000000000AF +:04301E0000000000AE +:04301F0000000000AD +:0430200000000000AC +:0430210000000000AB +:0430220000000000AA +:0430230000000000A9 +:0430240000000000A8 +:0430250000000000A7 +:0430260000000000A6 +:0430270000000000A5 +:0430280000000000A4 +:0430290000000000A3 +:04302A0000000000A2 +:04302B0000000000A1 +:04302C0000000000A0 +:04302D00000000009F +:04302E00000000009E +:04302F00000000009D +:04303000000000009C +:04303100000000009B +:04303200000000009A +:043033000000000099 +:043034000000000098 +:043035000000000097 +:043036000000000096 +:043037000000000095 +:043038000000000094 +:043039000000000093 +:04303A000000000092 +:04303B000000000091 +:04303C000000000090 +:04303D00000000008F +:04303E00000000008E +:04303F00000000008D +:04304000000000008C +:04304100000000008B +:04304200000000008A +:043043000000000089 +:043044000000000088 +:043045000000000087 +:043046000000000086 +:043047000000000085 +:043048000000000084 +:043049000000000083 +:04304A000000000082 +:04304B000000000081 +:04304C000000000080 +:04304D00000000007F +:04304E00000000007E +:04304F00000000007D +:04305000000000007C +:04305100000000007B +:04305200000000007A +:043053000000000079 +:043054000000000078 +:043055000000000077 +:043056000000000076 +:043057000000000075 +:043058000000000074 +:043059000000000073 +:04305A000000000072 +:04305B000000000071 +:04305C000000000070 +:04305D00000000006F +:04305E00000000006E +:04305F00000000006D +:04306000000000006C +:04306100000000006B +:04306200000000006A +:043063000000000069 +:043064000000000068 +:043065000000000067 +:043066000000000066 +:043067000000000065 +:043068000000000064 +:043069000000000063 +:04306A000000000062 +:04306B000000000061 +:04306C000000000060 +:04306D00000000005F +:04306E00000000005E +:04306F00000000005D +:04307000000000005C +:04307100000000005B +:04307200000000005A +:043073000000000059 +:043074000000000058 +:043075000000000057 +:043076000000000056 +:043077000000000055 +:043078000000000054 +:043079000000000053 +:04307A000000000052 +:04307B000000000051 +:04307C000000000050 +:04307D00000000004F +:04307E00000000004E +:04307F00000000004D +:04308000000000004C +:04308100000000004B +:04308200000000004A +:043083000000000049 +:043084000000000048 +:043085000000000047 +:043086000000000046 +:043087000000000045 +:043088000000000044 +:043089000000000043 +:04308A000000000042 +:04308B000000000041 +:04308C000000000040 +:04308D00000000003F +:04308E00000000003E +:04308F00000000003D +:04309000000000003C +:04309100000000003B +:04309200000000003A +:043093000000000039 +:043094000000000038 +:043095000000000037 +:043096000000000036 +:043097000000000035 +:043098000000000034 +:043099000000000033 +:04309A000000000032 +:04309B000000000031 +:04309C000000000030 +:04309D00000000002F +:04309E00000000002E +:04309F00000000002D +:0430A000000000002C +:0430A100000000002B +:0430A200000000002A +:0430A3000000000029 +:0430A4000000000028 +:0430A5000000000027 +:0430A6000000000026 +:0430A7000000000025 +:0430A8000000000024 +:0430A9000000000023 +:0430AA000000000022 +:0430AB000000000021 +:0430AC000000000020 +:0430AD00000000001F +:0430AE00000000001E +:0430AF00000000001D +:0430B000000000001C +:0430B100000000001B +:0430B200000000001A +:0430B3000000000019 +:0430B4000000000018 +:0430B5000000000017 +:0430B6000000000016 +:0430B7000000000015 +:0430B8000000000014 +:0430B9000000000013 +:0430BA000000000012 +:0430BB000000000011 +:0430BC000000000010 +:0430BD00000000000F +:0430BE00000000000E +:0430BF00000000000D +:0430C000000000000C +:0430C100000000000B +:0430C200000000000A +:0430C3000000000009 +:0430C4000000000008 +:0430C5000000000007 +:0430C6000000000006 +:0430C7000000000005 +:0430C8000000000004 +:0430C9000000000003 +:0430CA000000000002 +:0430CB000000000001 +:0430CC000000000000 +:0430CD0000000000FF +:0430CE0000000000FE +:0430CF0000000000FD +:0430D00000000000FC +:0430D10000000000FB +:0430D20000000000FA +:0430D30000000000F9 +:0430D40000000000F8 +:0430D50000000000F7 +:0430D60000000000F6 +:0430D70000000000F5 +:0430D80000000000F4 +:0430D90000000000F3 +:0430DA0000000000F2 +:0430DB0000000000F1 +:0430DC0000000000F0 +:0430DD0000000000EF +:0430DE0000000000EE +:0430DF0000000000ED +:0430E00000000000EC +:0430E10000000000EB +:0430E20000000000EA +:0430E30000000000E9 +:0430E40000000000E8 +:0430E50000000000E7 +:0430E60000000000E6 +:0430E70000000000E5 +:0430E80000000000E4 +:0430E90000000000E3 +:0430EA0000000000E2 +:0430EB0000000000E1 +:0430EC0000000000E0 +:0430ED0000000000DF +:0430EE0000000000DE +:0430EF0000000000DD +:0430F00000000000DC +:0430F10000000000DB +:0430F20000000000DA +:0430F30000000000D9 +:0430F40000000000D8 +:0430F50000000000D7 +:0430F60000000000D6 +:0430F70000000000D5 +:0430F80000000000D4 +:0430F90000000000D3 +:0430FA0000000000D2 +:0430FB0000000000D1 +:0430FC0000000000D0 +:0430FD0000000000CF +:0430FE0000000000CE +:0430FF0000000000CD +:0431000000000000CB +:0431010000000000CA +:0431020000000000C9 +:0431030000000000C8 +:0431040000000000C7 +:0431050000000000C6 +:0431060000000000C5 +:0431070000000000C4 +:0431080000000000C3 +:0431090000000000C2 +:04310A0000000000C1 +:04310B0000000000C0 +:04310C0000000000BF +:04310D0000000000BE +:04310E0000000000BD +:04310F0000000000BC +:0431100000000000BB +:0431110000000000BA +:0431120000000000B9 +:0431130000000000B8 +:0431140000000000B7 +:0431150000000000B6 +:0431160000000000B5 +:0431170000000000B4 +:0431180000000000B3 +:0431190000000000B2 +:04311A0000000000B1 +:04311B0000000000B0 +:04311C0000000000AF +:04311D0000000000AE +:04311E0000000000AD +:04311F0000000000AC +:0431200000000000AB +:0431210000000000AA +:0431220000000000A9 +:0431230000000000A8 +:0431240000000000A7 +:0431250000000000A6 +:0431260000000000A5 +:0431270000000000A4 +:0431280000000000A3 +:0431290000000000A2 +:04312A0000000000A1 +:04312B0000000000A0 +:04312C00000000009F +:04312D00000000009E +:04312E00000000009D +:04312F00000000009C +:04313000000000009B +:04313100000000009A +:043132000000000099 +:043133000000000098 +:043134000000000097 +:043135000000000096 +:043136000000000095 +:043137000000000094 +:043138000000000093 +:043139000000000092 +:04313A000000000091 +:04313B000000000090 +:04313C00000000008F +:04313D00000000008E +:04313E00000000008D +:04313F00000000008C +:04314000000000008B +:04314100000000008A +:043142000000000089 +:043143000000000088 +:043144000000000087 +:043145000000000086 +:043146000000000085 +:043147000000000084 +:043148000000000083 +:043149000000000082 +:04314A000000000081 +:04314B000000000080 +:04314C00000000007F +:04314D00000000007E +:04314E00000000007D +:04314F00000000007C +:04315000000000007B +:04315100000000007A +:043152000000000079 +:043153000000000078 +:043154000000000077 +:043155000000000076 +:043156000000000075 +:043157000000000074 +:043158000000000073 +:043159000000000072 +:04315A000000000071 +:04315B000000000070 +:04315C00000000006F +:04315D00000000006E +:04315E00000000006D +:04315F00000000006C +:04316000000000006B +:04316100000000006A +:043162000000000069 +:043163000000000068 +:043164000000000067 +:043165000000000066 +:043166000000000065 +:043167000000000064 +:043168000000000063 +:043169000000000062 +:04316A000000000061 +:04316B000000000060 +:04316C00000000005F +:04316D00000000005E +:04316E00000000005D +:04316F00000000005C +:04317000000000005B +:04317100000000005A +:043172000000000059 +:043173000000000058 +:043174000000000057 +:043175000000000056 +:043176000000000055 +:043177000000000054 +:043178000000000053 +:043179000000000052 +:04317A000000000051 +:04317B000000000050 +:04317C00000000004F +:04317D00000000004E +:04317E00000000004D +:04317F00000000004C +:04318000000000004B +:04318100000000004A +:043182000000000049 +:043183000000000048 +:043184000000000047 +:043185000000000046 +:043186000000000045 +:043187000000000044 +:043188000000000043 +:043189000000000042 +:04318A000000000041 +:04318B000000000040 +:04318C00000000003F +:04318D00000000003E +:04318E00000000003D +:04318F00000000003C +:04319000000000003B +:04319100000000003A +:043192000000000039 +:043193000000000038 +:043194000000000037 +:043195000000000036 +:043196000000000035 +:043197000000000034 +:043198000000000033 +:043199000000000032 +:04319A000000000031 +:04319B000000000030 +:04319C00000000002F +:04319D00000000002E +:04319E00000000002D +:04319F00000000002C +:0431A000000000002B +:0431A100000000002A +:0431A2000000000029 +:0431A3000000000028 +:0431A4000000000027 +:0431A5000000000026 +:0431A6000000000025 +:0431A7000000000024 +:0431A8000000000023 +:0431A9000000000022 +:0431AA000000000021 +:0431AB000000000020 +:0431AC00000000001F +:0431AD00000000001E +:0431AE00000000001D +:0431AF00000000001C +:0431B000000000001B +:0431B100000000001A +:0431B2000000000019 +:0431B3000000000018 +:0431B4000000000017 +:0431B5000000000016 +:0431B6000000000015 +:0431B7000000000014 +:0431B8000000000013 +:0431B9000000000012 +:0431BA000000000011 +:0431BB000000000010 +:0431BC00000000000F +:0431BD00000000000E +:0431BE00000000000D +:0431BF00000000000C +:0431C000000000000B +:0431C100000000000A +:0431C2000000000009 +:0431C3000000000008 +:0431C4000000000007 +:0431C5000000000006 +:0431C6000000000005 +:0431C7000000000004 +:0431C8000000000003 +:0431C9000000000002 +:0431CA000000000001 +:0431CB000000000000 +:0431CC0000000000FF +:0431CD0000000000FE +:0431CE0000000000FD +:0431CF0000000000FC +:0431D00000000000FB +:0431D10000000000FA +:0431D20000000000F9 +:0431D30000000000F8 +:0431D40000000000F7 +:0431D50000000000F6 +:0431D60000000000F5 +:0431D70000000000F4 +:0431D80000000000F3 +:0431D90000000000F2 +:0431DA0000000000F1 +:0431DB0000000000F0 +:0431DC0000000000EF +:0431DD0000000000EE +:0431DE0000000000ED +:0431DF0000000000EC +:0431E00000000000EB +:0431E10000000000EA +:0431E20000000000E9 +:0431E30000000000E8 +:0431E40000000000E7 +:0431E50000000000E6 +:0431E60000000000E5 +:0431E70000000000E4 +:0431E80000000000E3 +:0431E90000000000E2 +:0431EA0000000000E1 +:0431EB0000000000E0 +:0431EC0000000000DF +:0431ED0000000000DE +:0431EE0000000000DD +:0431EF0000000000DC +:0431F00000000000DB +:0431F10000000000DA +:0431F20000000000D9 +:0431F30000000000D8 +:0431F40000000000D7 +:0431F50000000000D6 +:0431F60000000000D5 +:0431F70000000000D4 +:0431F80000000000D3 +:0431F90000000000D2 +:0431FA0000000000D1 +:0431FB0000000000D0 +:0431FC0000000000CF +:0431FD0000000000CE +:0431FE0000000000CD +:0431FF0000000000CC +:0432000000000000CA +:0432010000000000C9 +:0432020000000000C8 +:0432030000000000C7 +:0432040000000000C6 +:0432050000000000C5 +:0432060000000000C4 +:0432070000000000C3 +:0432080000000000C2 +:0432090000000000C1 +:04320A0000000000C0 +:04320B0000000000BF +:04320C0000000000BE +:04320D0000000000BD +:04320E0000000000BC +:04320F0000000000BB +:0432100000000000BA +:0432110000000000B9 +:0432120000000000B8 +:0432130000000000B7 +:0432140000000000B6 +:0432150000000000B5 +:0432160000000000B4 +:0432170000000000B3 +:0432180000000000B2 +:0432190000000000B1 +:04321A0000000000B0 +:04321B0000000000AF +:04321C0000000000AE +:04321D0000000000AD +:04321E0000000000AC +:04321F0000000000AB +:0432200000000000AA +:0432210000000000A9 +:0432220000000000A8 +:0432230000000000A7 +:0432240000000000A6 +:0432250000000000A5 +:0432260000000000A4 +:0432270000000000A3 +:0432280000000000A2 +:0432290000000000A1 +:04322A0000000000A0 +:04322B00000000009F +:04322C00000000009E +:04322D00000000009D +:04322E00000000009C +:04322F00000000009B +:04323000000000009A +:043231000000000099 +:043232000000000098 +:043233000000000097 +:043234000000000096 +:043235000000000095 +:043236000000000094 +:043237000000000093 +:043238000000000092 +:043239000000000091 +:04323A000000000090 +:04323B00000000008F +:04323C00000000008E +:04323D00000000008D +:04323E00000000008C +:04323F00000000008B +:04324000000000008A +:043241000000000089 +:043242000000000088 +:043243000000000087 +:043244000000000086 +:043245000000000085 +:043246000000000084 +:043247000000000083 +:043248000000000082 +:043249000000000081 +:04324A000000000080 +:04324B00000000007F +:04324C00000000007E +:04324D00000000007D +:04324E00000000007C +:04324F00000000007B +:04325000000000007A +:043251000000000079 +:043252000000000078 +:043253000000000077 +:043254000000000076 +:043255000000000075 +:043256000000000074 +:043257000000000073 +:043258000000000072 +:043259000000000071 +:04325A000000000070 +:04325B00000000006F +:04325C00000000006E +:04325D00000000006D +:04325E00000000006C +:04325F00000000006B +:04326000000000006A +:043261000000000069 +:043262000000000068 +:043263000000000067 +:043264000000000066 +:043265000000000065 +:043266000000000064 +:043267000000000063 +:043268000000000062 +:043269000000000061 +:04326A000000000060 +:04326B00000000005F +:04326C00000000005E +:04326D00000000005D +:04326E00000000005C +:04326F00000000005B +:04327000000000005A +:043271000000000059 +:043272000000000058 +:043273000000000057 +:043274000000000056 +:043275000000000055 +:043276000000000054 +:043277000000000053 +:043278000000000052 +:043279000000000051 +:04327A000000000050 +:04327B00000000004F +:04327C00000000004E +:04327D00000000004D +:04327E00000000004C +:04327F00000000004B +:04328000000000004A +:043281000000000049 +:043282000000000048 +:043283000000000047 +:043284000000000046 +:043285000000000045 +:043286000000000044 +:043287000000000043 +:043288000000000042 +:043289000000000041 +:04328A000000000040 +:04328B00000000003F +:04328C00000000003E +:04328D00000000003D +:04328E00000000003C +:04328F00000000003B +:04329000000000003A +:043291000000000039 +:043292000000000038 +:043293000000000037 +:043294000000000036 +:043295000000000035 +:043296000000000034 +:043297000000000033 +:043298000000000032 +:043299000000000031 +:04329A000000000030 +:04329B00000000002F +:04329C00000000002E +:04329D00000000002D +:04329E00000000002C +:04329F00000000002B +:0432A000000000002A +:0432A1000000000029 +:0432A2000000000028 +:0432A3000000000027 +:0432A4000000000026 +:0432A5000000000025 +:0432A6000000000024 +:0432A7000000000023 +:0432A8000000000022 +:0432A9000000000021 +:0432AA000000000020 +:0432AB00000000001F +:0432AC00000000001E +:0432AD00000000001D +:0432AE00000000001C +:0432AF00000000001B +:0432B000000000001A +:0432B1000000000019 +:0432B2000000000018 +:0432B3000000000017 +:0432B4000000000016 +:0432B5000000000015 +:0432B6000000000014 +:0432B7000000000013 +:0432B8000000000012 +:0432B9000000000011 +:0432BA000000000010 +:0432BB00000000000F +:0432BC00000000000E +:0432BD00000000000D +:0432BE00000000000C +:0432BF00000000000B +:0432C000000000000A +:0432C1000000000009 +:0432C2000000000008 +:0432C3000000000007 +:0432C4000000000006 +:0432C5000000000005 +:0432C6000000000004 +:0432C7000000000003 +:0432C8000000000002 +:0432C9000000000001 +:0432CA000000000000 +:0432CB0000000000FF +:0432CC0000000000FE +:0432CD0000000000FD +:0432CE0000000000FC +:0432CF0000000000FB +:0432D00000000000FA +:0432D10000000000F9 +:0432D20000000000F8 +:0432D30000000000F7 +:0432D40000000000F6 +:0432D50000000000F5 +:0432D60000000000F4 +:0432D70000000000F3 +:0432D80000000000F2 +:0432D90000000000F1 +:0432DA0000000000F0 +:0432DB0000000000EF +:0432DC0000000000EE +:0432DD0000000000ED +:0432DE0000000000EC +:0432DF0000000000EB +:0432E00000000000EA +:0432E10000000000E9 +:0432E20000000000E8 +:0432E30000000000E7 +:0432E40000000000E6 +:0432E50000000000E5 +:0432E60000000000E4 +:0432E70000000000E3 +:0432E80000000000E2 +:0432E90000000000E1 +:0432EA0000000000E0 +:0432EB0000000000DF +:0432EC0000000000DE +:0432ED0000000000DD +:0432EE0000000000DC +:0432EF0000000000DB +:0432F00000000000DA +:0432F10000000000D9 +:0432F20000000000D8 +:0432F30000000000D7 +:0432F40000000000D6 +:0432F50000000000D5 +:0432F60000000000D4 +:0432F70000000000D3 +:0432F80000000000D2 +:0432F90000000000D1 +:0432FA0000000000D0 +:0432FB0000000000CF +:0432FC0000000000CE +:0432FD0000000000CD +:0432FE0000000000CC +:0432FF0000000000CB +:0433000000000000C9 +:0433010000000000C8 +:0433020000000000C7 +:0433030000000000C6 +:0433040000000000C5 +:0433050000000000C4 +:0433060000000000C3 +:0433070000000000C2 +:0433080000000000C1 +:0433090000000000C0 +:04330A0000000000BF +:04330B0000000000BE +:04330C0000000000BD +:04330D0000000000BC +:04330E0000000000BB +:04330F0000000000BA +:0433100000000000B9 +:0433110000000000B8 +:0433120000000000B7 +:0433130000000000B6 +:0433140000000000B5 +:0433150000000000B4 +:0433160000000000B3 +:0433170000000000B2 +:0433180000000000B1 +:0433190000000000B0 +:04331A0000000000AF +:04331B0000000000AE +:04331C0000000000AD +:04331D0000000000AC +:04331E0000000000AB +:04331F0000000000AA +:0433200000000000A9 +:0433210000000000A8 +:0433220000000000A7 +:0433230000000000A6 +:0433240000000000A5 +:0433250000000000A4 +:0433260000000000A3 +:0433270000000000A2 +:0433280000000000A1 +:0433290000000000A0 +:04332A00000000009F +:04332B00000000009E +:04332C00000000009D +:04332D00000000009C +:04332E00000000009B +:04332F00000000009A +:043330000000000099 +:043331000000000098 +:043332000000000097 +:043333000000000096 +:043334000000000095 +:043335000000000094 +:043336000000000093 +:043337000000000092 +:043338000000000091 +:043339000000000090 +:04333A00000000008F +:04333B00000000008E +:04333C00000000008D +:04333D00000000008C +:04333E00000000008B +:04333F00000000008A +:043340000000000089 +:043341000000000088 +:043342000000000087 +:043343000000000086 +:043344000000000085 +:043345000000000084 +:043346000000000083 +:043347000000000082 +:043348000000000081 +:043349000000000080 +:04334A00000000007F +:04334B00000000007E +:04334C00000000007D +:04334D00000000007C +:04334E00000000007B +:04334F00000000007A +:043350000000000079 +:043351000000000078 +:043352000000000077 +:043353000000000076 +:043354000000000075 +:043355000000000074 +:043356000000000073 +:043357000000000072 +:043358000000000071 +:043359000000000070 +:04335A00000000006F +:04335B00000000006E +:04335C00000000006D +:04335D00000000006C +:04335E00000000006B +:04335F00000000006A +:043360000000000069 +:043361000000000068 +:043362000000000067 +:043363000000000066 +:043364000000000065 +:043365000000000064 +:043366000000000063 +:043367000000000062 +:043368000000000061 +:043369000000000060 +:04336A00000000005F +:04336B00000000005E +:04336C00000000005D +:04336D00000000005C +:04336E00000000005B +:04336F00000000005A +:043370000000000059 +:043371000000000058 +:043372000000000057 +:043373000000000056 +:043374000000000055 +:043375000000000054 +:043376000000000053 +:043377000000000052 +:043378000000000051 +:043379000000000050 +:04337A00000000004F +:04337B00000000004E +:04337C00000000004D +:04337D00000000004C +:04337E00000000004B +:04337F00000000004A +:043380000000000049 +:043381000000000048 +:043382000000000047 +:043383000000000046 +:043384000000000045 +:043385000000000044 +:043386000000000043 +:043387000000000042 +:043388000000000041 +:043389000000000040 +:04338A00000000003F +:04338B00000000003E +:04338C00000000003D +:04338D00000000003C +:04338E00000000003B +:04338F00000000003A +:043390000000000039 +:043391000000000038 +:043392000000000037 +:043393000000000036 +:043394000000000035 +:043395000000000034 +:043396000000000033 +:043397000000000032 +:043398000000000031 +:043399000000000030 +:04339A00000000002F +:04339B00000000002E +:04339C00000000002D +:04339D00000000002C +:04339E00000000002B +:04339F00000000002A +:0433A0000000000029 +:0433A1000000000028 +:0433A2000000000027 +:0433A3000000000026 +:0433A4000000000025 +:0433A5000000000024 +:0433A6000000000023 +:0433A7000000000022 +:0433A8000000000021 +:0433A9000000000020 +:0433AA00000000001F +:0433AB00000000001E +:0433AC00000000001D +:0433AD00000000001C +:0433AE00000000001B +:0433AF00000000001A +:0433B0000000000019 +:0433B1000000000018 +:0433B2000000000017 +:0433B3000000000016 +:0433B4000000000015 +:0433B5000000000014 +:0433B6000000000013 +:0433B7000000000012 +:0433B8000000000011 +:0433B9000000000010 +:0433BA00000000000F +:0433BB00000000000E +:0433BC00000000000D +:0433BD00000000000C +:0433BE00000000000B +:0433BF00000000000A +:0433C0000000000009 +:0433C1000000000008 +:0433C2000000000007 +:0433C3000000000006 +:0433C4000000000005 +:0433C5000000000004 +:0433C6000000000003 +:0433C7000000000002 +:0433C8000000000001 +:0433C9000000000000 +:0433CA0000000000FF +:0433CB0000000000FE +:0433CC0000000000FD +:0433CD0000000000FC +:0433CE0000000000FB +:0433CF0000000000FA +:0433D00000000000F9 +:0433D10000000000F8 +:0433D20000000000F7 +:0433D30000000000F6 +:0433D40000000000F5 +:0433D50000000000F4 +:0433D60000000000F3 +:0433D70000000000F2 +:0433D80000000000F1 +:0433D90000000000F0 +:0433DA0000000000EF +:0433DB0000000000EE +:0433DC0000000000ED +:0433DD0000000000EC +:0433DE0000000000EB +:0433DF0000000000EA +:0433E00000000000E9 +:0433E10000000000E8 +:0433E20000000000E7 +:0433E30000000000E6 +:0433E40000000000E5 +:0433E50000000000E4 +:0433E60000000000E3 +:0433E70000000000E2 +:0433E80000000000E1 +:0433E90000000000E0 +:0433EA0000000000DF +:0433EB0000000000DE +:0433EC0000000000DD +:0433ED0000000000DC +:0433EE0000000000DB +:0433EF0000000000DA +:0433F00000000000D9 +:0433F10000000000D8 +:0433F20000000000D7 +:0433F30000000000D6 +:0433F40000000000D5 +:0433F50000000000D4 +:0433F60000000000D3 +:0433F70000000000D2 +:0433F80000000000D1 +:0433F90000000000D0 +:0433FA0000000000CF +:0433FB0000000000CE +:0433FC0000000000CD +:0433FD0000000000CC +:0433FE0000000000CB +:0433FF0000000000CA +:0434000000000000C8 +:0434010000000000C7 +:0434020000000000C6 +:0434030000000000C5 +:0434040000000000C4 +:0434050000000000C3 +:0434060000000000C2 +:0434070000000000C1 +:0434080000000000C0 +:0434090000000000BF +:04340A0000000000BE +:04340B0000000000BD +:04340C0000000000BC +:04340D0000000000BB +:04340E0000000000BA +:04340F0000000000B9 +:0434100000000000B8 +:0434110000000000B7 +:0434120000000000B6 +:0434130000000000B5 +:0434140000000000B4 +:0434150000000000B3 +:0434160000000000B2 +:0434170000000000B1 +:0434180000000000B0 +:0434190000000000AF +:04341A0000000000AE +:04341B0000000000AD +:04341C0000000000AC +:04341D0000000000AB +:04341E0000000000AA +:04341F0000000000A9 +:0434200000000000A8 +:0434210000000000A7 +:0434220000000000A6 +:0434230000000000A5 +:0434240000000000A4 +:0434250000000000A3 +:0434260000000000A2 +:0434270000000000A1 +:0434280000000000A0 +:04342900000000009F +:04342A00000000009E +:04342B00000000009D +:04342C00000000009C +:04342D00000000009B +:04342E00000000009A +:04342F000000000099 +:043430000000000098 +:043431000000000097 +:043432000000000096 +:043433000000000095 +:043434000000000094 +:043435000000000093 +:043436000000000092 +:043437000000000091 +:043438000000000090 +:04343900000000008F +:04343A00000000008E +:04343B00000000008D +:04343C00000000008C +:04343D00000000008B +:04343E00000000008A +:04343F000000000089 +:043440000000000088 +:043441000000000087 +:043442000000000086 +:043443000000000085 +:043444000000000084 +:043445000000000083 +:043446000000000082 +:043447000000000081 +:043448000000000080 +:04344900000000007F +:04344A00000000007E +:04344B00000000007D +:04344C00000000007C +:04344D00000000007B +:04344E00000000007A +:04344F000000000079 +:043450000000000078 +:043451000000000077 +:043452000000000076 +:043453000000000075 +:043454000000000074 +:043455000000000073 +:043456000000000072 +:043457000000000071 +:043458000000000070 +:04345900000000006F +:04345A00000000006E +:04345B00000000006D +:04345C00000000006C +:04345D00000000006B +:04345E00000000006A +:04345F000000000069 +:043460000000000068 +:043461000000000067 +:043462000000000066 +:043463000000000065 +:043464000000000064 +:043465000000000063 +:043466000000000062 +:043467000000000061 +:043468000000000060 +:04346900000000005F +:04346A00000000005E +:04346B00000000005D +:04346C00000000005C +:04346D00000000005B +:04346E00000000005A +:04346F000000000059 +:043470000000000058 +:043471000000000057 +:043472000000000056 +:043473000000000055 +:043474000000000054 +:043475000000000053 +:043476000000000052 +:043477000000000051 +:043478000000000050 +:04347900000000004F +:04347A00000000004E +:04347B00000000004D +:04347C00000000004C +:04347D00000000004B +:04347E00000000004A +:04347F000000000049 +:043480000000000048 +:043481000000000047 +:043482000000000046 +:043483000000000045 +:043484000000000044 +:043485000000000043 +:043486000000000042 +:043487000000000041 +:043488000000000040 +:04348900000000003F +:04348A00000000003E +:04348B00000000003D +:04348C00000000003C +:04348D00000000003B +:04348E00000000003A +:04348F000000000039 +:043490000000000038 +:043491000000000037 +:043492000000000036 +:043493000000000035 +:043494000000000034 +:043495000000000033 +:043496000000000032 +:043497000000000031 +:043498000000000030 +:04349900000000002F +:04349A00000000002E +:04349B00000000002D +:04349C00000000002C +:04349D00000000002B +:04349E00000000002A +:04349F000000000029 +:0434A0000000000028 +:0434A1000000000027 +:0434A2000000000026 +:0434A3000000000025 +:0434A4000000000024 +:0434A5000000000023 +:0434A6000000000022 +:0434A7000000000021 +:0434A8000000000020 +:0434A900000000001F +:0434AA00000000001E +:0434AB00000000001D +:0434AC00000000001C +:0434AD00000000001B +:0434AE00000000001A +:0434AF000000000019 +:0434B0000000000018 +:0434B1000000000017 +:0434B2000000000016 +:0434B3000000000015 +:0434B4000000000014 +:0434B5000000000013 +:0434B6000000000012 +:0434B7000000000011 +:0434B8000000000010 +:0434B900000000000F +:0434BA00000000000E +:0434BB00000000000D +:0434BC00000000000C +:0434BD00000000000B +:0434BE00000000000A +:0434BF000000000009 +:0434C0000000000008 +:0434C1000000000007 +:0434C2000000000006 +:0434C3000000000005 +:0434C4000000000004 +:0434C5000000000003 +:0434C6000000000002 +:0434C7000000000001 +:0434C8000000000000 +:0434C90000000000FF +:0434CA0000000000FE +:0434CB0000000000FD +:0434CC0000000000FC +:0434CD0000000000FB +:0434CE0000000000FA +:0434CF0000000000F9 +:0434D00000000000F8 +:0434D10000000000F7 +:0434D20000000000F6 +:0434D30000000000F5 +:0434D40000000000F4 +:0434D50000000000F3 +:0434D60000000000F2 +:0434D70000000000F1 +:0434D80000000000F0 +:0434D90000000000EF +:0434DA0000000000EE +:0434DB0000000000ED +:0434DC0000000000EC +:0434DD0000000000EB +:0434DE0000000000EA +:0434DF0000000000E9 +:0434E00000000000E8 +:0434E10000000000E7 +:0434E20000000000E6 +:0434E30000000000E5 +:0434E40000000000E4 +:0434E50000000000E3 +:0434E60000000000E2 +:0434E70000000000E1 +:0434E80000000000E0 +:0434E90000000000DF +:0434EA0000000000DE +:0434EB0000000000DD +:0434EC0000000000DC +:0434ED0000000000DB +:0434EE0000000000DA +:0434EF0000000000D9 +:0434F00000000000D8 +:0434F10000000000D7 +:0434F20000000000D6 +:0434F30000000000D5 +:0434F40000000000D4 +:0434F50000000000D3 +:0434F60000000000D2 +:0434F70000000000D1 +:0434F80000000000D0 +:0434F90000000000CF +:0434FA0000000000CE +:0434FB0000000000CD +:0434FC0000000000CC +:0434FD0000000000CB +:0434FE0000000000CA +:0434FF0000000000C9 +:0435000000000000C7 +:0435010000000000C6 +:0435020000000000C5 +:0435030000000000C4 +:0435040000000000C3 +:0435050000000000C2 +:0435060000000000C1 +:0435070000000000C0 +:0435080000000000BF +:0435090000000000BE +:04350A0000000000BD +:04350B0000000000BC +:04350C0000000000BB +:04350D0000000000BA +:04350E0000000000B9 +:04350F0000000000B8 +:0435100000000000B7 +:0435110000000000B6 +:0435120000000000B5 +:0435130000000000B4 +:0435140000000000B3 +:0435150000000000B2 +:0435160000000000B1 +:0435170000000000B0 +:0435180000000000AF +:0435190000000000AE +:04351A0000000000AD +:04351B0000000000AC +:04351C0000000000AB +:04351D0000000000AA +:04351E0000000000A9 +:04351F0000000000A8 +:0435200000000000A7 +:0435210000000000A6 +:0435220000000000A5 +:0435230000000000A4 +:0435240000000000A3 +:0435250000000000A2 +:0435260000000000A1 +:0435270000000000A0 +:04352800000000009F +:04352900000000009E +:04352A00000000009D +:04352B00000000009C +:04352C00000000009B +:04352D00000000009A +:04352E000000000099 +:04352F000000000098 +:043530000000000097 +:043531000000000096 +:043532000000000095 +:043533000000000094 +:043534000000000093 +:043535000000000092 +:043536000000000091 +:043537000000000090 +:04353800000000008F +:04353900000000008E +:04353A00000000008D +:04353B00000000008C +:04353C00000000008B +:04353D00000000008A +:04353E000000000089 +:04353F000000000088 +:043540000000000087 +:043541000000000086 +:043542000000000085 +:043543000000000084 +:043544000000000083 +:043545000000000082 +:043546000000000081 +:043547000000000080 +:04354800000000007F +:04354900000000007E +:04354A00000000007D +:04354B00000000007C +:04354C00000000007B +:04354D00000000007A +:04354E000000000079 +:04354F000000000078 +:043550000000000077 +:043551000000000076 +:043552000000000075 +:043553000000000074 +:043554000000000073 +:043555000000000072 +:043556000000000071 +:043557000000000070 +:04355800000000006F +:04355900000000006E +:04355A00000000006D +:04355B00000000006C +:04355C00000000006B +:04355D00000000006A +:04355E000000000069 +:04355F000000000068 +:043560000000000067 +:043561000000000066 +:043562000000000065 +:043563000000000064 +:043564000000000063 +:043565000000000062 +:043566000000000061 +:043567000000000060 +:04356800000000005F +:04356900000000005E +:04356A00000000005D +:04356B00000000005C +:04356C00000000005B +:04356D00000000005A +:04356E000000000059 +:04356F000000000058 +:043570000000000057 +:043571000000000056 +:043572000000000055 +:043573000000000054 +:043574000000000053 +:043575000000000052 +:043576000000000051 +:043577000000000050 +:04357800000000004F +:04357900000000004E +:04357A00000000004D +:04357B00000000004C +:04357C00000000004B +:04357D00000000004A +:04357E000000000049 +:04357F000000000048 +:043580000000000047 +:043581000000000046 +:043582000000000045 +:043583000000000044 +:043584000000000043 +:043585000000000042 +:043586000000000041 +:043587000000000040 +:04358800000000003F +:04358900000000003E +:04358A00000000003D +:04358B00000000003C +:04358C00000000003B +:04358D00000000003A +:04358E000000000039 +:04358F000000000038 +:043590000000000037 +:043591000000000036 +:043592000000000035 +:043593000000000034 +:043594000000000033 +:043595000000000032 +:043596000000000031 +:043597000000000030 +:04359800000000002F +:04359900000000002E +:04359A00000000002D +:04359B00000000002C +:04359C00000000002B +:04359D00000000002A +:04359E000000000029 +:04359F000000000028 +:0435A0000000000027 +:0435A1000000000026 +:0435A2000000000025 +:0435A3000000000024 +:0435A4000000000023 +:0435A5000000000022 +:0435A6000000000021 +:0435A7000000000020 +:0435A800000000001F +:0435A900000000001E +:0435AA00000000001D +:0435AB00000000001C +:0435AC00000000001B +:0435AD00000000001A +:0435AE000000000019 +:0435AF000000000018 +:0435B0000000000017 +:0435B1000000000016 +:0435B2000000000015 +:0435B3000000000014 +:0435B4000000000013 +:0435B5000000000012 +:0435B6000000000011 +:0435B7000000000010 +:0435B800000000000F +:0435B900000000000E +:0435BA00000000000D +:0435BB00000000000C +:0435BC00000000000B +:0435BD00000000000A +:0435BE000000000009 +:0435BF000000000008 +:0435C0000000000007 +:0435C1000000000006 +:0435C2000000000005 +:0435C3000000000004 +:0435C4000000000003 +:0435C5000000000002 +:0435C6000000000001 +:0435C7000000000000 +:0435C80000000000FF +:0435C90000000000FE +:0435CA0000000000FD +:0435CB0000000000FC +:0435CC0000000000FB +:0435CD0000000000FA +:0435CE0000000000F9 +:0435CF0000000000F8 +:0435D00000000000F7 +:0435D10000000000F6 +:0435D20000000000F5 +:0435D30000000000F4 +:0435D40000000000F3 +:0435D50000000000F2 +:0435D60000000000F1 +:0435D70000000000F0 +:0435D80000000000EF +:0435D90000000000EE +:0435DA0000000000ED +:0435DB0000000000EC +:0435DC0000000000EB +:0435DD0000000000EA +:0435DE0000000000E9 +:0435DF0000000000E8 +:0435E00000000000E7 +:0435E10000000000E6 +:0435E20000000000E5 +:0435E30000000000E4 +:0435E40000000000E3 +:0435E50000000000E2 +:0435E60000000000E1 +:0435E70000000000E0 +:0435E80000000000DF +:0435E90000000000DE +:0435EA0000000000DD +:0435EB0000000000DC +:0435EC0000000000DB +:0435ED0000000000DA +:0435EE0000000000D9 +:0435EF0000000000D8 +:0435F00000000000D7 +:0435F10000000000D6 +:0435F20000000000D5 +:0435F30000000000D4 +:0435F40000000000D3 +:0435F50000000000D2 +:0435F60000000000D1 +:0435F70000000000D0 +:0435F80000000000CF +:0435F90000000000CE +:0435FA0000000000CD +:0435FB0000000000CC +:0435FC0000000000CB +:0435FD0000000000CA +:0435FE0000000000C9 +:0435FF0000000000C8 +:0436000000000000C6 +:0436010000000000C5 +:0436020000000000C4 +:0436030000000000C3 +:0436040000000000C2 +:0436050000000000C1 +:0436060000000000C0 +:0436070000000000BF +:0436080000000000BE +:0436090000000000BD +:04360A0000000000BC +:04360B0000000000BB +:04360C0000000000BA +:04360D0000000000B9 +:04360E0000000000B8 +:04360F0000000000B7 +:0436100000000000B6 +:0436110000000000B5 +:0436120000000000B4 +:0436130000000000B3 +:0436140000000000B2 +:0436150000000000B1 +:0436160000000000B0 +:0436170000000000AF +:0436180000000000AE +:0436190000000000AD +:04361A0000000000AC +:04361B0000000000AB +:04361C0000000000AA +:04361D0000000000A9 +:04361E0000000000A8 +:04361F0000000000A7 +:0436200000000000A6 +:0436210000000000A5 +:0436220000000000A4 +:0436230000000000A3 +:0436240000000000A2 +:0436250000000000A1 +:0436260000000000A0 +:04362700000000009F +:04362800000000009E +:04362900000000009D +:04362A00000000009C +:04362B00000000009B +:04362C00000000009A +:04362D000000000099 +:04362E000000000098 +:04362F000000000097 +:043630000000000096 +:043631000000000095 +:043632000000000094 +:043633000000000093 +:043634000000000092 +:043635000000000091 +:043636000000000090 +:04363700000000008F +:04363800000000008E +:04363900000000008D +:04363A00000000008C +:04363B00000000008B +:04363C00000000008A +:04363D000000000089 +:04363E000000000088 +:04363F000000000087 +:043640000000000086 +:043641000000000085 +:043642000000000084 +:043643000000000083 +:043644000000000082 +:043645000000000081 +:043646000000000080 +:04364700000000007F +:04364800000000007E +:04364900000000007D +:04364A00000000007C +:04364B00000000007B +:04364C00000000007A +:04364D000000000079 +:04364E000000000078 +:04364F000000000077 +:043650000000000076 +:043651000000000075 +:043652000000000074 +:043653000000000073 +:043654000000000072 +:043655000000000071 +:043656000000000070 +:04365700000000006F +:04365800000000006E +:04365900000000006D +:04365A00000000006C +:04365B00000000006B +:04365C00000000006A +:04365D000000000069 +:04365E000000000068 +:04365F000000000067 +:043660000000000066 +:043661000000000065 +:043662000000000064 +:043663000000000063 +:043664000000000062 +:043665000000000061 +:043666000000000060 +:04366700000000005F +:04366800000000005E +:04366900000000005D +:04366A00000000005C +:04366B00000000005B +:04366C00000000005A +:04366D000000000059 +:04366E000000000058 +:04366F000000000057 +:043670000000000056 +:043671000000000055 +:043672000000000054 +:043673000000000053 +:043674000000000052 +:043675000000000051 +:043676000000000050 +:04367700000000004F +:04367800000000004E +:04367900000000004D +:04367A00000000004C +:04367B00000000004B +:04367C00000000004A +:04367D000000000049 +:04367E000000000048 +:04367F000000000047 +:043680000000000046 +:043681000000000045 +:043682000000000044 +:043683000000000043 +:043684000000000042 +:043685000000000041 +:043686000000000040 +:04368700000000003F +:04368800000000003E +:04368900000000003D +:04368A00000000003C +:04368B00000000003B +:04368C00000000003A +:04368D000000000039 +:04368E000000000038 +:04368F000000000037 +:043690000000000036 +:043691000000000035 +:043692000000000034 +:043693000000000033 +:043694000000000032 +:043695000000000031 +:043696000000000030 +:04369700000000002F +:04369800000000002E +:04369900000000002D +:04369A00000000002C +:04369B00000000002B +:04369C00000000002A +:04369D000000000029 +:04369E000000000028 +:04369F000000000027 +:0436A0000000000026 +:0436A1000000000025 +:0436A2000000000024 +:0436A3000000000023 +:0436A4000000000022 +:0436A5000000000021 +:0436A6000000000020 +:0436A700000000001F +:0436A800000000001E +:0436A900000000001D +:0436AA00000000001C +:0436AB00000000001B +:0436AC00000000001A +:0436AD000000000019 +:0436AE000000000018 +:0436AF000000000017 +:0436B0000000000016 +:0436B1000000000015 +:0436B2000000000014 +:0436B3000000000013 +:0436B4000000000012 +:0436B5000000000011 +:0436B6000000000010 +:0436B700000000000F +:0436B800000000000E +:0436B900000000000D +:0436BA00000000000C +:0436BB00000000000B +:0436BC00000000000A +:0436BD000000000009 +:0436BE000000000008 +:0436BF000000000007 +:0436C0000000000006 +:0436C1000000000005 +:0436C2000000000004 +:0436C3000000000003 +:0436C4000000000002 +:0436C5000000000001 +:0436C6000000000000 +:0436C70000000000FF +:0436C80000000000FE +:0436C90000000000FD +:0436CA0000000000FC +:0436CB0000000000FB +:0436CC0000000000FA +:0436CD0000000000F9 +:0436CE0000000000F8 +:0436CF0000000000F7 +:0436D00000000000F6 +:0436D10000000000F5 +:0436D20000000000F4 +:0436D30000000000F3 +:0436D40000000000F2 +:0436D50000000000F1 +:0436D60000000000F0 +:0436D70000000000EF +:0436D80000000000EE +:0436D90000000000ED +:0436DA0000000000EC +:0436DB0000000000EB +:0436DC0000000000EA +:0436DD0000000000E9 +:0436DE0000000000E8 +:0436DF0000000000E7 +:0436E00000000000E6 +:0436E10000000000E5 +:0436E20000000000E4 +:0436E30000000000E3 +:0436E40000000000E2 +:0436E50000000000E1 +:0436E60000000000E0 +:0436E70000000000DF +:0436E80000000000DE +:0436E90000000000DD +:0436EA0000000000DC +:0436EB0000000000DB +:0436EC0000000000DA +:0436ED0000000000D9 +:0436EE0000000000D8 +:0436EF0000000000D7 +:0436F00000000000D6 +:0436F10000000000D5 +:0436F20000000000D4 +:0436F30000000000D3 +:0436F40000000000D2 +:0436F50000000000D1 +:0436F60000000000D0 +:0436F70000000000CF +:0436F80000000000CE +:0436F90000000000CD +:0436FA0000000000CC +:0436FB0000000000CB +:0436FC0000000000CA +:0436FD0000000000C9 +:0436FE0000000000C8 +:0436FF0000000000C7 +:0437000000000000C5 +:0437010000000000C4 +:0437020000000000C3 +:0437030000000000C2 +:0437040000000000C1 +:0437050000000000C0 +:0437060000000000BF +:0437070000000000BE +:0437080000000000BD +:0437090000000000BC +:04370A0000000000BB +:04370B0000000000BA +:04370C0000000000B9 +:04370D0000000000B8 +:04370E0000000000B7 +:04370F0000000000B6 +:0437100000000000B5 +:0437110000000000B4 +:0437120000000000B3 +:0437130000000000B2 +:0437140000000000B1 +:0437150000000000B0 +:0437160000000000AF +:0437170000000000AE +:0437180000000000AD +:0437190000000000AC +:04371A0000000000AB +:04371B0000000000AA +:04371C0000000000A9 +:04371D0000000000A8 +:04371E0000000000A7 +:04371F0000000000A6 +:0437200000000000A5 +:0437210000000000A4 +:0437220000000000A3 +:0437230000000000A2 +:0437240000000000A1 +:0437250000000000A0 +:04372600000000009F +:04372700000000009E +:04372800000000009D +:04372900000000009C +:04372A00000000009B +:04372B00000000009A +:04372C000000000099 +:04372D000000000098 +:04372E000000000097 +:04372F000000000096 +:043730000000000095 +:043731000000000094 +:043732000000000093 +:043733000000000092 +:043734000000000091 +:043735000000000090 +:04373600000000008F +:04373700000000008E +:04373800000000008D +:04373900000000008C +:04373A00000000008B +:04373B00000000008A +:04373C000000000089 +:04373D000000000088 +:04373E000000000087 +:04373F000000000086 +:043740000000000085 +:043741000000000084 +:043742000000000083 +:043743000000000082 +:043744000000000081 +:043745000000000080 +:04374600000000007F +:04374700000000007E +:04374800000000007D +:04374900000000007C +:04374A00000000007B +:04374B00000000007A +:04374C000000000079 +:04374D000000000078 +:04374E000000000077 +:04374F000000000076 +:043750000000000075 +:043751000000000074 +:043752000000000073 +:043753000000000072 +:043754000000000071 +:043755000000000070 +:04375600000000006F +:04375700000000006E +:04375800000000006D +:04375900000000006C +:04375A00000000006B +:04375B00000000006A +:04375C000000000069 +:04375D000000000068 +:04375E000000000067 +:04375F000000000066 +:043760000000000065 +:043761000000000064 +:043762000000000063 +:043763000000000062 +:043764000000000061 +:043765000000000060 +:04376600000000005F +:04376700000000005E +:04376800000000005D +:04376900000000005C +:04376A00000000005B +:04376B00000000005A +:04376C000000000059 +:04376D000000000058 +:04376E000000000057 +:04376F000000000056 +:043770000000000055 +:043771000000000054 +:043772000000000053 +:043773000000000052 +:043774000000000051 +:043775000000000050 +:04377600000000004F +:04377700000000004E +:04377800000000004D +:04377900000000004C +:04377A00000000004B +:04377B00000000004A +:04377C000000000049 +:04377D000000000048 +:04377E000000000047 +:04377F000000000046 +:043780000000000045 +:043781000000000044 +:043782000000000043 +:043783000000000042 +:043784000000000041 +:043785000000000040 +:04378600000000003F +:04378700000000003E +:04378800000000003D +:04378900000000003C +:04378A00000000003B +:04378B00000000003A +:04378C000000000039 +:04378D000000000038 +:04378E000000000037 +:04378F000000000036 +:043790000000000035 +:043791000000000034 +:043792000000000033 +:043793000000000032 +:043794000000000031 +:043795000000000030 +:04379600000000002F +:04379700000000002E +:04379800000000002D +:04379900000000002C +:04379A00000000002B +:04379B00000000002A +:04379C000000000029 +:04379D000000000028 +:04379E000000000027 +:04379F000000000026 +:0437A0000000000025 +:0437A1000000000024 +:0437A2000000000023 +:0437A3000000000022 +:0437A4000000000021 +:0437A5000000000020 +:0437A600000000001F +:0437A700000000001E +:0437A800000000001D +:0437A900000000001C +:0437AA00000000001B +:0437AB00000000001A +:0437AC000000000019 +:0437AD000000000018 +:0437AE000000000017 +:0437AF000000000016 +:0437B0000000000015 +:0437B1000000000014 +:0437B2000000000013 +:0437B3000000000012 +:0437B4000000000011 +:0437B5000000000010 +:0437B600000000000F +:0437B700000000000E +:0437B800000000000D +:0437B900000000000C +:0437BA00000000000B +:0437BB00000000000A +:0437BC000000000009 +:0437BD000000000008 +:0437BE000000000007 +:0437BF000000000006 +:0437C0000000000005 +:0437C1000000000004 +:0437C2000000000003 +:0437C3000000000002 +:0437C4000000000001 +:0437C5000000000000 +:0437C60000000000FF +:0437C70000000000FE +:0437C80000000000FD +:0437C90000000000FC +:0437CA0000000000FB +:0437CB0000000000FA +:0437CC0000000000F9 +:0437CD0000000000F8 +:0437CE0000000000F7 +:0437CF0000000000F6 +:0437D00000000000F5 +:0437D10000000000F4 +:0437D20000000000F3 +:0437D30000000000F2 +:0437D40000000000F1 +:0437D50000000000F0 +:0437D60000000000EF +:0437D70000000000EE +:0437D80000000000ED +:0437D90000000000EC +:0437DA0000000000EB +:0437DB0000000000EA +:0437DC0000000000E9 +:0437DD0000000000E8 +:0437DE0000000000E7 +:0437DF0000000000E6 +:0437E00000000000E5 +:0437E10000000000E4 +:0437E20000000000E3 +:0437E30000000000E2 +:0437E40000000000E1 +:0437E50000000000E0 +:0437E60000000000DF +:0437E70000000000DE +:0437E80000000000DD +:0437E90000000000DC +:0437EA0000000000DB +:0437EB0000000000DA +:0437EC0000000000D9 +:0437ED0000000000D8 +:0437EE0000000000D7 +:0437EF0000000000D6 +:0437F00000000000D5 +:0437F10000000000D4 +:0437F20000000000D3 +:0437F30000000000D2 +:0437F40000000000D1 +:0437F50000000000D0 +:0437F60000000000CF +:0437F70000000000CE +:0437F80000000000CD +:0437F90000000000CC +:0437FA0000000000CB +:0437FB0000000000CA +:0437FC0000000000C9 +:0437FD0000000000C8 +:0437FE0000000000C7 +:0437FF0000000000C6 +:0438000000000000C4 +:0438010000000000C3 +:0438020000000000C2 +:0438030000000000C1 +:0438040000000000C0 +:0438050000000000BF +:0438060000000000BE +:0438070000000000BD +:0438080000000000BC +:0438090000000000BB +:04380A0000000000BA +:04380B0000000000B9 +:04380C0000000000B8 +:04380D0000000000B7 +:04380E0000000000B6 +:04380F0000000000B5 +:0438100000000000B4 +:0438110000000000B3 +:0438120000000000B2 +:0438130000000000B1 +:0438140000000000B0 +:0438150000000000AF +:0438160000000000AE +:0438170000000000AD +:0438180000000000AC +:0438190000000000AB +:04381A0000000000AA +:04381B0000000000A9 +:04381C0000000000A8 +:04381D0000000000A7 +:04381E0000000000A6 +:04381F0000000000A5 +:0438200000000000A4 +:0438210000000000A3 +:0438220000000000A2 +:0438230000000000A1 +:0438240000000000A0 +:04382500000000009F +:04382600000000009E +:04382700000000009D +:04382800000000009C +:04382900000000009B +:04382A00000000009A +:04382B000000000099 +:04382C000000000098 +:04382D000000000097 +:04382E000000000096 +:04382F000000000095 +:043830000000000094 +:043831000000000093 +:043832000000000092 +:043833000000000091 +:043834000000000090 +:04383500000000008F +:04383600000000008E +:04383700000000008D +:04383800000000008C +:04383900000000008B +:04383A00000000008A +:04383B000000000089 +:04383C000000000088 +:04383D000000000087 +:04383E000000000086 +:04383F000000000085 +:043840000000000084 +:043841000000000083 +:043842000000000082 +:043843000000000081 +:043844000000000080 +:04384500000000007F +:04384600000000007E +:04384700000000007D +:04384800000000007C +:04384900000000007B +:04384A00000000007A +:04384B000000000079 +:04384C000000000078 +:04384D000000000077 +:04384E000000000076 +:04384F000000000075 +:043850000000000074 +:043851000000000073 +:043852000000000072 +:043853000000000071 +:043854000000000070 +:04385500000000006F +:04385600000000006E +:04385700000000006D +:04385800000000006C +:04385900000000006B +:04385A00000000006A +:04385B000000000069 +:04385C000000000068 +:04385D000000000067 +:04385E000000000066 +:04385F000000000065 +:043860000000000064 +:043861000000000063 +:043862000000000062 +:043863000000000061 +:043864000000000060 +:04386500000000005F +:04386600000000005E +:04386700000000005D +:04386800000000005C +:04386900000000005B +:04386A00000000005A +:04386B000000000059 +:04386C000000000058 +:04386D000000000057 +:04386E000000000056 +:04386F000000000055 +:043870000000000054 +:043871000000000053 +:043872000000000052 +:043873000000000051 +:043874000000000050 +:04387500000000004F +:04387600000000004E +:04387700000000004D +:04387800000000004C +:04387900000000004B +:04387A00000000004A +:04387B000000000049 +:04387C000000000048 +:04387D000000000047 +:04387E000000000046 +:04387F000000000045 +:043880000000000044 +:043881000000000043 +:043882000000000042 +:043883000000000041 +:043884000000000040 +:04388500000000003F +:04388600000000003E +:04388700000000003D +:04388800000000003C +:04388900000000003B +:04388A00000000003A +:04388B000000000039 +:04388C000000000038 +:04388D000000000037 +:04388E000000000036 +:04388F000000000035 +:043890000000000034 +:043891000000000033 +:043892000000000032 +:043893000000000031 +:043894000000000030 +:04389500000000002F +:04389600000000002E +:04389700000000002D +:04389800000000002C +:04389900000000002B +:04389A00000000002A +:04389B000000000029 +:04389C000000000028 +:04389D000000000027 +:04389E000000000026 +:04389F000000000025 +:0438A0000000000024 +:0438A1000000000023 +:0438A2000000000022 +:0438A3000000000021 +:0438A4000000000020 +:0438A500000000001F +:0438A600000000001E +:0438A700000000001D +:0438A800000000001C +:0438A900000000001B +:0438AA00000000001A +:0438AB000000000019 +:0438AC000000000018 +:0438AD000000000017 +:0438AE000000000016 +:0438AF000000000015 +:0438B0000000000014 +:0438B1000000000013 +:0438B2000000000012 +:0438B3000000000011 +:0438B4000000000010 +:0438B500000000000F +:0438B600000000000E +:0438B700000000000D +:0438B800000000000C +:0438B900000000000B +:0438BA00000000000A +:0438BB000000000009 +:0438BC000000000008 +:0438BD000000000007 +:0438BE000000000006 +:0438BF000000000005 +:0438C0000000000004 +:0438C1000000000003 +:0438C2000000000002 +:0438C3000000000001 +:0438C4000000000000 +:0438C50000000000FF +:0438C60000000000FE +:0438C70000000000FD +:0438C80000000000FC +:0438C90000000000FB +:0438CA0000000000FA +:0438CB0000000000F9 +:0438CC0000000000F8 +:0438CD0000000000F7 +:0438CE0000000000F6 +:0438CF0000000000F5 +:0438D00000000000F4 +:0438D10000000000F3 +:0438D20000000000F2 +:0438D30000000000F1 +:0438D40000000000F0 +:0438D50000000000EF +:0438D60000000000EE +:0438D70000000000ED +:0438D80000000000EC +:0438D90000000000EB +:0438DA0000000000EA +:0438DB0000000000E9 +:0438DC0000000000E8 +:0438DD0000000000E7 +:0438DE0000000000E6 +:0438DF0000000000E5 +:0438E00000000000E4 +:0438E10000000000E3 +:0438E20000000000E2 +:0438E30000000000E1 +:0438E40000000000E0 +:0438E50000000000DF +:0438E60000000000DE +:0438E70000000000DD +:0438E80000000000DC +:0438E90000000000DB +:0438EA0000000000DA +:0438EB0000000000D9 +:0438EC0000000000D8 +:0438ED0000000000D7 +:0438EE0000000000D6 +:0438EF0000000000D5 +:0438F00000000000D4 +:0438F10000000000D3 +:0438F20000000000D2 +:0438F30000000000D1 +:0438F40000000000D0 +:0438F50000000000CF +:0438F60000000000CE +:0438F70000000000CD +:0438F80000000000CC +:0438F90000000000CB +:0438FA0000000000CA +:0438FB0000000000C9 +:0438FC0000000000C8 +:0438FD0000000000C7 +:0438FE0000000000C6 +:0438FF0000000000C5 +:0439000000000000C3 +:0439010000000000C2 +:0439020000000000C1 +:0439030000000000C0 +:0439040000000000BF +:0439050000000000BE +:0439060000000000BD +:0439070000000000BC +:0439080000000000BB +:0439090000000000BA +:04390A0000000000B9 +:04390B0000000000B8 +:04390C0000000000B7 +:04390D0000000000B6 +:04390E0000000000B5 +:04390F0000000000B4 +:0439100000000000B3 +:0439110000000000B2 +:0439120000000000B1 +:0439130000000000B0 +:0439140000000000AF +:0439150000000000AE +:0439160000000000AD +:0439170000000000AC +:0439180000000000AB +:0439190000000000AA +:04391A0000000000A9 +:04391B0000000000A8 +:04391C0000000000A7 +:04391D0000000000A6 +:04391E0000000000A5 +:04391F0000000000A4 +:0439200000000000A3 +:0439210000000000A2 +:0439220000000000A1 +:0439230000000000A0 +:04392400000000009F +:04392500000000009E +:04392600000000009D +:04392700000000009C +:04392800000000009B +:04392900000000009A +:04392A000000000099 +:04392B000000000098 +:04392C000000000097 +:04392D000000000096 +:04392E000000000095 +:04392F000000000094 +:043930000000000093 +:043931000000000092 +:043932000000000091 +:043933000000000090 +:04393400000000008F +:04393500000000008E +:04393600000000008D +:04393700000000008C +:04393800000000008B +:04393900000000008A +:04393A000000000089 +:04393B000000000088 +:04393C000000000087 +:04393D000000000086 +:04393E000000000085 +:04393F000000000084 +:043940000000000083 +:043941000000000082 +:043942000000000081 +:043943000000000080 +:04394400000000007F +:04394500000000007E +:04394600000000007D +:04394700000000007C +:04394800000000007B +:04394900000000007A +:04394A000000000079 +:04394B000000000078 +:04394C000000000077 +:04394D000000000076 +:04394E000000000075 +:04394F000000000074 +:043950000000000073 +:043951000000000072 +:043952000000000071 +:043953000000000070 +:04395400000000006F +:04395500000000006E +:04395600000000006D +:04395700000000006C +:04395800000000006B +:04395900000000006A +:04395A000000000069 +:04395B000000000068 +:04395C000000000067 +:04395D000000000066 +:04395E000000000065 +:04395F000000000064 +:043960000000000063 +:043961000000000062 +:043962000000000061 +:043963000000000060 +:04396400000000005F +:04396500000000005E +:04396600000000005D +:04396700000000005C +:04396800000000005B +:04396900000000005A +:04396A000000000059 +:04396B000000000058 +:04396C000000000057 +:04396D000000000056 +:04396E000000000055 +:04396F000000000054 +:043970000000000053 +:043971000000000052 +:043972000000000051 +:043973000000000050 +:04397400000000004F +:04397500000000004E +:04397600000000004D +:04397700000000004C +:04397800000000004B +:04397900000000004A +:04397A000000000049 +:04397B000000000048 +:04397C000000000047 +:04397D000000000046 +:04397E000000000045 +:04397F000000000044 +:043980000000000043 +:043981000000000042 +:043982000000000041 +:043983000000000040 +:04398400000000003F +:04398500000000003E +:04398600000000003D +:04398700000000003C +:04398800000000003B +:04398900000000003A +:04398A000000000039 +:04398B000000000038 +:04398C000000000037 +:04398D000000000036 +:04398E000000000035 +:04398F000000000034 +:043990000000000033 +:043991000000000032 +:043992000000000031 +:043993000000000030 +:04399400000000002F +:04399500000000002E +:04399600000000002D +:04399700000000002C +:04399800000000002B +:04399900000000002A +:04399A000000000029 +:04399B000000000028 +:04399C000000000027 +:04399D000000000026 +:04399E000000000025 +:04399F000000000024 +:0439A0000000000023 +:0439A1000000000022 +:0439A2000000000021 +:0439A3000000000020 +:0439A400000000001F +:0439A500000000001E +:0439A600000000001D +:0439A700000000001C +:0439A800000000001B +:0439A900000000001A +:0439AA000000000019 +:0439AB000000000018 +:0439AC000000000017 +:0439AD000000000016 +:0439AE000000000015 +:0439AF000000000014 +:0439B0000000000013 +:0439B1000000000012 +:0439B2000000000011 +:0439B3000000000010 +:0439B400000000000F +:0439B500000000000E +:0439B600000000000D +:0439B700000000000C +:0439B800000000000B +:0439B900000000000A +:0439BA000000000009 +:0439BB000000000008 +:0439BC000000000007 +:0439BD000000000006 +:0439BE000000000005 +:0439BF000000000004 +:0439C0000000000003 +:0439C1000000000002 +:0439C2000000000001 +:0439C3000000000000 +:0439C40000000000FF +:0439C50000000000FE +:0439C60000000000FD +:0439C70000000000FC +:0439C80000000000FB +:0439C90000000000FA +:0439CA0000000000F9 +:0439CB0000000000F8 +:0439CC0000000000F7 +:0439CD0000000000F6 +:0439CE0000000000F5 +:0439CF0000000000F4 +:0439D00000000000F3 +:0439D10000000000F2 +:0439D20000000000F1 +:0439D30000000000F0 +:0439D40000000000EF +:0439D50000000000EE +:0439D60000000000ED +:0439D70000000000EC +:0439D80000000000EB +:0439D90000000000EA +:0439DA0000000000E9 +:0439DB0000000000E8 +:0439DC0000000000E7 +:0439DD0000000000E6 +:0439DE0000000000E5 +:0439DF0000000000E4 +:0439E00000000000E3 +:0439E10000000000E2 +:0439E20000000000E1 +:0439E30000000000E0 +:0439E40000000000DF +:0439E50000000000DE +:0439E60000000000DD +:0439E70000000000DC +:0439E80000000000DB +:0439E90000000000DA +:0439EA0000000000D9 +:0439EB0000000000D8 +:0439EC0000000000D7 +:0439ED0000000000D6 +:0439EE0000000000D5 +:0439EF0000000000D4 +:0439F00000000000D3 +:0439F10000000000D2 +:0439F20000000000D1 +:0439F30000000000D0 +:0439F40000000000CF +:0439F50000000000CE +:0439F60000000000CD +:0439F70000000000CC +:0439F80000000000CB +:0439F90000000000CA +:0439FA0000000000C9 +:0439FB0000000000C8 +:0439FC0000000000C7 +:0439FD0000000000C6 +:0439FE0000000000C5 +:0439FF0000000000C4 +:043A000000000000C2 +:043A010000000000C1 +:043A020000000000C0 +:043A030000000000BF +:043A040000000000BE +:043A050000000000BD +:043A060000000000BC +:043A070000000000BB +:043A080000000000BA +:043A090000000000B9 +:043A0A0000000000B8 +:043A0B0000000000B7 +:043A0C0000000000B6 +:043A0D0000000000B5 +:043A0E0000000000B4 +:043A0F0000000000B3 +:043A100000000000B2 +:043A110000000000B1 +:043A120000000000B0 +:043A130000000000AF +:043A140000000000AE +:043A150000000000AD +:043A160000000000AC +:043A170000000000AB +:043A180000000000AA +:043A190000000000A9 +:043A1A0000000000A8 +:043A1B0000000000A7 +:043A1C0000000000A6 +:043A1D0000000000A5 +:043A1E0000000000A4 +:043A1F0000000000A3 +:043A200000000000A2 +:043A210000000000A1 +:043A220000000000A0 +:043A2300000000009F +:043A2400000000009E +:043A2500000000009D +:043A2600000000009C +:043A2700000000009B +:043A2800000000009A +:043A29000000000099 +:043A2A000000000098 +:043A2B000000000097 +:043A2C000000000096 +:043A2D000000000095 +:043A2E000000000094 +:043A2F000000000093 +:043A30000000000092 +:043A31000000000091 +:043A32000000000090 +:043A3300000000008F +:043A3400000000008E +:043A3500000000008D +:043A3600000000008C +:043A3700000000008B +:043A3800000000008A +:043A39000000000089 +:043A3A000000000088 +:043A3B000000000087 +:043A3C000000000086 +:043A3D000000000085 +:043A3E000000000084 +:043A3F000000000083 +:043A40000000000082 +:043A41000000000081 +:043A42000000000080 +:043A4300000000007F +:043A4400000000007E +:043A4500000000007D +:043A4600000000007C +:043A4700000000007B +:043A4800000000007A +:043A49000000000079 +:043A4A000000000078 +:043A4B000000000077 +:043A4C000000000076 +:043A4D000000000075 +:043A4E000000000074 +:043A4F000000000073 +:043A50000000000072 +:043A51000000000071 +:043A52000000000070 +:043A5300000000006F +:043A5400000000006E +:043A5500000000006D +:043A5600000000006C +:043A5700000000006B +:043A5800000000006A +:043A59000000000069 +:043A5A000000000068 +:043A5B000000000067 +:043A5C000000000066 +:043A5D000000000065 +:043A5E000000000064 +:043A5F000000000063 +:043A60000000000062 +:043A61000000000061 +:043A62000000000060 +:043A6300000000005F +:043A6400000000005E +:043A6500000000005D +:043A6600000000005C +:043A6700000000005B +:043A6800000000005A +:043A69000000000059 +:043A6A000000000058 +:043A6B000000000057 +:043A6C000000000056 +:043A6D000000000055 +:043A6E000000000054 +:043A6F000000000053 +:043A70000000000052 +:043A71000000000051 +:043A72000000000050 +:043A7300000000004F +:043A7400000000004E +:043A7500000000004D +:043A7600000000004C +:043A7700000000004B +:043A7800000000004A +:043A79000000000049 +:043A7A000000000048 +:043A7B000000000047 +:043A7C000000000046 +:043A7D000000000045 +:043A7E000000000044 +:043A7F000000000043 +:043A80000000000042 +:043A81000000000041 +:043A82000000000040 +:043A8300000000003F +:043A8400000000003E +:043A8500000000003D +:043A8600000000003C +:043A8700000000003B +:043A8800000000003A +:043A89000000000039 +:043A8A000000000038 +:043A8B000000000037 +:043A8C000000000036 +:043A8D000000000035 +:043A8E000000000034 +:043A8F000000000033 +:043A90000000000032 +:043A91000000000031 +:043A92000000000030 +:043A9300000000002F +:043A9400000000002E +:043A9500000000002D +:043A9600000000002C +:043A9700000000002B +:043A9800000000002A +:043A99000000000029 +:043A9A000000000028 +:043A9B000000000027 +:043A9C000000000026 +:043A9D000000000025 +:043A9E000000000024 +:043A9F000000000023 +:043AA0000000000022 +:043AA1000000000021 +:043AA2000000000020 +:043AA300000000001F +:043AA400000000001E +:043AA500000000001D +:043AA600000000001C +:043AA700000000001B +:043AA800000000001A +:043AA9000000000019 +:043AAA000000000018 +:043AAB000000000017 +:043AAC000000000016 +:043AAD000000000015 +:043AAE000000000014 +:043AAF000000000013 +:043AB0000000000012 +:043AB1000000000011 +:043AB2000000000010 +:043AB300000000000F +:043AB400000000000E +:043AB500000000000D +:043AB600000000000C +:043AB700000000000B +:043AB800000000000A +:043AB9000000000009 +:043ABA000000000008 +:043ABB000000000007 +:043ABC000000000006 +:043ABD000000000005 +:043ABE000000000004 +:043ABF000000000003 +:043AC0000000000002 +:043AC1000000000001 +:043AC2000000000000 +:043AC30000000000FF +:043AC40000000000FE +:043AC50000000000FD +:043AC60000000000FC +:043AC70000000000FB +:043AC80000000000FA +:043AC90000000000F9 +:043ACA0000000000F8 +:043ACB0000000000F7 +:043ACC0000000000F6 +:043ACD0000000000F5 +:043ACE0000000000F4 +:043ACF0000000000F3 +:043AD00000000000F2 +:043AD10000000000F1 +:043AD20000000000F0 +:043AD30000000000EF +:043AD40000000000EE +:043AD50000000000ED +:043AD60000000000EC +:043AD70000000000EB +:043AD80000000000EA +:043AD90000000000E9 +:043ADA0000000000E8 +:043ADB0000000000E7 +:043ADC0000000000E6 +:043ADD0000000000E5 +:043ADE0000000000E4 +:043ADF0000000000E3 +:043AE00000000000E2 +:043AE10000000000E1 +:043AE20000000000E0 +:043AE30000000000DF +:043AE40000000000DE +:043AE50000000000DD +:043AE60000000000DC +:043AE70000000000DB +:043AE80000000000DA +:043AE90000000000D9 +:043AEA0000000000D8 +:043AEB0000000000D7 +:043AEC0000000000D6 +:043AED0000000000D5 +:043AEE0000000000D4 +:043AEF0000000000D3 +:043AF00000000000D2 +:043AF10000000000D1 +:043AF20000000000D0 +:043AF30000000000CF +:043AF40000000000CE +:043AF50000000000CD +:043AF60000000000CC +:043AF70000000000CB +:043AF80000000000CA +:043AF90000000000C9 +:043AFA0000000000C8 +:043AFB0000000000C7 +:043AFC0000000000C6 +:043AFD0000000000C5 +:043AFE0000000000C4 +:043AFF0000000000C3 +:043B000000000000C1 +:043B010000000000C0 +:043B020000000000BF +:043B030000000000BE +:043B040000000000BD +:043B050000000000BC +:043B060000000000BB +:043B070000000000BA +:043B080000000000B9 +:043B090000000000B8 +:043B0A0000000000B7 +:043B0B0000000000B6 +:043B0C0000000000B5 +:043B0D0000000000B4 +:043B0E0000000000B3 +:043B0F0000000000B2 +:043B100000000000B1 +:043B110000000000B0 +:043B120000000000AF +:043B130000000000AE +:043B140000000000AD +:043B150000000000AC +:043B160000000000AB +:043B170000000000AA +:043B180000000000A9 +:043B190000000000A8 +:043B1A0000000000A7 +:043B1B0000000000A6 +:043B1C0000000000A5 +:043B1D0000000000A4 +:043B1E0000000000A3 +:043B1F0000000000A2 +:043B200000000000A1 +:043B210000000000A0 +:043B2200000000009F +:043B2300000000009E +:043B2400000000009D +:043B2500000000009C +:043B2600000000009B +:043B2700000000009A +:043B28000000000099 +:043B29000000000098 +:043B2A000000000097 +:043B2B000000000096 +:043B2C000000000095 +:043B2D000000000094 +:043B2E000000000093 +:043B2F000000000092 +:043B30000000000091 +:043B31000000000090 +:043B3200000000008F +:043B3300000000008E +:043B3400000000008D +:043B3500000000008C +:043B3600000000008B +:043B3700000000008A +:043B38000000000089 +:043B39000000000088 +:043B3A000000000087 +:043B3B000000000086 +:043B3C000000000085 +:043B3D000000000084 +:043B3E000000000083 +:043B3F000000000082 +:043B40000000000081 +:043B41000000000080 +:043B4200000000007F +:043B4300000000007E +:043B4400000000007D +:043B4500000000007C +:043B4600000000007B +:043B4700000000007A +:043B48000000000079 +:043B49000000000078 +:043B4A000000000077 +:043B4B000000000076 +:043B4C000000000075 +:043B4D000000000074 +:043B4E000000000073 +:043B4F000000000072 +:043B50000000000071 +:043B51000000000070 +:043B5200000000006F +:043B5300000000006E +:043B5400000000006D +:043B5500000000006C +:043B5600000000006B +:043B5700000000006A +:043B58000000000069 +:043B59000000000068 +:043B5A000000000067 +:043B5B000000000066 +:043B5C000000000065 +:043B5D000000000064 +:043B5E000000000063 +:043B5F000000000062 +:043B60000000000061 +:043B61000000000060 +:043B6200000000005F +:043B6300000000005E +:043B6400000000005D +:043B6500000000005C +:043B6600000000005B +:043B6700000000005A +:043B68000000000059 +:043B69000000000058 +:043B6A000000000057 +:043B6B000000000056 +:043B6C000000000055 +:043B6D000000000054 +:043B6E000000000053 +:043B6F000000000052 +:043B70000000000051 +:043B71000000000050 +:043B7200000000004F +:043B7300000000004E +:043B7400000000004D +:043B7500000000004C +:043B7600000000004B +:043B7700000000004A +:043B78000000000049 +:043B79000000000048 +:043B7A000000000047 +:043B7B000000000046 +:043B7C000000000045 +:043B7D000000000044 +:043B7E000000000043 +:043B7F000000000042 +:043B80000000000041 +:043B81000000000040 +:043B8200000000003F +:043B8300000000003E +:043B8400000000003D +:043B8500000000003C +:043B8600000000003B +:043B8700000000003A +:043B88000000000039 +:043B89000000000038 +:043B8A000000000037 +:043B8B000000000036 +:043B8C000000000035 +:043B8D000000000034 +:043B8E000000000033 +:043B8F000000000032 +:043B90000000000031 +:043B91000000000030 +:043B9200000000002F +:043B9300000000002E +:043B9400000000002D +:043B9500000000002C +:043B9600000000002B +:043B9700000000002A +:043B98000000000029 +:043B99000000000028 +:043B9A000000000027 +:043B9B000000000026 +:043B9C000000000025 +:043B9D000000000024 +:043B9E000000000023 +:043B9F000000000022 +:043BA0000000000021 +:043BA1000000000020 +:043BA200000000001F +:043BA300000000001E +:043BA400000000001D +:043BA500000000001C +:043BA600000000001B +:043BA700000000001A +:043BA8000000000019 +:043BA9000000000018 +:043BAA000000000017 +:043BAB000000000016 +:043BAC000000000015 +:043BAD000000000014 +:043BAE000000000013 +:043BAF000000000012 +:043BB0000000000011 +:043BB1000000000010 +:043BB200000000000F +:043BB300000000000E +:043BB400000000000D +:043BB500000000000C +:043BB600000000000B +:043BB700000000000A +:043BB8000000000009 +:043BB9000000000008 +:043BBA000000000007 +:043BBB000000000006 +:043BBC000000000005 +:043BBD000000000004 +:043BBE000000000003 +:043BBF000000000002 +:043BC0000000000001 +:043BC1000000000000 +:043BC20000000000FF +:043BC30000000000FE +:043BC40000000000FD +:043BC50000000000FC +:043BC60000000000FB +:043BC70000000000FA +:043BC80000000000F9 +:043BC90000000000F8 +:043BCA0000000000F7 +:043BCB0000000000F6 +:043BCC0000000000F5 +:043BCD0000000000F4 +:043BCE0000000000F3 +:043BCF0000000000F2 +:043BD00000000000F1 +:043BD10000000000F0 +:043BD20000000000EF +:043BD30000000000EE +:043BD40000000000ED +:043BD50000000000EC +:043BD60000000000EB +:043BD70000000000EA +:043BD80000000000E9 +:043BD90000000000E8 +:043BDA0000000000E7 +:043BDB0000000000E6 +:043BDC0000000000E5 +:043BDD0000000000E4 +:043BDE0000000000E3 +:043BDF0000000000E2 +:043BE00000000000E1 +:043BE10000000000E0 +:043BE20000000000DF +:043BE30000000000DE +:043BE40000000000DD +:043BE50000000000DC +:043BE60000000000DB +:043BE70000000000DA +:043BE80000000000D9 +:043BE90000000000D8 +:043BEA0000000000D7 +:043BEB0000000000D6 +:043BEC0000000000D5 +:043BED0000000000D4 +:043BEE0000000000D3 +:043BEF0000000000D2 +:043BF00000000000D1 +:043BF10000000000D0 +:043BF20000000000CF +:043BF30000000000CE +:043BF40000000000CD +:043BF50000000000CC +:043BF60000000000CB +:043BF70000000000CA +:043BF80000000000C9 +:043BF90000000000C8 +:043BFA0000000000C7 +:043BFB0000000000C6 +:043BFC0000000000C5 +:043BFD0000000000C4 +:043BFE0000000000C3 +:043BFF0000000000C2 +:043C000000000000C0 +:043C010000000000BF +:043C020000000000BE +:043C030000000000BD +:043C040000000000BC +:043C050000000000BB +:043C060000000000BA +:043C070000000000B9 +:043C080000000000B8 +:043C090000000000B7 +:043C0A0000000000B6 +:043C0B0000000000B5 +:043C0C0000000000B4 +:043C0D0000000000B3 +:043C0E0000000000B2 +:043C0F0000000000B1 +:043C100000000000B0 +:043C110000000000AF +:043C120000000000AE +:043C130000000000AD +:043C140000000000AC +:043C150000000000AB +:043C160000000000AA +:043C170000000000A9 +:043C180000000000A8 +:043C190000000000A7 +:043C1A0000000000A6 +:043C1B0000000000A5 +:043C1C0000000000A4 +:043C1D0000000000A3 +:043C1E0000000000A2 +:043C1F0000000000A1 +:043C200000000000A0 +:043C2100000000009F +:043C2200000000009E +:043C2300000000009D +:043C2400000000009C +:043C2500000000009B +:043C2600000000009A +:043C27000000000099 +:043C28000000000098 +:043C29000000000097 +:043C2A000000000096 +:043C2B000000000095 +:043C2C000000000094 +:043C2D000000000093 +:043C2E000000000092 +:043C2F000000000091 +:043C30000000000090 +:043C3100000000008F +:043C3200000000008E +:043C3300000000008D +:043C3400000000008C +:043C3500000000008B +:043C3600000000008A +:043C37000000000089 +:043C38000000000088 +:043C39000000000087 +:043C3A000000000086 +:043C3B000000000085 +:043C3C000000000084 +:043C3D000000000083 +:043C3E000000000082 +:043C3F000000000081 +:043C40000000000080 +:043C4100000000007F +:043C4200000000007E +:043C4300000000007D +:043C4400000000007C +:043C4500000000007B +:043C4600000000007A +:043C47000000000079 +:043C48000000000078 +:043C49000000000077 +:043C4A000000000076 +:043C4B000000000075 +:043C4C000000000074 +:043C4D000000000073 +:043C4E000000000072 +:043C4F000000000071 +:043C50000000000070 +:043C5100000000006F +:043C5200000000006E +:043C5300000000006D +:043C5400000000006C +:043C5500000000006B +:043C5600000000006A +:043C57000000000069 +:043C58000000000068 +:043C59000000000067 +:043C5A000000000066 +:043C5B000000000065 +:043C5C000000000064 +:043C5D000000000063 +:043C5E000000000062 +:043C5F000000000061 +:043C60000000000060 +:043C6100000000005F +:043C6200000000005E +:043C6300000000005D +:043C6400000000005C +:043C6500000000005B +:043C6600000000005A +:043C67000000000059 +:043C68000000000058 +:043C69000000000057 +:043C6A000000000056 +:043C6B000000000055 +:043C6C000000000054 +:043C6D000000000053 +:043C6E000000000052 +:043C6F000000000051 +:043C70000000000050 +:043C7100000000004F +:043C7200000000004E +:043C7300000000004D +:043C7400000000004C +:043C7500000000004B +:043C7600000000004A +:043C77000000000049 +:043C78000000000048 +:043C79000000000047 +:043C7A000000000046 +:043C7B000000000045 +:043C7C000000000044 +:043C7D000000000043 +:043C7E000000000042 +:043C7F000000000041 +:043C80000000000040 +:043C8100000000003F +:043C8200000000003E +:043C8300000000003D +:043C8400000000003C +:043C8500000000003B +:043C8600000000003A +:043C87000000000039 +:043C88000000000038 +:043C89000000000037 +:043C8A000000000036 +:043C8B000000000035 +:043C8C000000000034 +:043C8D000000000033 +:043C8E000000000032 +:043C8F000000000031 +:043C90000000000030 +:043C9100000000002F +:043C9200000000002E +:043C9300000000002D +:043C9400000000002C +:043C9500000000002B +:043C9600000000002A +:043C97000000000029 +:043C98000000000028 +:043C99000000000027 +:043C9A000000000026 +:043C9B000000000025 +:043C9C000000000024 +:043C9D000000000023 +:043C9E000000000022 +:043C9F000000000021 +:043CA0000000000020 +:043CA100000000001F +:043CA200000000001E +:043CA300000000001D +:043CA400000000001C +:043CA500000000001B +:043CA600000000001A +:043CA7000000000019 +:043CA8000000000018 +:043CA9000000000017 +:043CAA000000000016 +:043CAB000000000015 +:043CAC000000000014 +:043CAD000000000013 +:043CAE000000000012 +:043CAF000000000011 +:043CB0000000000010 +:043CB100000000000F +:043CB200000000000E +:043CB300000000000D +:043CB400000000000C +:043CB500000000000B +:043CB600000000000A +:043CB7000000000009 +:043CB8000000000008 +:043CB9000000000007 +:043CBA000000000006 +:043CBB000000000005 +:043CBC000000000004 +:043CBD000000000003 +:043CBE000000000002 +:043CBF000000000001 +:043CC0000000000000 +:043CC10000000000FF +:043CC20000000000FE +:043CC30000000000FD +:043CC40000000000FC +:043CC50000000000FB +:043CC60000000000FA +:043CC70000000000F9 +:043CC80000000000F8 +:043CC90000000000F7 +:043CCA0000000000F6 +:043CCB0000000000F5 +:043CCC0000000000F4 +:043CCD0000000000F3 +:043CCE0000000000F2 +:043CCF0000000000F1 +:043CD00000000000F0 +:043CD10000000000EF +:043CD20000000000EE +:043CD30000000000ED +:043CD40000000000EC +:043CD50000000000EB +:043CD60000000000EA +:043CD70000000000E9 +:043CD80000000000E8 +:043CD90000000000E7 +:043CDA0000000000E6 +:043CDB0000000000E5 +:043CDC0000000000E4 +:043CDD0000000000E3 +:043CDE0000000000E2 +:043CDF0000000000E1 +:043CE00000000000E0 +:043CE10000000000DF +:043CE20000000000DE +:043CE30000000000DD +:043CE40000000000DC +:043CE50000000000DB +:043CE60000000000DA +:043CE70000000000D9 +:043CE80000000000D8 +:043CE90000000000D7 +:043CEA0000000000D6 +:043CEB0000000000D5 +:043CEC0000000000D4 +:043CED0000000000D3 +:043CEE0000000000D2 +:043CEF0000000000D1 +:043CF00000000000D0 +:043CF10000000000CF +:043CF20000000000CE +:043CF30000000000CD +:043CF40000000000CC +:043CF50000000000CB +:043CF60000000000CA +:043CF70000000000C9 +:043CF80000000000C8 +:043CF90000000000C7 +:043CFA0000000000C6 +:043CFB0000000000C5 +:043CFC0000000000C4 +:043CFD0000000000C3 +:043CFE0000000000C2 +:043CFF0000000000C1 +:043D000000000000BF +:043D010000000000BE +:043D020000000000BD +:043D030000000000BC +:043D040000000000BB +:043D050000000000BA +:043D060000000000B9 +:043D070000000000B8 +:043D080000000000B7 +:043D090000000000B6 +:043D0A0000000000B5 +:043D0B0000000000B4 +:043D0C0000000000B3 +:043D0D0000000000B2 +:043D0E0000000000B1 +:043D0F0000000000B0 +:043D100000000000AF +:043D110000000000AE +:043D120000000000AD +:043D130000000000AC +:043D140000000000AB +:043D150000000000AA +:043D160000000000A9 +:043D170000000000A8 +:043D180000000000A7 +:043D190000000000A6 +:043D1A0000000000A5 +:043D1B0000000000A4 +:043D1C0000000000A3 +:043D1D0000000000A2 +:043D1E0000000000A1 +:043D1F0000000000A0 +:043D2000000000009F +:043D2100000000009E +:043D2200000000009D +:043D2300000000009C +:043D2400000000009B +:043D2500000000009A +:043D26000000000099 +:043D27000000000098 +:043D28000000000097 +:043D29000000000096 +:043D2A000000000095 +:043D2B000000000094 +:043D2C000000000093 +:043D2D000000000092 +:043D2E000000000091 +:043D2F000000000090 +:043D3000000000008F +:043D3100000000008E +:043D3200000000008D +:043D3300000000008C +:043D3400000000008B +:043D3500000000008A +:043D36000000000089 +:043D37000000000088 +:043D38000000000087 +:043D39000000000086 +:043D3A000000000085 +:043D3B000000000084 +:043D3C000000000083 +:043D3D000000000082 +:043D3E000000000081 +:043D3F000000000080 +:043D4000000000007F +:043D4100000000007E +:043D4200000000007D +:043D4300000000007C +:043D4400000000007B +:043D4500000000007A +:043D46000000000079 +:043D47000000000078 +:043D48000000000077 +:043D49000000000076 +:043D4A000000000075 +:043D4B000000000074 +:043D4C000000000073 +:043D4D000000000072 +:043D4E000000000071 +:043D4F000000000070 +:043D5000000000006F +:043D5100000000006E +:043D5200000000006D +:043D5300000000006C +:043D5400000000006B +:043D5500000000006A +:043D56000000000069 +:043D57000000000068 +:043D58000000000067 +:043D59000000000066 +:043D5A000000000065 +:043D5B000000000064 +:043D5C000000000063 +:043D5D000000000062 +:043D5E000000000061 +:043D5F000000000060 +:043D6000000000005F +:043D6100000000005E +:043D6200000000005D +:043D6300000000005C +:043D6400000000005B +:043D6500000000005A +:043D66000000000059 +:043D67000000000058 +:043D68000000000057 +:043D69000000000056 +:043D6A000000000055 +:043D6B000000000054 +:043D6C000000000053 +:043D6D000000000052 +:043D6E000000000051 +:043D6F000000000050 +:043D7000000000004F +:043D7100000000004E +:043D7200000000004D +:043D7300000000004C +:043D7400000000004B +:043D7500000000004A +:043D76000000000049 +:043D77000000000048 +:043D78000000000047 +:043D79000000000046 +:043D7A000000000045 +:043D7B000000000044 +:043D7C000000000043 +:043D7D000000000042 +:043D7E000000000041 +:043D7F000000000040 +:043D8000000000003F +:043D8100000000003E +:043D8200000000003D +:043D8300000000003C +:043D8400000000003B +:043D8500000000003A +:043D86000000000039 +:043D87000000000038 +:043D88000000000037 +:043D89000000000036 +:043D8A000000000035 +:043D8B000000000034 +:043D8C000000000033 +:043D8D000000000032 +:043D8E000000000031 +:043D8F000000000030 +:043D9000000000002F +:043D9100000000002E +:043D9200000000002D +:043D9300000000002C +:043D9400000000002B +:043D9500000000002A +:043D96000000000029 +:043D97000000000028 +:043D98000000000027 +:043D99000000000026 +:043D9A000000000025 +:043D9B000000000024 +:043D9C000000000023 +:043D9D000000000022 +:043D9E000000000021 +:043D9F000000000020 +:043DA000000000001F +:043DA100000000001E +:043DA200000000001D +:043DA300000000001C +:043DA400000000001B +:043DA500000000001A +:043DA6000000000019 +:043DA7000000000018 +:043DA8000000000017 +:043DA9000000000016 +:043DAA000000000015 +:043DAB000000000014 +:043DAC000000000013 +:043DAD000000000012 +:043DAE000000000011 +:043DAF000000000010 +:043DB000000000000F +:043DB100000000000E +:043DB200000000000D +:043DB300000000000C +:043DB400000000000B +:043DB500000000000A +:043DB6000000000009 +:043DB7000000000008 +:043DB8000000000007 +:043DB9000000000006 +:043DBA000000000005 +:043DBB000000000004 +:043DBC000000000003 +:043DBD000000000002 +:043DBE000000000001 +:043DBF000000000000 +:043DC00000000000FF +:043DC10000000000FE +:043DC20000000000FD +:043DC30000000000FC +:043DC40000000000FB +:043DC50000000000FA +:043DC60000000000F9 +:043DC70000000000F8 +:043DC80000000000F7 +:043DC90000000000F6 +:043DCA0000000000F5 +:043DCB0000000000F4 +:043DCC0000000000F3 +:043DCD0000000000F2 +:043DCE0000000000F1 +:043DCF0000000000F0 +:043DD00000000000EF +:043DD10000000000EE +:043DD20000000000ED +:043DD30000000000EC +:043DD40000000000EB +:043DD50000000000EA +:043DD60000000000E9 +:043DD70000000000E8 +:043DD80000000000E7 +:043DD90000000000E6 +:043DDA0000000000E5 +:043DDB0000000000E4 +:043DDC0000000000E3 +:043DDD0000000000E2 +:043DDE0000000000E1 +:043DDF0000000000E0 +:043DE00000000000DF +:043DE10000000000DE +:043DE20000000000DD +:043DE30000000000DC +:043DE40000000000DB +:043DE50000000000DA +:043DE60000000000D9 +:043DE70000000000D8 +:043DE80000000000D7 +:043DE90000000000D6 +:043DEA0000000000D5 +:043DEB0000000000D4 +:043DEC0000000000D3 +:043DED0000000000D2 +:043DEE0000000000D1 +:043DEF0000000000D0 +:043DF00000000000CF +:043DF10000000000CE +:043DF20000000000CD +:043DF30000000000CC +:043DF40000000000CB +:043DF50000000000CA +:043DF60000000000C9 +:043DF70000000000C8 +:043DF80000000000C7 +:043DF90000000000C6 +:043DFA0000000000C5 +:043DFB0000000000C4 +:043DFC0000000000C3 +:043DFD0000000000C2 +:043DFE0000000000C1 +:043DFF0000000000C0 +:043E000000000000BE +:043E010000000000BD +:043E020000000000BC +:043E030000000000BB +:043E040000000000BA +:043E050000000000B9 +:043E060000000000B8 +:043E070000000000B7 +:043E080000000000B6 +:043E090000000000B5 +:043E0A0000000000B4 +:043E0B0000000000B3 +:043E0C0000000000B2 +:043E0D0000000000B1 +:043E0E0000000000B0 +:043E0F0000000000AF +:043E100000000000AE +:043E110000000000AD +:043E120000000000AC +:043E130000000000AB +:043E140000000000AA +:043E150000000000A9 +:043E160000000000A8 +:043E170000000000A7 +:043E180000000000A6 +:043E190000000000A5 +:043E1A0000000000A4 +:043E1B0000000000A3 +:043E1C0000000000A2 +:043E1D0000000000A1 +:043E1E0000000000A0 +:043E1F00000000009F +:043E2000000000009E +:043E2100000000009D +:043E2200000000009C +:043E2300000000009B +:043E2400000000009A +:043E25000000000099 +:043E26000000000098 +:043E27000000000097 +:043E28000000000096 +:043E29000000000095 +:043E2A000000000094 +:043E2B000000000093 +:043E2C000000000092 +:043E2D000000000091 +:043E2E000000000090 +:043E2F00000000008F +:043E3000000000008E +:043E3100000000008D +:043E3200000000008C +:043E3300000000008B +:043E3400000000008A +:043E35000000000089 +:043E36000000000088 +:043E37000000000087 +:043E38000000000086 +:043E39000000000085 +:043E3A000000000084 +:043E3B000000000083 +:043E3C000000000082 +:043E3D000000000081 +:043E3E000000000080 +:043E3F00000000007F +:043E4000000000007E +:043E4100000000007D +:043E4200000000007C +:043E4300000000007B +:043E4400000000007A +:043E45000000000079 +:043E46000000000078 +:043E47000000000077 +:043E48000000000076 +:043E49000000000075 +:043E4A000000000074 +:043E4B000000000073 +:043E4C000000000072 +:043E4D000000000071 +:043E4E000000000070 +:043E4F00000000006F +:043E5000000000006E +:043E5100000000006D +:043E5200000000006C +:043E5300000000006B +:043E5400000000006A +:043E55000000000069 +:043E56000000000068 +:043E57000000000067 +:043E58000000000066 +:043E59000000000065 +:043E5A000000000064 +:043E5B000000000063 +:043E5C000000000062 +:043E5D000000000061 +:043E5E000000000060 +:043E5F00000000005F +:043E6000000000005E +:043E6100000000005D +:043E6200000000005C +:043E6300000000005B +:043E6400000000005A +:043E65000000000059 +:043E66000000000058 +:043E67000000000057 +:043E68000000000056 +:043E69000000000055 +:043E6A000000000054 +:043E6B000000000053 +:043E6C000000000052 +:043E6D000000000051 +:043E6E000000000050 +:043E6F00000000004F +:043E7000000000004E +:043E7100000000004D +:043E7200000000004C +:043E7300000000004B +:043E7400000000004A +:043E75000000000049 +:043E76000000000048 +:043E77000000000047 +:043E78000000000046 +:043E79000000000045 +:043E7A000000000044 +:043E7B000000000043 +:043E7C000000000042 +:043E7D000000000041 +:043E7E000000000040 +:043E7F00000000003F +:043E8000000000003E +:043E8100000000003D +:043E8200000000003C +:043E8300000000003B +:043E8400000000003A +:043E85000000000039 +:043E86000000000038 +:043E87000000000037 +:043E88000000000036 +:043E89000000000035 +:043E8A000000000034 +:043E8B000000000033 +:043E8C000000000032 +:043E8D000000000031 +:043E8E000000000030 +:043E8F00000000002F +:043E9000000000002E +:043E9100000000002D +:043E9200000000002C +:043E9300000000002B +:043E9400000000002A +:043E95000000000029 +:043E96000000000028 +:043E97000000000027 +:043E98000000000026 +:043E99000000000025 +:043E9A000000000024 +:043E9B000000000023 +:043E9C000000000022 +:043E9D000000000021 +:043E9E000000000020 +:043E9F00000000001F +:043EA000000000001E +:043EA100000000001D +:043EA200000000001C +:043EA300000000001B +:043EA400000000001A +:043EA5000000000019 +:043EA6000000000018 +:043EA7000000000017 +:043EA8000000000016 +:043EA9000000000015 +:043EAA000000000014 +:043EAB000000000013 +:043EAC000000000012 +:043EAD000000000011 +:043EAE000000000010 +:043EAF00000000000F +:043EB000000000000E +:043EB100000000000D +:043EB200000000000C +:043EB300000000000B +:043EB400000000000A +:043EB5000000000009 +:043EB6000000000008 +:043EB7000000000007 +:043EB8000000000006 +:043EB9000000000005 +:043EBA000000000004 +:043EBB000000000003 +:043EBC000000000002 +:043EBD000000000001 +:043EBE000000000000 +:043EBF0000000000FF +:043EC00000000000FE +:043EC10000000000FD +:043EC20000000000FC +:043EC30000000000FB +:043EC40000000000FA +:043EC50000000000F9 +:043EC60000000000F8 +:043EC70000000000F7 +:043EC80000000000F6 +:043EC90000000000F5 +:043ECA0000000000F4 +:043ECB0000000000F3 +:043ECC0000000000F2 +:043ECD0000000000F1 +:043ECE0000000000F0 +:043ECF0000000000EF +:043ED00000000000EE +:043ED10000000000ED +:043ED20000000000EC +:043ED30000000000EB +:043ED40000000000EA +:043ED50000000000E9 +:043ED60000000000E8 +:043ED70000000000E7 +:043ED80000000000E6 +:043ED90000000000E5 +:043EDA0000000000E4 +:043EDB0000000000E3 +:043EDC0000000000E2 +:043EDD0000000000E1 +:043EDE0000000000E0 +:043EDF0000000000DF +:043EE00000000000DE +:043EE10000000000DD +:043EE20000000000DC +:043EE30000000000DB +:043EE40000000000DA +:043EE50000000000D9 +:043EE60000000000D8 +:043EE70000000000D7 +:043EE80000000000D6 +:043EE90000000000D5 +:043EEA0000000000D4 +:043EEB0000000000D3 +:043EEC0000000000D2 +:043EED0000000000D1 +:043EEE0000000000D0 +:043EEF0000000000CF +:043EF00000000000CE +:043EF10000000000CD +:043EF20000000000CC +:043EF30000000000CB +:043EF40000000000CA +:043EF50000000000C9 +:043EF60000000000C8 +:043EF70000000000C7 +:043EF80000000000C6 +:043EF90000000000C5 +:043EFA0000000000C4 +:043EFB0000000000C3 +:043EFC0000000000C2 +:043EFD0000000000C1 +:043EFE0000000000C0 +:043EFF0000000000BF +:043F000000000000BD +:043F010000000000BC +:043F020000000000BB +:043F030000000000BA +:043F040000000000B9 +:043F050000000000B8 +:043F060000000000B7 +:043F070000000000B6 +:043F080000000000B5 +:043F090000000000B4 +:043F0A0000000000B3 +:043F0B0000000000B2 +:043F0C0000000000B1 +:043F0D0000000000B0 +:043F0E0000000000AF +:043F0F0000000000AE +:043F100000000000AD +:043F110000000000AC +:043F120000000000AB +:043F130000000000AA +:043F140000000000A9 +:043F150000000000A8 +:043F160000000000A7 +:043F170000000000A6 +:043F180000000000A5 +:043F190000000000A4 +:043F1A0000000000A3 +:043F1B0000000000A2 +:043F1C0000000000A1 +:043F1D0000000000A0 +:043F1E00000000009F +:043F1F00000000009E +:043F2000000000009D +:043F2100000000009C +:043F2200000000009B +:043F2300000000009A +:043F24000000000099 +:043F25000000000098 +:043F26000000000097 +:043F27000000000096 +:043F28000000000095 +:043F29000000000094 +:043F2A000000000093 +:043F2B000000000092 +:043F2C000000000091 +:043F2D000000000090 +:043F2E00000000008F +:043F2F00000000008E +:043F3000000000008D +:043F3100000000008C +:043F3200000000008B +:043F3300000000008A +:043F34000000000089 +:043F35000000000088 +:043F36000000000087 +:043F37000000000086 +:043F38000000000085 +:043F39000000000084 +:043F3A000000000083 +:043F3B000000000082 +:043F3C000000000081 +:043F3D000000000080 +:043F3E00000000007F +:043F3F00000000007E +:043F4000000000007D +:043F4100000000007C +:043F4200000000007B +:043F4300000000007A +:043F44000000000079 +:043F45000000000078 +:043F46000000000077 +:043F47000000000076 +:043F48000000000075 +:043F49000000000074 +:043F4A000000000073 +:043F4B000000000072 +:043F4C000000000071 +:043F4D000000000070 +:043F4E00000000006F +:043F4F00000000006E +:043F5000000000006D +:043F5100000000006C +:043F5200000000006B +:043F5300000000006A +:043F54000000000069 +:043F55000000000068 +:043F56000000000067 +:043F57000000000066 +:043F58000000000065 +:043F59000000000064 +:043F5A000000000063 +:043F5B000000000062 +:043F5C000000000061 +:043F5D000000000060 +:043F5E00000000005F +:043F5F00000000005E +:043F6000000000005D +:043F6100000000005C +:043F6200000000005B +:043F6300000000005A +:043F64000000000059 +:043F65000000000058 +:043F66000000000057 +:043F67000000000056 +:043F68000000000055 +:043F69000000000054 +:043F6A000000000053 +:043F6B000000000052 +:043F6C000000000051 +:043F6D000000000050 +:043F6E00000000004F +:043F6F00000000004E +:043F7000000000004D +:043F7100000000004C +:043F7200000000004B +:043F7300000000004A +:043F74000000000049 +:043F75000000000048 +:043F76000000000047 +:043F77000000000046 +:043F78000000000045 +:043F79000000000044 +:043F7A000000000043 +:043F7B000000000042 +:043F7C000000000041 +:043F7D000000000040 +:043F7E00000000003F +:043F7F00000000003E +:043F8000000000003D +:043F8100000000003C +:043F8200000000003B +:043F8300000000003A +:043F84000000000039 +:043F85000000000038 +:043F86000000000037 +:043F87000000000036 +:043F88000000000035 +:043F89000000000034 +:043F8A000000000033 +:043F8B000000000032 +:043F8C000000000031 +:043F8D000000000030 +:043F8E00000000002F +:043F8F00000000002E +:043F9000000000002D +:043F9100000000002C +:043F9200000000002B +:043F9300000000002A +:043F94000000000029 +:043F95000000000028 +:043F96000000000027 +:043F97000000000026 +:043F98000000000025 +:043F99000000000024 +:043F9A000000000023 +:043F9B000000000022 +:043F9C000000000021 +:043F9D000000000020 +:043F9E00000000001F +:043F9F00000000001E +:043FA000000000001D +:043FA100000000001C +:043FA200000000001B +:043FA300000000001A +:043FA4000000000019 +:043FA5000000000018 +:043FA6000000000017 +:043FA7000000000016 +:043FA8000000000015 +:043FA9000000000014 +:043FAA000000000013 +:043FAB000000000012 +:043FAC000000000011 +:043FAD000000000010 +:043FAE00000000000F +:043FAF00000000000E +:043FB000000000000D +:043FB100000000000C +:043FB200000000000B +:043FB300000000000A +:043FB4000000000009 +:043FB5000000000008 +:043FB6000000000007 +:043FB7000000000006 +:043FB8000000000005 +:043FB9000000000004 +:043FBA000000000003 +:043FBB000000000002 +:043FBC000000000001 +:043FBD000000000000 +:043FBE0000000000FF +:043FBF0000000000FE +:043FC00000000000FD +:043FC10000000000FC +:043FC20000000000FB +:043FC30000000000FA +:043FC40000000000F9 +:043FC50000000000F8 +:043FC60000000000F7 +:043FC70000000000F6 +:043FC80000000000F5 +:043FC90000000000F4 +:043FCA0000000000F3 +:043FCB0000000000F2 +:043FCC0000000000F1 +:043FCD0000000000F0 +:043FCE0000000000EF +:043FCF0000000000EE +:043FD00000000000ED +:043FD10000000000EC +:043FD20000000000EB +:043FD30000000000EA +:043FD40000000000E9 +:043FD50000000000E8 +:043FD60000000000E7 +:043FD70000000000E6 +:043FD80000000000E5 +:043FD90000000000E4 +:043FDA0000000000E3 +:043FDB0000000000E2 +:043FDC0000000000E1 +:043FDD0000000000E0 +:043FDE0000000000DF +:043FDF0000000000DE +:043FE00000000000DD +:043FE10000000000DC +:043FE20000000000DB +:043FE30000000000DA +:043FE40000000000D9 +:043FE50000000000D8 +:043FE60000000000D7 +:043FE70000000000D6 +:043FE80000000000D5 +:043FE90000000000D4 +:043FEA0000000000D3 +:043FEB0000000000D2 +:043FEC0000000000D1 +:043FED0000000000D0 +:043FEE0000000000CF +:043FEF0000000000CE +:043FF00000000000CD +:043FF10000000000CC +:043FF20000000000CB +:043FF30000000000CA +:043FF40000000000C9 +:043FF50000000000C8 +:043FF60000000000C7 +:043FF70000000000C6 +:043FF80000000000C5 +:043FF90000000000C4 +:043FFA0000000000C3 +:043FFB0000000000C2 +:043FFC0000000000C1 +:043FFD0000000000C0 +:043FFE0000000000BF +:043FFF0000000000BE +:0440000000000000BC +:0440010000000000BB +:0440020000000000BA +:0440030000000000B9 +:0440040000000000B8 +:0440050000000000B7 +:0440060000000000B6 +:0440070000000000B5 +:0440080000000000B4 +:0440090000000000B3 +:04400A0000000000B2 +:04400B0000000000B1 +:04400C0000000000B0 +:04400D0000000000AF +:04400E0000000000AE +:04400F0000000000AD +:0440100000000000AC +:0440110000000000AB +:0440120000000000AA +:0440130000000000A9 +:0440140000000000A8 +:0440150000000000A7 +:0440160000000000A6 +:0440170000000000A5 +:0440180000000000A4 +:0440190000000000A3 +:04401A0000000000A2 +:04401B0000000000A1 +:04401C0000000000A0 +:04401D00000000009F +:04401E00000000009E +:04401F00000000009D +:04402000000000009C +:04402100000000009B +:04402200000000009A +:044023000000000099 +:044024000000000098 +:044025000000000097 +:044026000000000096 +:044027000000000095 +:044028000000000094 +:044029000000000093 +:04402A000000000092 +:04402B000000000091 +:04402C000000000090 +:04402D00000000008F +:04402E00000000008E +:04402F00000000008D +:04403000000000008C +:04403100000000008B +:04403200000000008A +:044033000000000089 +:044034000000000088 +:044035000000000087 +:044036000000000086 +:044037000000000085 +:044038000000000084 +:044039000000000083 +:04403A000000000082 +:04403B000000000081 +:04403C000000000080 +:04403D00000000007F +:04403E00000000007E +:04403F00000000007D +:04404000000000007C +:04404100000000007B +:04404200000000007A +:044043000000000079 +:044044000000000078 +:044045000000000077 +:044046000000000076 +:044047000000000075 +:044048000000000074 +:044049000000000073 +:04404A000000000072 +:04404B000000000071 +:04404C000000000070 +:04404D00000000006F +:04404E00000000006E +:04404F00000000006D +:04405000000000006C +:04405100000000006B +:04405200000000006A +:044053000000000069 +:044054000000000068 +:044055000000000067 +:044056000000000066 +:044057000000000065 +:044058000000000064 +:044059000000000063 +:04405A000000000062 +:04405B000000000061 +:04405C000000000060 +:04405D00000000005F +:04405E00000000005E +:04405F00000000005D +:04406000000000005C +:04406100000000005B +:04406200000000005A +:044063000000000059 +:044064000000000058 +:044065000000000057 +:044066000000000056 +:044067000000000055 +:044068000000000054 +:044069000000000053 +:04406A000000000052 +:04406B000000000051 +:04406C000000000050 +:04406D00000000004F +:04406E00000000004E +:04406F00000000004D +:04407000000000004C +:04407100000000004B +:04407200000000004A +:044073000000000049 +:044074000000000048 +:044075000000000047 +:044076000000000046 +:044077000000000045 +:044078000000000044 +:044079000000000043 +:04407A000000000042 +:04407B000000000041 +:04407C000000000040 +:04407D00000000003F +:04407E00000000003E +:04407F00000000003D +:04408000000000003C +:04408100000000003B +:04408200000000003A +:044083000000000039 +:044084000000000038 +:044085000000000037 +:044086000000000036 +:044087000000000035 +:044088000000000034 +:044089000000000033 +:04408A000000000032 +:04408B000000000031 +:04408C000000000030 +:04408D00000000002F +:04408E00000000002E +:04408F00000000002D +:04409000000000002C +:04409100000000002B +:04409200000000002A +:044093000000000029 +:044094000000000028 +:044095000000000027 +:044096000000000026 +:044097000000000025 +:044098000000000024 +:044099000000000023 +:04409A000000000022 +:04409B000000000021 +:04409C000000000020 +:04409D00000000001F +:04409E00000000001E +:04409F00000000001D +:0440A000000000001C +:0440A100000000001B +:0440A200000000001A +:0440A3000000000019 +:0440A4000000000018 +:0440A5000000000017 +:0440A6000000000016 +:0440A7000000000015 +:0440A8000000000014 +:0440A9000000000013 +:0440AA000000000012 +:0440AB000000000011 +:0440AC000000000010 +:0440AD00000000000F +:0440AE00000000000E +:0440AF00000000000D +:0440B000000000000C +:0440B100000000000B +:0440B200000000000A +:0440B3000000000009 +:0440B4000000000008 +:0440B5000000000007 +:0440B6000000000006 +:0440B7000000000005 +:0440B8000000000004 +:0440B9000000000003 +:0440BA000000000002 +:0440BB000000000001 +:0440BC000000000000 +:0440BD0000000000FF +:0440BE0000000000FE +:0440BF0000000000FD +:0440C00000000000FC +:0440C10000000000FB +:0440C20000000000FA +:0440C30000000000F9 +:0440C40000000000F8 +:0440C50000000000F7 +:0440C60000000000F6 +:0440C70000000000F5 +:0440C80000000000F4 +:0440C90000000000F3 +:0440CA0000000000F2 +:0440CB0000000000F1 +:0440CC0000000000F0 +:0440CD0000000000EF +:0440CE0000000000EE +:0440CF0000000000ED +:0440D00000000000EC +:0440D10000000000EB +:0440D20000000000EA +:0440D30000000000E9 +:0440D40000000000E8 +:0440D50000000000E7 +:0440D60000000000E6 +:0440D70000000000E5 +:0440D80000000000E4 +:0440D90000000000E3 +:0440DA0000000000E2 +:0440DB0000000000E1 +:0440DC0000000000E0 +:0440DD0000000000DF +:0440DE0000000000DE +:0440DF0000000000DD +:0440E00000000000DC +:0440E10000000000DB +:0440E20000000000DA +:0440E30000000000D9 +:0440E40000000000D8 +:0440E50000000000D7 +:0440E60000000000D6 +:0440E70000000000D5 +:0440E80000000000D4 +:0440E90000000000D3 +:0440EA0000000000D2 +:0440EB0000000000D1 +:0440EC0000000000D0 +:0440ED0000000000CF +:0440EE0000000000CE +:0440EF0000000000CD +:0440F00000000000CC +:0440F10000000000CB +:0440F20000000000CA +:0440F30000000000C9 +:0440F40000000000C8 +:0440F50000000000C7 +:0440F60000000000C6 +:0440F70000000000C5 +:0440F80000000000C4 +:0440F90000000000C3 +:0440FA0000000000C2 +:0440FB0000000000C1 +:0440FC0000000000C0 +:0440FD0000000000BF +:0440FE0000000000BE +:0440FF0000000000BD +:0441000000000000BB +:0441010000000000BA +:0441020000000000B9 +:0441030000000000B8 +:0441040000000000B7 +:0441050000000000B6 +:0441060000000000B5 +:0441070000000000B4 +:0441080000000000B3 +:0441090000000000B2 +:04410A0000000000B1 +:04410B0000000000B0 +:04410C0000000000AF +:04410D0000000000AE +:04410E0000000000AD +:04410F0000000000AC +:0441100000000000AB +:0441110000000000AA +:0441120000000000A9 +:0441130000000000A8 +:0441140000000000A7 +:0441150000000000A6 +:0441160000000000A5 +:0441170000000000A4 +:0441180000000000A3 +:0441190000000000A2 +:04411A0000000000A1 +:04411B0000000000A0 +:04411C00000000009F +:04411D00000000009E +:04411E00000000009D +:04411F00000000009C +:04412000000000009B +:04412100000000009A +:044122000000000099 +:044123000000000098 +:044124000000000097 +:044125000000000096 +:044126000000000095 +:044127000000000094 +:044128000000000093 +:044129000000000092 +:04412A000000000091 +:04412B000000000090 +:04412C00000000008F +:04412D00000000008E +:04412E00000000008D +:04412F00000000008C +:04413000000000008B +:04413100000000008A +:044132000000000089 +:044133000000000088 +:044134000000000087 +:044135000000000086 +:044136000000000085 +:044137000000000084 +:044138000000000083 +:044139000000000082 +:04413A000000000081 +:04413B000000000080 +:04413C00000000007F +:04413D00000000007E +:04413E00000000007D +:04413F00000000007C +:04414000000000007B +:04414100000000007A +:044142000000000079 +:044143000000000078 +:044144000000000077 +:044145000000000076 +:044146000000000075 +:044147000000000074 +:044148000000000073 +:044149000000000072 +:04414A000000000071 +:04414B000000000070 +:04414C00000000006F +:04414D00000000006E +:04414E00000000006D +:04414F00000000006C +:04415000000000006B +:04415100000000006A +:044152000000000069 +:044153000000000068 +:044154000000000067 +:044155000000000066 +:044156000000000065 +:044157000000000064 +:044158000000000063 +:044159000000000062 +:04415A000000000061 +:04415B000000000060 +:04415C00000000005F +:04415D00000000005E +:04415E00000000005D +:04415F00000000005C +:04416000000000005B +:04416100000000005A +:044162000000000059 +:044163000000000058 +:044164000000000057 +:044165000000000056 +:044166000000000055 +:044167000000000054 +:044168000000000053 +:044169000000000052 +:04416A000000000051 +:04416B000000000050 +:04416C00000000004F +:04416D00000000004E +:04416E00000000004D +:04416F00000000004C +:04417000000000004B +:04417100000000004A +:044172000000000049 +:044173000000000048 +:044174000000000047 +:044175000000000046 +:044176000000000045 +:044177000000000044 +:044178000000000043 +:044179000000000042 +:04417A000000000041 +:04417B000000000040 +:04417C00000000003F +:04417D00000000003E +:04417E00000000003D +:04417F00000000003C +:04418000000000003B +:04418100000000003A +:044182000000000039 +:044183000000000038 +:044184000000000037 +:044185000000000036 +:044186000000000035 +:044187000000000034 +:044188000000000033 +:044189000000000032 +:04418A000000000031 +:04418B000000000030 +:04418C00000000002F +:04418D00000000002E +:04418E00000000002D +:04418F00000000002C +:04419000000000002B +:04419100000000002A +:044192000000000029 +:044193000000000028 +:044194000000000027 +:044195000000000026 +:044196000000000025 +:044197000000000024 +:044198000000000023 +:044199000000000022 +:04419A000000000021 +:04419B000000000020 +:04419C00000000001F +:04419D00000000001E +:04419E00000000001D +:04419F00000000001C +:0441A000000000001B +:0441A100000000001A +:0441A2000000000019 +:0441A3000000000018 +:0441A4000000000017 +:0441A5000000000016 +:0441A6000000000015 +:0441A7000000000014 +:0441A8000000000013 +:0441A9000000000012 +:0441AA000000000011 +:0441AB000000000010 +:0441AC00000000000F +:0441AD00000000000E +:0441AE00000000000D +:0441AF00000000000C +:0441B000000000000B +:0441B100000000000A +:0441B2000000000009 +:0441B3000000000008 +:0441B4000000000007 +:0441B5000000000006 +:0441B6000000000005 +:0441B7000000000004 +:0441B8000000000003 +:0441B9000000000002 +:0441BA000000000001 +:0441BB000000000000 +:0441BC0000000000FF +:0441BD0000000000FE +:0441BE0000000000FD +:0441BF0000000000FC +:0441C00000000000FB +:0441C10000000000FA +:0441C20000000000F9 +:0441C30000000000F8 +:0441C40000000000F7 +:0441C50000000000F6 +:0441C60000000000F5 +:0441C70000000000F4 +:0441C80000000000F3 +:0441C90000000000F2 +:0441CA0000000000F1 +:0441CB0000000000F0 +:0441CC0000000000EF +:0441CD0000000000EE +:0441CE0000000000ED +:0441CF0000000000EC +:0441D00000000000EB +:0441D10000000000EA +:0441D20000000000E9 +:0441D30000000000E8 +:0441D40000000000E7 +:0441D50000000000E6 +:0441D60000000000E5 +:0441D70000000000E4 +:0441D80000000000E3 +:0441D90000000000E2 +:0441DA0000000000E1 +:0441DB0000000000E0 +:0441DC0000000000DF +:0441DD0000000000DE +:0441DE0000000000DD +:0441DF0000000000DC +:0441E00000000000DB +:0441E10000000000DA +:0441E20000000000D9 +:0441E30000000000D8 +:0441E40000000000D7 +:0441E50000000000D6 +:0441E60000000000D5 +:0441E70000000000D4 +:0441E80000000000D3 +:0441E90000000000D2 +:0441EA0000000000D1 +:0441EB0000000000D0 +:0441EC0000000000CF +:0441ED0000000000CE +:0441EE0000000000CD +:0441EF0000000000CC +:0441F00000000000CB +:0441F10000000000CA +:0441F20000000000C9 +:0441F30000000000C8 +:0441F40000000000C7 +:0441F50000000000C6 +:0441F60000000000C5 +:0441F70000000000C4 +:0441F80000000000C3 +:0441F90000000000C2 +:0441FA0000000000C1 +:0441FB0000000000C0 +:0441FC0000000000BF +:0441FD0000000000BE +:0441FE0000000000BD +:0441FF0000000000BC +:0442000000000000BA +:0442010000000000B9 +:0442020000000000B8 +:0442030000000000B7 +:0442040000000000B6 +:0442050000000000B5 +:0442060000000000B4 +:0442070000000000B3 +:0442080000000000B2 +:0442090000000000B1 +:04420A0000000000B0 +:04420B0000000000AF +:04420C0000000000AE +:04420D0000000000AD +:04420E0000000000AC +:04420F0000000000AB +:0442100000000000AA +:0442110000000000A9 +:0442120000000000A8 +:0442130000000000A7 +:0442140000000000A6 +:0442150000000000A5 +:0442160000000000A4 +:0442170000000000A3 +:0442180000000000A2 +:0442190000000000A1 +:04421A0000000000A0 +:04421B00000000009F +:04421C00000000009E +:04421D00000000009D +:04421E00000000009C +:04421F00000000009B +:04422000000000009A +:044221000000000099 +:044222000000000098 +:044223000000000097 +:044224000000000096 +:044225000000000095 +:044226000000000094 +:044227000000000093 +:044228000000000092 +:044229000000000091 +:04422A000000000090 +:04422B00000000008F +:04422C00000000008E +:04422D00000000008D +:04422E00000000008C +:04422F00000000008B +:04423000000000008A +:044231000000000089 +:044232000000000088 +:044233000000000087 +:044234000000000086 +:044235000000000085 +:044236000000000084 +:044237000000000083 +:044238000000000082 +:044239000000000081 +:04423A000000000080 +:04423B00000000007F +:04423C00000000007E +:04423D00000000007D +:04423E00000000007C +:04423F00000000007B +:04424000000000007A +:044241000000000079 +:044242000000000078 +:044243000000000077 +:044244000000000076 +:044245000000000075 +:044246000000000074 +:044247000000000073 +:044248000000000072 +:044249000000000071 +:04424A000000000070 +:04424B00000000006F +:04424C00000000006E +:04424D00000000006D +:04424E00000000006C +:04424F00000000006B +:04425000000000006A +:044251000000000069 +:044252000000000068 +:044253000000000067 +:044254000000000066 +:044255000000000065 +:044256000000000064 +:044257000000000063 +:044258000000000062 +:044259000000000061 +:04425A000000000060 +:04425B00000000005F +:04425C00000000005E +:04425D00000000005D +:04425E00000000005C +:04425F00000000005B +:04426000000000005A +:044261000000000059 +:044262000000000058 +:044263000000000057 +:044264000000000056 +:044265000000000055 +:044266000000000054 +:044267000000000053 +:044268000000000052 +:044269000000000051 +:04426A000000000050 +:04426B00000000004F +:04426C00000000004E +:04426D00000000004D +:04426E00000000004C +:04426F00000000004B +:04427000000000004A +:044271000000000049 +:044272000000000048 +:044273000000000047 +:044274000000000046 +:044275000000000045 +:044276000000000044 +:044277000000000043 +:044278000000000042 +:044279000000000041 +:04427A000000000040 +:04427B00000000003F +:04427C00000000003E +:04427D00000000003D +:04427E00000000003C +:04427F00000000003B +:04428000000000003A +:044281000000000039 +:044282000000000038 +:044283000000000037 +:044284000000000036 +:044285000000000035 +:044286000000000034 +:044287000000000033 +:044288000000000032 +:044289000000000031 +:04428A000000000030 +:04428B00000000002F +:04428C00000000002E +:04428D00000000002D +:04428E00000000002C +:04428F00000000002B +:04429000000000002A +:044291000000000029 +:044292000000000028 +:044293000000000027 +:044294000000000026 +:044295000000000025 +:044296000000000024 +:044297000000000023 +:044298000000000022 +:044299000000000021 +:04429A000000000020 +:04429B00000000001F +:04429C00000000001E +:04429D00000000001D +:04429E00000000001C +:04429F00000000001B +:0442A000000000001A +:0442A1000000000019 +:0442A2000000000018 +:0442A3000000000017 +:0442A4000000000016 +:0442A5000000000015 +:0442A6000000000014 +:0442A7000000000013 +:0442A8000000000012 +:0442A9000000000011 +:0442AA000000000010 +:0442AB00000000000F +:0442AC00000000000E +:0442AD00000000000D +:0442AE00000000000C +:0442AF00000000000B +:0442B000000000000A +:0442B1000000000009 +:0442B2000000000008 +:0442B3000000000007 +:0442B4000000000006 +:0442B5000000000005 +:0442B6000000000004 +:0442B7000000000003 +:0442B8000000000002 +:0442B9000000000001 +:0442BA000000000000 +:0442BB0000000000FF +:0442BC0000000000FE +:0442BD0000000000FD +:0442BE0000000000FC +:0442BF0000000000FB +:0442C00000000000FA +:0442C10000000000F9 +:0442C20000000000F8 +:0442C30000000000F7 +:0442C40000000000F6 +:0442C50000000000F5 +:0442C60000000000F4 +:0442C70000000000F3 +:0442C80000000000F2 +:0442C90000000000F1 +:0442CA0000000000F0 +:0442CB0000000000EF +:0442CC0000000000EE +:0442CD0000000000ED +:0442CE0000000000EC +:0442CF0000000000EB +:0442D00000000000EA +:0442D10000000000E9 +:0442D20000000000E8 +:0442D30000000000E7 +:0442D40000000000E6 +:0442D50000000000E5 +:0442D60000000000E4 +:0442D70000000000E3 +:0442D80000000000E2 +:0442D90000000000E1 +:0442DA0000000000E0 +:0442DB0000000000DF +:0442DC0000000000DE +:0442DD0000000000DD +:0442DE0000000000DC +:0442DF0000000000DB +:0442E00000000000DA +:0442E10000000000D9 +:0442E20000000000D8 +:0442E30000000000D7 +:0442E40000000000D6 +:0442E50000000000D5 +:0442E60000000000D4 +:0442E70000000000D3 +:0442E80000000000D2 +:0442E90000000000D1 +:0442EA0000000000D0 +:0442EB0000000000CF +:0442EC0000000000CE +:0442ED0000000000CD +:0442EE0000000000CC +:0442EF0000000000CB +:0442F00000000000CA +:0442F10000000000C9 +:0442F20000000000C8 +:0442F30000000000C7 +:0442F40000000000C6 +:0442F50000000000C5 +:0442F60000000000C4 +:0442F70000000000C3 +:0442F80000000000C2 +:0442F90000000000C1 +:0442FA0000000000C0 +:0442FB0000000000BF +:0442FC0000000000BE +:0442FD0000000000BD +:0442FE0000000000BC +:0442FF0000000000BB +:0443000000000000B9 +:0443010000000000B8 +:0443020000000000B7 +:0443030000000000B6 +:0443040000000000B5 +:0443050000000000B4 +:0443060000000000B3 +:0443070000000000B2 +:0443080000000000B1 +:0443090000000000B0 +:04430A0000000000AF +:04430B0000000000AE +:04430C0000000000AD +:04430D0000000000AC +:04430E0000000000AB +:04430F0000000000AA +:0443100000000000A9 +:0443110000000000A8 +:0443120000000000A7 +:0443130000000000A6 +:0443140000000000A5 +:0443150000000000A4 +:0443160000000000A3 +:0443170000000000A2 +:0443180000000000A1 +:0443190000000000A0 +:04431A00000000009F +:04431B00000000009E +:04431C00000000009D +:04431D00000000009C +:04431E00000000009B +:04431F00000000009A +:044320000000000099 +:044321000000000098 +:044322000000000097 +:044323000000000096 +:044324000000000095 +:044325000000000094 +:044326000000000093 +:044327000000000092 +:044328000000000091 +:044329000000000090 +:04432A00000000008F +:04432B00000000008E +:04432C00000000008D +:04432D00000000008C +:04432E00000000008B +:04432F00000000008A +:044330000000000089 +:044331000000000088 +:044332000000000087 +:044333000000000086 +:044334000000000085 +:044335000000000084 +:044336000000000083 +:044337000000000082 +:044338000000000081 +:044339000000000080 +:04433A00000000007F +:04433B00000000007E +:04433C00000000007D +:04433D00000000007C +:04433E00000000007B +:04433F00000000007A +:044340000000000079 +:044341000000000078 +:044342000000000077 +:044343000000000076 +:044344000000000075 +:044345000000000074 +:044346000000000073 +:044347000000000072 +:044348000000000071 +:044349000000000070 +:04434A00000000006F +:04434B00000000006E +:04434C00000000006D +:04434D00000000006C +:04434E00000000006B +:04434F00000000006A +:044350000000000069 +:044351000000000068 +:044352000000000067 +:044353000000000066 +:044354000000000065 +:044355000000000064 +:044356000000000063 +:044357000000000062 +:044358000000000061 +:044359000000000060 +:04435A00000000005F +:04435B00000000005E +:04435C00000000005D +:04435D00000000005C +:04435E00000000005B +:04435F00000000005A +:044360000000000059 +:044361000000000058 +:044362000000000057 +:044363000000000056 +:044364000000000055 +:044365000000000054 +:044366000000000053 +:044367000000000052 +:044368000000000051 +:044369000000000050 +:04436A00000000004F +:04436B00000000004E +:04436C00000000004D +:04436D00000000004C +:04436E00000000004B +:04436F00000000004A +:044370000000000049 +:044371000000000048 +:044372000000000047 +:044373000000000046 +:044374000000000045 +:044375000000000044 +:044376000000000043 +:044377000000000042 +:044378000000000041 +:044379000000000040 +:04437A00000000003F +:04437B00000000003E +:04437C00000000003D +:04437D00000000003C +:04437E00000000003B +:04437F00000000003A +:044380000000000039 +:044381000000000038 +:044382000000000037 +:044383000000000036 +:044384000000000035 +:044385000000000034 +:044386000000000033 +:044387000000000032 +:044388000000000031 +:044389000000000030 +:04438A00000000002F +:04438B00000000002E +:04438C00000000002D +:04438D00000000002C +:04438E00000000002B +:04438F00000000002A +:044390000000000029 +:044391000000000028 +:044392000000000027 +:044393000000000026 +:044394000000000025 +:044395000000000024 +:044396000000000023 +:044397000000000022 +:044398000000000021 +:044399000000000020 +:04439A00000000001F +:04439B00000000001E +:04439C00000000001D +:04439D00000000001C +:04439E00000000001B +:04439F00000000001A +:0443A0000000000019 +:0443A1000000000018 +:0443A2000000000017 +:0443A3000000000016 +:0443A4000000000015 +:0443A5000000000014 +:0443A6000000000013 +:0443A7000000000012 +:0443A8000000000011 +:0443A9000000000010 +:0443AA00000000000F +:0443AB00000000000E +:0443AC00000000000D +:0443AD00000000000C +:0443AE00000000000B +:0443AF00000000000A +:0443B0000000000009 +:0443B1000000000008 +:0443B2000000000007 +:0443B3000000000006 +:0443B4000000000005 +:0443B5000000000004 +:0443B6000000000003 +:0443B7000000000002 +:0443B8000000000001 +:0443B9000000000000 +:0443BA0000000000FF +:0443BB0000000000FE +:0443BC0000000000FD +:0443BD0000000000FC +:0443BE0000000000FB +:0443BF0000000000FA +:0443C00000000000F9 +:0443C10000000000F8 +:0443C20000000000F7 +:0443C30000000000F6 +:0443C40000000000F5 +:0443C50000000000F4 +:0443C60000000000F3 +:0443C70000000000F2 +:0443C80000000000F1 +:0443C90000000000F0 +:0443CA0000000000EF +:0443CB0000000000EE +:0443CC0000000000ED +:0443CD0000000000EC +:0443CE0000000000EB +:0443CF0000000000EA +:0443D00000000000E9 +:0443D10000000000E8 +:0443D20000000000E7 +:0443D30000000000E6 +:0443D40000000000E5 +:0443D50000000000E4 +:0443D60000000000E3 +:0443D70000000000E2 +:0443D80000000000E1 +:0443D90000000000E0 +:0443DA0000000000DF +:0443DB0000000000DE +:0443DC0000000000DD +:0443DD0000000000DC +:0443DE0000000000DB +:0443DF0000000000DA +:0443E00000000000D9 +:0443E10000000000D8 +:0443E20000000000D7 +:0443E30000000000D6 +:0443E40000000000D5 +:0443E50000000000D4 +:0443E60000000000D3 +:0443E70000000000D2 +:0443E80000000000D1 +:0443E90000000000D0 +:0443EA0000000000CF +:0443EB0000000000CE +:0443EC0000000000CD +:0443ED0000000000CC +:0443EE0000000000CB +:0443EF0000000000CA +:0443F00000000000C9 +:0443F10000000000C8 +:0443F20000000000C7 +:0443F30000000000C6 +:0443F40000000000C5 +:0443F50000000000C4 +:0443F60000000000C3 +:0443F70000000000C2 +:0443F80000000000C1 +:0443F90000000000C0 +:0443FA0000000000BF +:0443FB0000000000BE +:0443FC0000000000BD +:0443FD0000000000BC +:0443FE0000000000BB +:0443FF0000000000BA +:0444000000000000B8 +:0444010000000000B7 +:0444020000000000B6 +:0444030000000000B5 +:0444040000000000B4 +:0444050000000000B3 +:0444060000000000B2 +:0444070000000000B1 +:0444080000000000B0 +:0444090000000000AF +:04440A0000000000AE +:04440B0000000000AD +:04440C0000000000AC +:04440D0000000000AB +:04440E0000000000AA +:04440F0000000000A9 +:0444100000000000A8 +:0444110000000000A7 +:0444120000000000A6 +:0444130000000000A5 +:0444140000000000A4 +:0444150000000000A3 +:0444160000000000A2 +:0444170000000000A1 +:0444180000000000A0 +:04441900000000009F +:04441A00000000009E +:04441B00000000009D +:04441C00000000009C +:04441D00000000009B +:04441E00000000009A +:04441F000000000099 +:044420000000000098 +:044421000000000097 +:044422000000000096 +:044423000000000095 +:044424000000000094 +:044425000000000093 +:044426000000000092 +:044427000000000091 +:044428000000000090 +:04442900000000008F +:04442A00000000008E +:04442B00000000008D +:04442C00000000008C +:04442D00000000008B +:04442E00000000008A +:04442F000000000089 +:044430000000000088 +:044431000000000087 +:044432000000000086 +:044433000000000085 +:044434000000000084 +:044435000000000083 +:044436000000000082 +:044437000000000081 +:044438000000000080 +:04443900000000007F +:04443A00000000007E +:04443B00000000007D +:04443C00000000007C +:04443D00000000007B +:04443E00000000007A +:04443F000000000079 +:044440000000000078 +:044441000000000077 +:044442000000000076 +:044443000000000075 +:044444000000000074 +:044445000000000073 +:044446000000000072 +:044447000000000071 +:044448000000000070 +:04444900000000006F +:04444A00000000006E +:04444B00000000006D +:04444C00000000006C +:04444D00000000006B +:04444E00000000006A +:04444F000000000069 +:044450000000000068 +:044451000000000067 +:044452000000000066 +:044453000000000065 +:044454000000000064 +:044455000000000063 +:044456000000000062 +:044457000000000061 +:044458000000000060 +:04445900000000005F +:04445A00000000005E +:04445B00000000005D +:04445C00000000005C +:04445D00000000005B +:04445E00000000005A +:04445F000000000059 +:044460000000000058 +:044461000000000057 +:044462000000000056 +:044463000000000055 +:044464000000000054 +:044465000000000053 +:044466000000000052 +:044467000000000051 +:044468000000000050 +:04446900000000004F +:04446A00000000004E +:04446B00000000004D +:04446C00000000004C +:04446D00000000004B +:04446E00000000004A +:04446F000000000049 +:044470000000000048 +:044471000000000047 +:044472000000000046 +:044473000000000045 +:044474000000000044 +:044475000000000043 +:044476000000000042 +:044477000000000041 +:044478000000000040 +:04447900000000003F +:04447A00000000003E +:04447B00000000003D +:04447C00000000003C +:04447D00000000003B +:04447E00000000003A +:04447F000000000039 +:044480000000000038 +:044481000000000037 +:044482000000000036 +:044483000000000035 +:044484000000000034 +:044485000000000033 +:044486000000000032 +:044487000000000031 +:044488000000000030 +:04448900000000002F +:04448A00000000002E +:04448B00000000002D +:04448C00000000002C +:04448D00000000002B +:04448E00000000002A +:04448F000000000029 +:044490000000000028 +:044491000000000027 +:044492000000000026 +:044493000000000025 +:044494000000000024 +:044495000000000023 +:044496000000000022 +:044497000000000021 +:044498000000000020 +:04449900000000001F +:04449A00000000001E +:04449B00000000001D +:04449C00000000001C +:04449D00000000001B +:04449E00000000001A +:04449F000000000019 +:0444A0000000000018 +:0444A1000000000017 +:0444A2000000000016 +:0444A3000000000015 +:0444A4000000000014 +:0444A5000000000013 +:0444A6000000000012 +:0444A7000000000011 +:0444A8000000000010 +:0444A900000000000F +:0444AA00000000000E +:0444AB00000000000D +:0444AC00000000000C +:0444AD00000000000B +:0444AE00000000000A +:0444AF000000000009 +:0444B0000000000008 +:0444B1000000000007 +:0444B2000000000006 +:0444B3000000000005 +:0444B4000000000004 +:0444B5000000000003 +:0444B6000000000002 +:0444B7000000000001 +:0444B8000000000000 +:0444B90000000000FF +:0444BA0000000000FE +:0444BB0000000000FD +:0444BC0000000000FC +:0444BD0000000000FB +:0444BE0000000000FA +:0444BF0000000000F9 +:0444C00000000000F8 +:0444C10000000000F7 +:0444C20000000000F6 +:0444C30000000000F5 +:0444C40000000000F4 +:0444C50000000000F3 +:0444C60000000000F2 +:0444C70000000000F1 +:0444C80000000000F0 +:0444C90000000000EF +:0444CA0000000000EE +:0444CB0000000000ED +:0444CC0000000000EC +:0444CD0000000000EB +:0444CE0000000000EA +:0444CF0000000000E9 +:0444D00000000000E8 +:0444D10000000000E7 +:0444D20000000000E6 +:0444D30000000000E5 +:0444D40000000000E4 +:0444D50000000000E3 +:0444D60000000000E2 +:0444D70000000000E1 +:0444D80000000000E0 +:0444D90000000000DF +:0444DA0000000000DE +:0444DB0000000000DD +:0444DC0000000000DC +:0444DD0000000000DB +:0444DE0000000000DA +:0444DF0000000000D9 +:0444E00000000000D8 +:0444E10000000000D7 +:0444E20000000000D6 +:0444E30000000000D5 +:0444E40000000000D4 +:0444E50000000000D3 +:0444E60000000000D2 +:0444E70000000000D1 +:0444E80000000000D0 +:0444E90000000000CF +:0444EA0000000000CE +:0444EB0000000000CD +:0444EC0000000000CC +:0444ED0000000000CB +:0444EE0000000000CA +:0444EF0000000000C9 +:0444F00000000000C8 +:0444F10000000000C7 +:0444F20000000000C6 +:0444F30000000000C5 +:0444F40000000000C4 +:0444F50000000000C3 +:0444F60000000000C2 +:0444F70000000000C1 +:0444F80000000000C0 +:0444F90000000000BF +:0444FA0000000000BE +:0444FB0000000000BD +:0444FC0000000000BC +:0444FD0000000000BB +:0444FE0000000000BA +:0444FF0000000000B9 +:0445000000000000B7 +:0445010000000000B6 +:0445020000000000B5 +:0445030000000000B4 +:0445040000000000B3 +:0445050000000000B2 +:0445060000000000B1 +:0445070000000000B0 +:0445080000000000AF +:0445090000000000AE +:04450A0000000000AD +:04450B0000000000AC +:04450C0000000000AB +:04450D0000000000AA +:04450E0000000000A9 +:04450F0000000000A8 +:0445100000000000A7 +:0445110000000000A6 +:0445120000000000A5 +:0445130000000000A4 +:0445140000000000A3 +:0445150000000000A2 +:0445160000000000A1 +:0445170000000000A0 +:04451800000000009F +:04451900000000009E +:04451A00000000009D +:04451B00000000009C +:04451C00000000009B +:04451D00000000009A +:04451E000000000099 +:04451F000000000098 +:044520000000000097 +:044521000000000096 +:044522000000000095 +:044523000000000094 +:044524000000000093 +:044525000000000092 +:044526000000000091 +:044527000000000090 +:04452800000000008F +:04452900000000008E +:04452A00000000008D +:04452B00000000008C +:04452C00000000008B +:04452D00000000008A +:04452E000000000089 +:04452F000000000088 +:044530000000000087 +:044531000000000086 +:044532000000000085 +:044533000000000084 +:044534000000000083 +:044535000000000082 +:044536000000000081 +:044537000000000080 +:04453800000000007F +:04453900000000007E +:04453A00000000007D +:04453B00000000007C +:04453C00000000007B +:04453D00000000007A +:04453E000000000079 +:04453F000000000078 +:044540000000000077 +:044541000000000076 +:044542000000000075 +:044543000000000074 +:044544000000000073 +:044545000000000072 +:044546000000000071 +:044547000000000070 +:04454800000000006F +:04454900000000006E +:04454A00000000006D +:04454B00000000006C +:04454C00000000006B +:04454D00000000006A +:04454E000000000069 +:04454F000000000068 +:044550000000000067 +:044551000000000066 +:044552000000000065 +:044553000000000064 +:044554000000000063 +:044555000000000062 +:044556000000000061 +:044557000000000060 +:04455800000000005F +:04455900000000005E +:04455A00000000005D +:04455B00000000005C +:04455C00000000005B +:04455D00000000005A +:04455E000000000059 +:04455F000000000058 +:044560000000000057 +:044561000000000056 +:044562000000000055 +:044563000000000054 +:044564000000000053 +:044565000000000052 +:044566000000000051 +:044567000000000050 +:04456800000000004F +:04456900000000004E +:04456A00000000004D +:04456B00000000004C +:04456C00000000004B +:04456D00000000004A +:04456E000000000049 +:04456F000000000048 +:044570000000000047 +:044571000000000046 +:044572000000000045 +:044573000000000044 +:044574000000000043 +:044575000000000042 +:044576000000000041 +:044577000000000040 +:04457800000000003F +:04457900000000003E +:04457A00000000003D +:04457B00000000003C +:04457C00000000003B +:04457D00000000003A +:04457E000000000039 +:04457F000000000038 +:044580000000000037 +:044581000000000036 +:044582000000000035 +:044583000000000034 +:044584000000000033 +:044585000000000032 +:044586000000000031 +:044587000000000030 +:04458800000000002F +:04458900000000002E +:04458A00000000002D +:04458B00000000002C +:04458C00000000002B +:04458D00000000002A +:04458E000000000029 +:04458F000000000028 +:044590000000000027 +:044591000000000026 +:044592000000000025 +:044593000000000024 +:044594000000000023 +:044595000000000022 +:044596000000000021 +:044597000000000020 +:04459800000000001F +:04459900000000001E +:04459A00000000001D +:04459B00000000001C +:04459C00000000001B +:04459D00000000001A +:04459E000000000019 +:04459F000000000018 +:0445A0000000000017 +:0445A1000000000016 +:0445A2000000000015 +:0445A3000000000014 +:0445A4000000000013 +:0445A5000000000012 +:0445A6000000000011 +:0445A7000000000010 +:0445A800000000000F +:0445A900000000000E +:0445AA00000000000D +:0445AB00000000000C +:0445AC00000000000B +:0445AD00000000000A +:0445AE000000000009 +:0445AF000000000008 +:0445B0000000000007 +:0445B1000000000006 +:0445B2000000000005 +:0445B3000000000004 +:0445B4000000000003 +:0445B5000000000002 +:0445B6000000000001 +:0445B7000000000000 +:0445B80000000000FF +:0445B90000000000FE +:0445BA0000000000FD +:0445BB0000000000FC +:0445BC0000000000FB +:0445BD0000000000FA +:0445BE0000000000F9 +:0445BF0000000000F8 +:0445C00000000000F7 +:0445C10000000000F6 +:0445C20000000000F5 +:0445C30000000000F4 +:0445C40000000000F3 +:0445C50000000000F2 +:0445C60000000000F1 +:0445C70000000000F0 +:0445C80000000000EF +:0445C90000000000EE +:0445CA0000000000ED +:0445CB0000000000EC +:0445CC0000000000EB +:0445CD0000000000EA +:0445CE0000000000E9 +:0445CF0000000000E8 +:0445D00000000000E7 +:0445D10000000000E6 +:0445D20000000000E5 +:0445D30000000000E4 +:0445D40000000000E3 +:0445D50000000000E2 +:0445D60000000000E1 +:0445D70000000000E0 +:0445D80000000000DF +:0445D90000000000DE +:0445DA0000000000DD +:0445DB0000000000DC +:0445DC0000000000DB +:0445DD0000000000DA +:0445DE0000000000D9 +:0445DF0000000000D8 +:0445E00000000000D7 +:0445E10000000000D6 +:0445E20000000000D5 +:0445E30000000000D4 +:0445E40000000000D3 +:0445E50000000000D2 +:0445E60000000000D1 +:0445E70000000000D0 +:0445E80000000000CF +:0445E90000000000CE +:0445EA0000000000CD +:0445EB0000000000CC +:0445EC0000000000CB +:0445ED0000000000CA +:0445EE0000000000C9 +:0445EF0000000000C8 +:0445F00000000000C7 +:0445F10000000000C6 +:0445F20000000000C5 +:0445F30000000000C4 +:0445F40000000000C3 +:0445F50000000000C2 +:0445F60000000000C1 +:0445F70000000000C0 +:0445F80000000000BF +:0445F90000000000BE +:0445FA0000000000BD +:0445FB0000000000BC +:0445FC0000000000BB +:0445FD0000000000BA +:0445FE0000000000B9 +:0445FF0000000000B8 +:0446000000000000B6 +:0446010000000000B5 +:0446020000000000B4 +:0446030000000000B3 +:0446040000000000B2 +:0446050000000000B1 +:0446060000000000B0 +:0446070000000000AF +:0446080000000000AE +:0446090000000000AD +:04460A0000000000AC +:04460B0000000000AB +:04460C0000000000AA +:04460D0000000000A9 +:04460E0000000000A8 +:04460F0000000000A7 +:0446100000000000A6 +:0446110000000000A5 +:0446120000000000A4 +:0446130000000000A3 +:0446140000000000A2 +:0446150000000000A1 +:0446160000000000A0 +:04461700000000009F +:04461800000000009E +:04461900000000009D +:04461A00000000009C +:04461B00000000009B +:04461C00000000009A +:04461D000000000099 +:04461E000000000098 +:04461F000000000097 +:044620000000000096 +:044621000000000095 +:044622000000000094 +:044623000000000093 +:044624000000000092 +:044625000000000091 +:044626000000000090 +:04462700000000008F +:04462800000000008E +:04462900000000008D +:04462A00000000008C +:04462B00000000008B +:04462C00000000008A +:04462D000000000089 +:04462E000000000088 +:04462F000000000087 +:044630000000000086 +:044631000000000085 +:044632000000000084 +:044633000000000083 +:044634000000000082 +:044635000000000081 +:044636000000000080 +:04463700000000007F +:04463800000000007E +:04463900000000007D +:04463A00000000007C +:04463B00000000007B +:04463C00000000007A +:04463D000000000079 +:04463E000000000078 +:04463F000000000077 +:044640000000000076 +:044641000000000075 +:044642000000000074 +:044643000000000073 +:044644000000000072 +:044645000000000071 +:044646000000000070 +:04464700000000006F +:04464800000000006E +:04464900000000006D +:04464A00000000006C +:04464B00000000006B +:04464C00000000006A +:04464D000000000069 +:04464E000000000068 +:04464F000000000067 +:044650000000000066 +:044651000000000065 +:044652000000000064 +:044653000000000063 +:044654000000000062 +:044655000000000061 +:044656000000000060 +:04465700000000005F +:04465800000000005E +:04465900000000005D +:04465A00000000005C +:04465B00000000005B +:04465C00000000005A +:04465D000000000059 +:04465E000000000058 +:04465F000000000057 +:044660000000000056 +:044661000000000055 +:044662000000000054 +:044663000000000053 +:044664000000000052 +:044665000000000051 +:044666000000000050 +:04466700000000004F +:04466800000000004E +:04466900000000004D +:04466A00000000004C +:04466B00000000004B +:04466C00000000004A +:04466D000000000049 +:04466E000000000048 +:04466F000000000047 +:044670000000000046 +:044671000000000045 +:044672000000000044 +:044673000000000043 +:044674000000000042 +:044675000000000041 +:044676000000000040 +:04467700000000003F +:04467800000000003E +:04467900000000003D +:04467A00000000003C +:04467B00000000003B +:04467C00000000003A +:04467D000000000039 +:04467E000000000038 +:04467F000000000037 +:044680000000000036 +:044681000000000035 +:044682000000000034 +:044683000000000033 +:044684000000000032 +:044685000000000031 +:044686000000000030 +:04468700000000002F +:04468800000000002E +:04468900000000002D +:04468A00000000002C +:04468B00000000002B +:04468C00000000002A +:04468D000000000029 +:04468E000000000028 +:04468F000000000027 +:044690000000000026 +:044691000000000025 +:044692000000000024 +:044693000000000023 +:044694000000000022 +:044695000000000021 +:044696000000000020 +:04469700000000001F +:04469800000000001E +:04469900000000001D +:04469A00000000001C +:04469B00000000001B +:04469C00000000001A +:04469D000000000019 +:04469E000000000018 +:04469F000000000017 +:0446A0000000000016 +:0446A1000000000015 +:0446A2000000000014 +:0446A3000000000013 +:0446A4000000000012 +:0446A5000000000011 +:0446A6000000000010 +:0446A700000000000F +:0446A800000000000E +:0446A900000000000D +:0446AA00000000000C +:0446AB00000000000B +:0446AC00000000000A +:0446AD000000000009 +:0446AE000000000008 +:0446AF000000000007 +:0446B0000000000006 +:0446B1000000000005 +:0446B2000000000004 +:0446B3000000000003 +:0446B4000000000002 +:0446B5000000000001 +:0446B6000000000000 +:0446B70000000000FF +:0446B80000000000FE +:0446B90000000000FD +:0446BA0000000000FC +:0446BB0000000000FB +:0446BC0000000000FA +:0446BD0000000000F9 +:0446BE0000000000F8 +:0446BF0000000000F7 +:0446C00000000000F6 +:0446C10000000000F5 +:0446C20000000000F4 +:0446C30000000000F3 +:0446C40000000000F2 +:0446C50000000000F1 +:0446C60000000000F0 +:0446C70000000000EF +:0446C80000000000EE +:0446C90000000000ED +:0446CA0000000000EC +:0446CB0000000000EB +:0446CC0000000000EA +:0446CD0000000000E9 +:0446CE0000000000E8 +:0446CF0000000000E7 +:0446D00000000000E6 +:0446D10000000000E5 +:0446D20000000000E4 +:0446D30000000000E3 +:0446D40000000000E2 +:0446D50000000000E1 +:0446D60000000000E0 +:0446D70000000000DF +:0446D80000000000DE +:0446D90000000000DD +:0446DA0000000000DC +:0446DB0000000000DB +:0446DC0000000000DA +:0446DD0000000000D9 +:0446DE0000000000D8 +:0446DF0000000000D7 +:0446E00000000000D6 +:0446E10000000000D5 +:0446E20000000000D4 +:0446E30000000000D3 +:0446E40000000000D2 +:0446E50000000000D1 +:0446E60000000000D0 +:0446E70000000000CF +:0446E80000000000CE +:0446E90000000000CD +:0446EA0000000000CC +:0446EB0000000000CB +:0446EC0000000000CA +:0446ED0000000000C9 +:0446EE0000000000C8 +:0446EF0000000000C7 +:0446F00000000000C6 +:0446F10000000000C5 +:0446F20000000000C4 +:0446F30000000000C3 +:0446F40000000000C2 +:0446F50000000000C1 +:0446F60000000000C0 +:0446F70000000000BF +:0446F80000000000BE +:0446F90000000000BD +:0446FA0000000000BC +:0446FB0000000000BB +:0446FC0000000000BA +:0446FD0000000000B9 +:0446FE0000000000B8 +:0446FF0000000000B7 +:0447000000000000B5 +:0447010000000000B4 +:0447020000000000B3 +:0447030000000000B2 +:0447040000000000B1 +:0447050000000000B0 +:0447060000000000AF +:0447070000000000AE +:0447080000000000AD +:0447090000000000AC +:04470A0000000000AB +:04470B0000000000AA +:04470C0000000000A9 +:04470D0000000000A8 +:04470E0000000000A7 +:04470F0000000000A6 +:0447100000000000A5 +:0447110000000000A4 +:0447120000000000A3 +:0447130000000000A2 +:0447140000000000A1 +:0447150000000000A0 +:04471600000000009F +:04471700000000009E +:04471800000000009D +:04471900000000009C +:04471A00000000009B +:04471B00000000009A +:04471C000000000099 +:04471D000000000098 +:04471E000000000097 +:04471F000000000096 +:044720000000000095 +:044721000000000094 +:044722000000000093 +:044723000000000092 +:044724000000000091 +:044725000000000090 +:04472600000000008F +:04472700000000008E +:04472800000000008D +:04472900000000008C +:04472A00000000008B +:04472B00000000008A +:04472C000000000089 +:04472D000000000088 +:04472E000000000087 +:04472F000000000086 +:044730000000000085 +:044731000000000084 +:044732000000000083 +:044733000000000082 +:044734000000000081 +:044735000000000080 +:04473600000000007F +:04473700000000007E +:04473800000000007D +:04473900000000007C +:04473A00000000007B +:04473B00000000007A +:04473C000000000079 +:04473D000000000078 +:04473E000000000077 +:04473F000000000076 +:044740000000000075 +:044741000000000074 +:044742000000000073 +:044743000000000072 +:044744000000000071 +:044745000000000070 +:04474600000000006F +:04474700000000006E +:04474800000000006D +:04474900000000006C +:04474A00000000006B +:04474B00000000006A +:04474C000000000069 +:04474D000000000068 +:04474E000000000067 +:04474F000000000066 +:044750000000000065 +:044751000000000064 +:044752000000000063 +:044753000000000062 +:044754000000000061 +:044755000000000060 +:04475600000000005F +:04475700000000005E +:04475800000000005D +:04475900000000005C +:04475A00000000005B +:04475B00000000005A +:04475C000000000059 +:04475D000000000058 +:04475E000000000057 +:04475F000000000056 +:044760000000000055 +:044761000000000054 +:044762000000000053 +:044763000000000052 +:044764000000000051 +:044765000000000050 +:04476600000000004F +:04476700000000004E +:04476800000000004D +:04476900000000004C +:04476A00000000004B +:04476B00000000004A +:04476C000000000049 +:04476D000000000048 +:04476E000000000047 +:04476F000000000046 +:044770000000000045 +:044771000000000044 +:044772000000000043 +:044773000000000042 +:044774000000000041 +:044775000000000040 +:04477600000000003F +:04477700000000003E +:04477800000000003D +:04477900000000003C +:04477A00000000003B +:04477B00000000003A +:04477C000000000039 +:04477D000000000038 +:04477E000000000037 +:04477F000000000036 +:044780000000000035 +:044781000000000034 +:044782000000000033 +:044783000000000032 +:044784000000000031 +:044785000000000030 +:04478600000000002F +:04478700000000002E +:04478800000000002D +:04478900000000002C +:04478A00000000002B +:04478B00000000002A +:04478C000000000029 +:04478D000000000028 +:04478E000000000027 +:04478F000000000026 +:044790000000000025 +:044791000000000024 +:044792000000000023 +:044793000000000022 +:044794000000000021 +:044795000000000020 +:04479600000000001F +:04479700000000001E +:04479800000000001D +:04479900000000001C +:04479A00000000001B +:04479B00000000001A +:04479C000000000019 +:04479D000000000018 +:04479E000000000017 +:04479F000000000016 +:0447A0000000000015 +:0447A1000000000014 +:0447A2000000000013 +:0447A3000000000012 +:0447A4000000000011 +:0447A5000000000010 +:0447A600000000000F +:0447A700000000000E +:0447A800000000000D +:0447A900000000000C +:0447AA00000000000B +:0447AB00000000000A +:0447AC000000000009 +:0447AD000000000008 +:0447AE000000000007 +:0447AF000000000006 +:0447B0000000000005 +:0447B1000000000004 +:0447B2000000000003 +:0447B3000000000002 +:0447B4000000000001 +:0447B5000000000000 +:0447B60000000000FF +:0447B70000000000FE +:0447B80000000000FD +:0447B90000000000FC +:0447BA0000000000FB +:0447BB0000000000FA +:0447BC0000000000F9 +:0447BD0000000000F8 +:0447BE0000000000F7 +:0447BF0000000000F6 +:0447C00000000000F5 +:0447C10000000000F4 +:0447C20000000000F3 +:0447C30000000000F2 +:0447C40000000000F1 +:0447C50000000000F0 +:0447C60000000000EF +:0447C70000000000EE +:0447C80000000000ED +:0447C90000000000EC +:0447CA0000000000EB +:0447CB0000000000EA +:0447CC0000000000E9 +:0447CD0000000000E8 +:0447CE0000000000E7 +:0447CF0000000000E6 +:0447D00000000000E5 +:0447D10000000000E4 +:0447D20000000000E3 +:0447D30000000000E2 +:0447D40000000000E1 +:0447D50000000000E0 +:0447D60000000000DF +:0447D70000000000DE +:0447D80000000000DD +:0447D90000000000DC +:0447DA0000000000DB +:0447DB0000000000DA +:0447DC0000000000D9 +:0447DD0000000000D8 +:0447DE0000000000D7 +:0447DF0000000000D6 +:0447E00000000000D5 +:0447E10000000000D4 +:0447E20000000000D3 +:0447E30000000000D2 +:0447E40000000000D1 +:0447E50000000000D0 +:0447E60000000000CF +:0447E70000000000CE +:0447E80000000000CD +:0447E90000000000CC +:0447EA0000000000CB +:0447EB0000000000CA +:0447EC0000000000C9 +:0447ED0000000000C8 +:0447EE0000000000C7 +:0447EF0000000000C6 +:0447F00000000000C5 +:0447F10000000000C4 +:0447F20000000000C3 +:0447F30000000000C2 +:0447F40000000000C1 +:0447F50000000000C0 +:0447F60000000000BF +:0447F70000000000BE +:0447F80000000000BD +:0447F90000000000BC +:0447FA0000000000BB +:0447FB0000000000BA +:0447FC0000000000B9 +:0447FD0000000000B8 +:0447FE0000000000B7 +:0447FF0000000000B6 +:0448000000000000B4 +:0448010000000000B3 +:0448020000000000B2 +:0448030000000000B1 +:0448040000000000B0 +:0448050000000000AF +:0448060000000000AE +:0448070000000000AD +:0448080000000000AC +:0448090000000000AB +:04480A0000000000AA +:04480B0000000000A9 +:04480C0000000000A8 +:04480D0000000000A7 +:04480E0000000000A6 +:04480F0000000000A5 +:0448100000000000A4 +:0448110000000000A3 +:0448120000000000A2 +:0448130000000000A1 +:0448140000000000A0 +:04481500000000009F +:04481600000000009E +:04481700000000009D +:04481800000000009C +:04481900000000009B +:04481A00000000009A +:04481B000000000099 +:04481C000000000098 +:04481D000000000097 +:04481E000000000096 +:04481F000000000095 +:044820000000000094 +:044821000000000093 +:044822000000000092 +:044823000000000091 +:044824000000000090 +:04482500000000008F +:04482600000000008E +:04482700000000008D +:04482800000000008C +:04482900000000008B +:04482A00000000008A +:04482B000000000089 +:04482C000000000088 +:04482D000000000087 +:04482E000000000086 +:04482F000000000085 +:044830000000000084 +:044831000000000083 +:044832000000000082 +:044833000000000081 +:044834000000000080 +:04483500000000007F +:04483600000000007E +:04483700000000007D +:04483800000000007C +:04483900000000007B +:04483A00000000007A +:04483B000000000079 +:04483C000000000078 +:04483D000000000077 +:04483E000000000076 +:04483F000000000075 +:044840000000000074 +:044841000000000073 +:044842000000000072 +:044843000000000071 +:044844000000000070 +:04484500000000006F +:04484600000000006E +:04484700000000006D +:04484800000000006C +:04484900000000006B +:04484A00000000006A +:04484B000000000069 +:04484C000000000068 +:04484D000000000067 +:04484E000000000066 +:04484F000000000065 +:044850000000000064 +:044851000000000063 +:044852000000000062 +:044853000000000061 +:044854000000000060 +:04485500000000005F +:04485600000000005E +:04485700000000005D +:04485800000000005C +:04485900000000005B +:04485A00000000005A +:04485B000000000059 +:04485C000000000058 +:04485D000000000057 +:04485E000000000056 +:04485F000000000055 +:044860000000000054 +:044861000000000053 +:044862000000000052 +:044863000000000051 +:044864000000000050 +:04486500000000004F +:04486600000000004E +:04486700000000004D +:04486800000000004C +:04486900000000004B +:04486A00000000004A +:04486B000000000049 +:04486C000000000048 +:04486D000000000047 +:04486E000000000046 +:04486F000000000045 +:044870000000000044 +:044871000000000043 +:044872000000000042 +:044873000000000041 +:044874000000000040 +:04487500000000003F +:04487600000000003E +:04487700000000003D +:04487800000000003C +:04487900000000003B +:04487A00000000003A +:04487B000000000039 +:04487C000000000038 +:04487D000000000037 +:04487E000000000036 +:04487F000000000035 +:044880000000000034 +:044881000000000033 +:044882000000000032 +:044883000000000031 +:044884000000000030 +:04488500000000002F +:04488600000000002E +:04488700000000002D +:04488800000000002C +:04488900000000002B +:04488A00000000002A +:04488B000000000029 +:04488C000000000028 +:04488D000000000027 +:04488E000000000026 +:04488F000000000025 +:044890000000000024 +:044891000000000023 +:044892000000000022 +:044893000000000021 +:044894000000000020 +:04489500000000001F +:04489600000000001E +:04489700000000001D +:04489800000000001C +:04489900000000001B +:04489A00000000001A +:04489B000000000019 +:04489C000000000018 +:04489D000000000017 +:04489E000000000016 +:04489F000000000015 +:0448A0000000000014 +:0448A1000000000013 +:0448A2000000000012 +:0448A3000000000011 +:0448A4000000000010 +:0448A500000000000F +:0448A600000000000E +:0448A700000000000D +:0448A800000000000C +:0448A900000000000B +:0448AA00000000000A +:0448AB000000000009 +:0448AC000000000008 +:0448AD000000000007 +:0448AE000000000006 +:0448AF000000000005 +:0448B0000000000004 +:0448B1000000000003 +:0448B2000000000002 +:0448B3000000000001 +:0448B4000000000000 +:0448B50000000000FF +:0448B60000000000FE +:0448B70000000000FD +:0448B80000000000FC +:0448B90000000000FB +:0448BA0000000000FA +:0448BB0000000000F9 +:0448BC0000000000F8 +:0448BD0000000000F7 +:0448BE0000000000F6 +:0448BF0000000000F5 +:0448C00000000000F4 +:0448C10000000000F3 +:0448C20000000000F2 +:0448C30000000000F1 +:0448C40000000000F0 +:0448C50000000000EF +:0448C60000000000EE +:0448C70000000000ED +:0448C80000000000EC +:0448C90000000000EB +:0448CA0000000000EA +:0448CB0000000000E9 +:0448CC0000000000E8 +:0448CD0000000000E7 +:0448CE0000000000E6 +:0448CF0000000000E5 +:0448D00000000000E4 +:0448D10000000000E3 +:0448D20000000000E2 +:0448D30000000000E1 +:0448D40000000000E0 +:0448D50000000000DF +:0448D60000000000DE +:0448D70000000000DD +:0448D80000000000DC +:0448D90000000000DB +:0448DA0000000000DA +:0448DB0000000000D9 +:0448DC0000000000D8 +:0448DD0000000000D7 +:0448DE0000000000D6 +:0448DF0000000000D5 +:0448E00000000000D4 +:0448E10000000000D3 +:0448E20000000000D2 +:0448E30000000000D1 +:0448E40000000000D0 +:0448E50000000000CF +:0448E60000000000CE +:0448E70000000000CD +:0448E80000000000CC +:0448E90000000000CB +:0448EA0000000000CA +:0448EB0000000000C9 +:0448EC0000000000C8 +:0448ED0000000000C7 +:0448EE0000000000C6 +:0448EF0000000000C5 +:0448F00000000000C4 +:0448F10000000000C3 +:0448F20000000000C2 +:0448F30000000000C1 +:0448F40000000000C0 +:0448F50000000000BF +:0448F60000000000BE +:0448F70000000000BD +:0448F80000000000BC +:0448F90000000000BB +:0448FA0000000000BA +:0448FB0000000000B9 +:0448FC0000000000B8 +:0448FD0000000000B7 +:0448FE0000000000B6 +:0448FF0000000000B5 +:0449000000000000B3 +:0449010000000000B2 +:0449020000000000B1 +:0449030000000000B0 +:0449040000000000AF +:0449050000000000AE +:0449060000000000AD +:0449070000000000AC +:0449080000000000AB +:0449090000000000AA +:04490A0000000000A9 +:04490B0000000000A8 +:04490C0000000000A7 +:04490D0000000000A6 +:04490E0000000000A5 +:04490F0000000000A4 +:0449100000000000A3 +:0449110000000000A2 +:0449120000000000A1 +:0449130000000000A0 +:04491400000000009F +:04491500000000009E +:04491600000000009D +:04491700000000009C +:04491800000000009B +:04491900000000009A +:04491A000000000099 +:04491B000000000098 +:04491C000000000097 +:04491D000000000096 +:04491E000000000095 +:04491F000000000094 +:044920000000000093 +:044921000000000092 +:044922000000000091 +:044923000000000090 +:04492400000000008F +:04492500000000008E +:04492600000000008D +:04492700000000008C +:04492800000000008B +:04492900000000008A +:04492A000000000089 +:04492B000000000088 +:04492C000000000087 +:04492D000000000086 +:04492E000000000085 +:04492F000000000084 +:044930000000000083 +:044931000000000082 +:044932000000000081 +:044933000000000080 +:04493400000000007F +:04493500000000007E +:04493600000000007D +:04493700000000007C +:04493800000000007B +:04493900000000007A +:04493A000000000079 +:04493B000000000078 +:04493C000000000077 +:04493D000000000076 +:04493E000000000075 +:04493F000000000074 +:044940000000000073 +:044941000000000072 +:044942000000000071 +:044943000000000070 +:04494400000000006F +:04494500000000006E +:04494600000000006D +:04494700000000006C +:04494800000000006B +:04494900000000006A +:04494A000000000069 +:04494B000000000068 +:04494C000000000067 +:04494D000000000066 +:04494E000000000065 +:04494F000000000064 +:044950000000000063 +:044951000000000062 +:044952000000000061 +:044953000000000060 +:04495400000000005F +:04495500000000005E +:04495600000000005D +:04495700000000005C +:04495800000000005B +:04495900000000005A +:04495A000000000059 +:04495B000000000058 +:04495C000000000057 +:04495D000000000056 +:04495E000000000055 +:04495F000000000054 +:044960000000000053 +:044961000000000052 +:044962000000000051 +:044963000000000050 +:04496400000000004F +:04496500000000004E +:04496600000000004D +:04496700000000004C +:04496800000000004B +:04496900000000004A +:04496A000000000049 +:04496B000000000048 +:04496C000000000047 +:04496D000000000046 +:04496E000000000045 +:04496F000000000044 +:044970000000000043 +:044971000000000042 +:044972000000000041 +:044973000000000040 +:04497400000000003F +:04497500000000003E +:04497600000000003D +:04497700000000003C +:04497800000000003B +:04497900000000003A +:04497A000000000039 +:04497B000000000038 +:04497C000000000037 +:04497D000000000036 +:04497E000000000035 +:04497F000000000034 +:044980000000000033 +:044981000000000032 +:044982000000000031 +:044983000000000030 +:04498400000000002F +:04498500000000002E +:04498600000000002D +:04498700000000002C +:04498800000000002B +:04498900000000002A +:04498A000000000029 +:04498B000000000028 +:04498C000000000027 +:04498D000000000026 +:04498E000000000025 +:04498F000000000024 +:044990000000000023 +:044991000000000022 +:044992000000000021 +:044993000000000020 +:04499400000000001F +:04499500000000001E +:04499600000000001D +:04499700000000001C +:04499800000000001B +:04499900000000001A +:04499A000000000019 +:04499B000000000018 +:04499C000000000017 +:04499D000000000016 +:04499E000000000015 +:04499F000000000014 +:0449A0000000000013 +:0449A1000000000012 +:0449A2000000000011 +:0449A3000000000010 +:0449A400000000000F +:0449A500000000000E +:0449A600000000000D +:0449A700000000000C +:0449A800000000000B +:0449A900000000000A +:0449AA000000000009 +:0449AB000000000008 +:0449AC000000000007 +:0449AD000000000006 +:0449AE000000000005 +:0449AF000000000004 +:0449B0000000000003 +:0449B1000000000002 +:0449B2000000000001 +:0449B3000000000000 +:0449B40000000000FF +:0449B50000000000FE +:0449B60000000000FD +:0449B70000000000FC +:0449B80000000000FB +:0449B90000000000FA +:0449BA0000000000F9 +:0449BB0000000000F8 +:0449BC0000000000F7 +:0449BD0000000000F6 +:0449BE0000000000F5 +:0449BF0000000000F4 +:0449C00000000000F3 +:0449C10000000000F2 +:0449C20000000000F1 +:0449C30000000000F0 +:0449C40000000000EF +:0449C50000000000EE +:0449C60000000000ED +:0449C70000000000EC +:0449C80000000000EB +:0449C90000000000EA +:0449CA0000000000E9 +:0449CB0000000000E8 +:0449CC0000000000E7 +:0449CD0000000000E6 +:0449CE0000000000E5 +:0449CF0000000000E4 +:0449D00000000000E3 +:0449D10000000000E2 +:0449D20000000000E1 +:0449D30000000000E0 +:0449D40000000000DF +:0449D50000000000DE +:0449D60000000000DD +:0449D70000000000DC +:0449D80000000000DB +:0449D90000000000DA +:0449DA0000000000D9 +:0449DB0000000000D8 +:0449DC0000000000D7 +:0449DD0000000000D6 +:0449DE0000000000D5 +:0449DF0000000000D4 +:0449E00000000000D3 +:0449E10000000000D2 +:0449E20000000000D1 +:0449E30000000000D0 +:0449E40000000000CF +:0449E50000000000CE +:0449E60000000000CD +:0449E70000000000CC +:0449E80000000000CB +:0449E90000000000CA +:0449EA0000000000C9 +:0449EB0000000000C8 +:0449EC0000000000C7 +:0449ED0000000000C6 +:0449EE0000000000C5 +:0449EF0000000000C4 +:0449F00000000000C3 +:0449F10000000000C2 +:0449F20000000000C1 +:0449F30000000000C0 +:0449F40000000000BF +:0449F50000000000BE +:0449F60000000000BD +:0449F70000000000BC +:0449F80000000000BB +:0449F90000000000BA +:0449FA0000000000B9 +:0449FB0000000000B8 +:0449FC0000000000B7 +:0449FD0000000000B6 +:0449FE0000000000B5 +:0449FF0000000000B4 +:044A000000000000B2 +:044A010000000000B1 +:044A020000000000B0 +:044A030000000000AF +:044A040000000000AE +:044A050000000000AD +:044A060000000000AC +:044A070000000000AB +:044A080000000000AA +:044A090000000000A9 +:044A0A0000000000A8 +:044A0B0000000000A7 +:044A0C0000000000A6 +:044A0D0000000000A5 +:044A0E0000000000A4 +:044A0F0000000000A3 +:044A100000000000A2 +:044A110000000000A1 +:044A120000000000A0 +:044A1300000000009F +:044A1400000000009E +:044A1500000000009D +:044A1600000000009C +:044A1700000000009B +:044A1800000000009A +:044A19000000000099 +:044A1A000000000098 +:044A1B000000000097 +:044A1C000000000096 +:044A1D000000000095 +:044A1E000000000094 +:044A1F000000000093 +:044A20000000000092 +:044A21000000000091 +:044A22000000000090 +:044A2300000000008F +:044A2400000000008E +:044A2500000000008D +:044A2600000000008C +:044A2700000000008B +:044A2800000000008A +:044A29000000000089 +:044A2A000000000088 +:044A2B000000000087 +:044A2C000000000086 +:044A2D000000000085 +:044A2E000000000084 +:044A2F000000000083 +:044A30000000000082 +:044A31000000000081 +:044A32000000000080 +:044A3300000000007F +:044A3400000000007E +:044A3500000000007D +:044A3600000000007C +:044A3700000000007B +:044A3800000000007A +:044A39000000000079 +:044A3A000000000078 +:044A3B000000000077 +:044A3C000000000076 +:044A3D000000000075 +:044A3E000000000074 +:044A3F000000000073 +:044A40000000000072 +:044A41000000000071 +:044A42000000000070 +:044A4300000000006F +:044A4400000000006E +:044A4500000000006D +:044A4600000000006C +:044A4700000000006B +:044A4800000000006A +:044A49000000000069 +:044A4A000000000068 +:044A4B000000000067 +:044A4C000000000066 +:044A4D000000000065 +:044A4E000000000064 +:044A4F000000000063 +:044A50000000000062 +:044A51000000000061 +:044A52000000000060 +:044A5300000000005F +:044A5400000000005E +:044A5500000000005D +:044A5600000000005C +:044A5700000000005B +:044A5800000000005A +:044A59000000000059 +:044A5A000000000058 +:044A5B000000000057 +:044A5C000000000056 +:044A5D000000000055 +:044A5E000000000054 +:044A5F000000000053 +:044A60000000000052 +:044A61000000000051 +:044A62000000000050 +:044A6300000000004F +:044A6400000000004E +:044A6500000000004D +:044A6600000000004C +:044A6700000000004B +:044A6800000000004A +:044A69000000000049 +:044A6A000000000048 +:044A6B000000000047 +:044A6C000000000046 +:044A6D000000000045 +:044A6E000000000044 +:044A6F000000000043 +:044A70000000000042 +:044A71000000000041 +:044A72000000000040 +:044A7300000000003F +:044A7400000000003E +:044A7500000000003D +:044A7600000000003C +:044A7700000000003B +:044A7800000000003A +:044A79000000000039 +:044A7A000000000038 +:044A7B000000000037 +:044A7C000000000036 +:044A7D000000000035 +:044A7E000000000034 +:044A7F000000000033 +:044A80000000000032 +:044A81000000000031 +:044A82000000000030 +:044A8300000000002F +:044A8400000000002E +:044A8500000000002D +:044A8600000000002C +:044A8700000000002B +:044A8800000000002A +:044A89000000000029 +:044A8A000000000028 +:044A8B000000000027 +:044A8C000000000026 +:044A8D000000000025 +:044A8E000000000024 +:044A8F000000000023 +:044A90000000000022 +:044A91000000000021 +:044A92000000000020 +:044A9300000000001F +:044A9400000000001E +:044A9500000000001D +:044A9600000000001C +:044A9700000000001B +:044A9800000000001A +:044A99000000000019 +:044A9A000000000018 +:044A9B000000000017 +:044A9C000000000016 +:044A9D000000000015 +:044A9E000000000014 +:044A9F000000000013 +:044AA0000000000012 +:044AA1000000000011 +:044AA2000000000010 +:044AA300000000000F +:044AA400000000000E +:044AA500000000000D +:044AA600000000000C +:044AA700000000000B +:044AA800000000000A +:044AA9000000000009 +:044AAA000000000008 +:044AAB000000000007 +:044AAC000000000006 +:044AAD000000000005 +:044AAE000000000004 +:044AAF000000000003 +:044AB0000000000002 +:044AB1000000000001 +:044AB2000000000000 +:044AB30000000000FF +:044AB40000000000FE +:044AB50000000000FD +:044AB60000000000FC +:044AB70000000000FB +:044AB80000000000FA +:044AB90000000000F9 +:044ABA0000000000F8 +:044ABB0000000000F7 +:044ABC0000000000F6 +:044ABD0000000000F5 +:044ABE0000000000F4 +:044ABF0000000000F3 +:044AC00000000000F2 +:044AC10000000000F1 +:044AC20000000000F0 +:044AC30000000000EF +:044AC40000000000EE +:044AC50000000000ED +:044AC60000000000EC +:044AC70000000000EB +:044AC80000000000EA +:044AC90000000000E9 +:044ACA0000000000E8 +:044ACB0000000000E7 +:044ACC0000000000E6 +:044ACD0000000000E5 +:044ACE0000000000E4 +:044ACF0000000000E3 +:044AD00000000000E2 +:044AD10000000000E1 +:044AD20000000000E0 +:044AD30000000000DF +:044AD40000000000DE +:044AD50000000000DD +:044AD60000000000DC +:044AD70000000000DB +:044AD80000000000DA +:044AD90000000000D9 +:044ADA0000000000D8 +:044ADB0000000000D7 +:044ADC0000000000D6 +:044ADD0000000000D5 +:044ADE0000000000D4 +:044ADF0000000000D3 +:044AE00000000000D2 +:044AE10000000000D1 +:044AE20000000000D0 +:044AE30000000000CF +:044AE40000000000CE +:044AE50000000000CD +:044AE60000000000CC +:044AE70000000000CB +:044AE80000000000CA +:044AE90000000000C9 +:044AEA0000000000C8 +:044AEB0000000000C7 +:044AEC0000000000C6 +:044AED0000000000C5 +:044AEE0000000000C4 +:044AEF0000000000C3 +:044AF00000000000C2 +:044AF10000000000C1 +:044AF20000000000C0 +:044AF30000000000BF +:044AF40000000000BE +:044AF50000000000BD +:044AF60000000000BC +:044AF70000000000BB +:044AF80000000000BA +:044AF90000000000B9 +:044AFA0000000000B8 +:044AFB0000000000B7 +:044AFC0000000000B6 +:044AFD0000000000B5 +:044AFE0000000000B4 +:044AFF0000000000B3 +:044B000000000000B1 +:044B010000000000B0 +:044B020000000000AF +:044B030000000000AE +:044B040000000000AD +:044B050000000000AC +:044B060000000000AB +:044B070000000000AA +:044B080000000000A9 +:044B090000000000A8 +:044B0A0000000000A7 +:044B0B0000000000A6 +:044B0C0000000000A5 +:044B0D0000000000A4 +:044B0E0000000000A3 +:044B0F0000000000A2 +:044B100000000000A1 +:044B110000000000A0 +:044B1200000000009F +:044B1300000000009E +:044B1400000000009D +:044B1500000000009C +:044B1600000000009B +:044B1700000000009A +:044B18000000000099 +:044B19000000000098 +:044B1A000000000097 +:044B1B000000000096 +:044B1C000000000095 +:044B1D000000000094 +:044B1E000000000093 +:044B1F000000000092 +:044B20000000000091 +:044B21000000000090 +:044B2200000000008F +:044B2300000000008E +:044B2400000000008D +:044B2500000000008C +:044B2600000000008B +:044B2700000000008A +:044B28000000000089 +:044B29000000000088 +:044B2A000000000087 +:044B2B000000000086 +:044B2C000000000085 +:044B2D000000000084 +:044B2E000000000083 +:044B2F000000000082 +:044B30000000000081 +:044B31000000000080 +:044B3200000000007F +:044B3300000000007E +:044B3400000000007D +:044B3500000000007C +:044B3600000000007B +:044B3700000000007A +:044B38000000000079 +:044B39000000000078 +:044B3A000000000077 +:044B3B000000000076 +:044B3C000000000075 +:044B3D000000000074 +:044B3E000000000073 +:044B3F000000000072 +:044B40000000000071 +:044B41000000000070 +:044B4200000000006F +:044B4300000000006E +:044B4400000000006D +:044B4500000000006C +:044B4600000000006B +:044B4700000000006A +:044B48000000000069 +:044B49000000000068 +:044B4A000000000067 +:044B4B000000000066 +:044B4C000000000065 +:044B4D000000000064 +:044B4E000000000063 +:044B4F000000000062 +:044B50000000000061 +:044B51000000000060 +:044B5200000000005F +:044B5300000000005E +:044B5400000000005D +:044B5500000000005C +:044B5600000000005B +:044B5700000000005A +:044B58000000000059 +:044B59000000000058 +:044B5A000000000057 +:044B5B000000000056 +:044B5C000000000055 +:044B5D000000000054 +:044B5E000000000053 +:044B5F000000000052 +:044B60000000000051 +:044B61000000000050 +:044B6200000000004F +:044B6300000000004E +:044B6400000000004D +:044B6500000000004C +:044B6600000000004B +:044B6700000000004A +:044B68000000000049 +:044B69000000000048 +:044B6A000000000047 +:044B6B000000000046 +:044B6C000000000045 +:044B6D000000000044 +:044B6E000000000043 +:044B6F000000000042 +:044B70000000000041 +:044B71000000000040 +:044B7200000000003F +:044B7300000000003E +:044B7400000000003D +:044B7500000000003C +:044B7600000000003B +:044B7700000000003A +:044B78000000000039 +:044B79000000000038 +:044B7A000000000037 +:044B7B000000000036 +:044B7C000000000035 +:044B7D000000000034 +:044B7E000000000033 +:044B7F000000000032 +:044B80000000000031 +:044B81000000000030 +:044B8200000000002F +:044B8300000000002E +:044B8400000000002D +:044B8500000000002C +:044B8600000000002B +:044B8700000000002A +:044B88000000000029 +:044B89000000000028 +:044B8A000000000027 +:044B8B000000000026 +:044B8C000000000025 +:044B8D000000000024 +:044B8E000000000023 +:044B8F000000000022 +:044B90000000000021 +:044B91000000000020 +:044B9200000000001F +:044B9300000000001E +:044B9400000000001D +:044B9500000000001C +:044B9600000000001B +:044B9700000000001A +:044B98000000000019 +:044B99000000000018 +:044B9A000000000017 +:044B9B000000000016 +:044B9C000000000015 +:044B9D000000000014 +:044B9E000000000013 +:044B9F000000000012 +:044BA0000000000011 +:044BA1000000000010 +:044BA200000000000F +:044BA300000000000E +:044BA400000000000D +:044BA500000000000C +:044BA600000000000B +:044BA700000000000A +:044BA8000000000009 +:044BA9000000000008 +:044BAA000000000007 +:044BAB000000000006 +:044BAC000000000005 +:044BAD000000000004 +:044BAE000000000003 +:044BAF000000000002 +:044BB0000000000001 +:044BB1000000000000 +:044BB20000000000FF +:044BB30000000000FE +:044BB40000000000FD +:044BB50000000000FC +:044BB60000000000FB +:044BB70000000000FA +:044BB80000000000F9 +:044BB90000000000F8 +:044BBA0000000000F7 +:044BBB0000000000F6 +:044BBC0000000000F5 +:044BBD0000000000F4 +:044BBE0000000000F3 +:044BBF0000000000F2 +:044BC00000000000F1 +:044BC10000000000F0 +:044BC20000000000EF +:044BC30000000000EE +:044BC40000000000ED +:044BC50000000000EC +:044BC60000000000EB +:044BC70000000000EA +:044BC80000000000E9 +:044BC90000000000E8 +:044BCA0000000000E7 +:044BCB0000000000E6 +:044BCC0000000000E5 +:044BCD0000000000E4 +:044BCE0000000000E3 +:044BCF0000000000E2 +:044BD00000000000E1 +:044BD10000000000E0 +:044BD20000000000DF +:044BD30000000000DE +:044BD40000000000DD +:044BD50000000000DC +:044BD60000000000DB +:044BD70000000000DA +:044BD80000000000D9 +:044BD90000000000D8 +:044BDA0000000000D7 +:044BDB0000000000D6 +:044BDC0000000000D5 +:044BDD0000000000D4 +:044BDE0000000000D3 +:044BDF0000000000D2 +:044BE00000000000D1 +:044BE10000000000D0 +:044BE20000000000CF +:044BE30000000000CE +:044BE40000000000CD +:044BE50000000000CC +:044BE60000000000CB +:044BE70000000000CA +:044BE80000000000C9 +:044BE90000000000C8 +:044BEA0000000000C7 +:044BEB0000000000C6 +:044BEC0000000000C5 +:044BED0000000000C4 +:044BEE0000000000C3 +:044BEF0000000000C2 +:044BF00000000000C1 +:044BF10000000000C0 +:044BF20000000000BF +:044BF30000000000BE +:044BF40000000000BD +:044BF50000000000BC +:044BF60000000000BB +:044BF70000000000BA +:044BF80000000000B9 +:044BF90000000000B8 +:044BFA0000000000B7 +:044BFB0000000000B6 +:044BFC0000000000B5 +:044BFD0000000000B4 +:044BFE0000000000B3 +:044BFF0000000000B2 +:044C000000000000B0 +:044C010000000000AF +:044C020000000000AE +:044C030000000000AD +:044C040000000000AC +:044C050000000000AB +:044C060000000000AA +:044C070000000000A9 +:044C080000000000A8 +:044C090000000000A7 +:044C0A0000000000A6 +:044C0B0000000000A5 +:044C0C0000000000A4 +:044C0D0000000000A3 +:044C0E0000000000A2 +:044C0F0000000000A1 +:044C100000000000A0 +:044C1100000000009F +:044C1200000000009E +:044C1300000000009D +:044C1400000000009C +:044C1500000000009B +:044C1600000000009A +:044C17000000000099 +:044C18000000000098 +:044C19000000000097 +:044C1A000000000096 +:044C1B000000000095 +:044C1C000000000094 +:044C1D000000000093 +:044C1E000000000092 +:044C1F000000000091 +:044C20000000000090 +:044C2100000000008F +:044C2200000000008E +:044C2300000000008D +:044C2400000000008C +:044C2500000000008B +:044C2600000000008A +:044C27000000000089 +:044C28000000000088 +:044C29000000000087 +:044C2A000000000086 +:044C2B000000000085 +:044C2C000000000084 +:044C2D000000000083 +:044C2E000000000082 +:044C2F000000000081 +:044C30000000000080 +:044C3100000000007F +:044C3200000000007E +:044C3300000000007D +:044C3400000000007C +:044C3500000000007B +:044C3600000000007A +:044C37000000000079 +:044C38000000000078 +:044C39000000000077 +:044C3A000000000076 +:044C3B000000000075 +:044C3C000000000074 +:044C3D000000000073 +:044C3E000000000072 +:044C3F000000000071 +:044C40000000000070 +:044C4100000000006F +:044C4200000000006E +:044C4300000000006D +:044C4400000000006C +:044C4500000000006B +:044C4600000000006A +:044C47000000000069 +:044C48000000000068 +:044C49000000000067 +:044C4A000000000066 +:044C4B000000000065 +:044C4C000000000064 +:044C4D000000000063 +:044C4E000000000062 +:044C4F000000000061 +:044C50000000000060 +:044C5100000000005F +:044C5200000000005E +:044C5300000000005D +:044C5400000000005C +:044C5500000000005B +:044C5600000000005A +:044C57000000000059 +:044C58000000000058 +:044C59000000000057 +:044C5A000000000056 +:044C5B000000000055 +:044C5C000000000054 +:044C5D000000000053 +:044C5E000000000052 +:044C5F000000000051 +:044C60000000000050 +:044C6100000000004F +:044C6200000000004E +:044C6300000000004D +:044C6400000000004C +:044C6500000000004B +:044C6600000000004A +:044C67000000000049 +:044C68000000000048 +:044C69000000000047 +:044C6A000000000046 +:044C6B000000000045 +:044C6C000000000044 +:044C6D000000000043 +:044C6E000000000042 +:044C6F000000000041 +:044C70000000000040 +:044C7100000000003F +:044C7200000000003E +:044C7300000000003D +:044C7400000000003C +:044C7500000000003B +:044C7600000000003A +:044C77000000000039 +:044C78000000000038 +:044C79000000000037 +:044C7A000000000036 +:044C7B000000000035 +:044C7C000000000034 +:044C7D000000000033 +:044C7E000000000032 +:044C7F000000000031 +:044C80000000000030 +:044C8100000000002F +:044C8200000000002E +:044C8300000000002D +:044C8400000000002C +:044C8500000000002B +:044C8600000000002A +:044C87000000000029 +:044C88000000000028 +:044C89000000000027 +:044C8A000000000026 +:044C8B000000000025 +:044C8C000000000024 +:044C8D000000000023 +:044C8E000000000022 +:044C8F000000000021 +:044C90000000000020 +:044C9100000000001F +:044C9200000000001E +:044C9300000000001D +:044C9400000000001C +:044C9500000000001B +:044C9600000000001A +:044C97000000000019 +:044C98000000000018 +:044C99000000000017 +:044C9A000000000016 +:044C9B000000000015 +:044C9C000000000014 +:044C9D000000000013 +:044C9E000000000012 +:044C9F000000000011 +:044CA0000000000010 +:044CA100000000000F +:044CA200000000000E +:044CA300000000000D +:044CA400000000000C +:044CA500000000000B +:044CA600000000000A +:044CA7000000000009 +:044CA8000000000008 +:044CA9000000000007 +:044CAA000000000006 +:044CAB000000000005 +:044CAC000000000004 +:044CAD000000000003 +:044CAE000000000002 +:044CAF000000000001 +:044CB0000000000000 +:044CB10000000000FF +:044CB20000000000FE +:044CB30000000000FD +:044CB40000000000FC +:044CB50000000000FB +:044CB60000000000FA +:044CB70000000000F9 +:044CB80000000000F8 +:044CB90000000000F7 +:044CBA0000000000F6 +:044CBB0000000000F5 +:044CBC0000000000F4 +:044CBD0000000000F3 +:044CBE0000000000F2 +:044CBF0000000000F1 +:044CC00000000000F0 +:044CC10000000000EF +:044CC20000000000EE +:044CC30000000000ED +:044CC40000000000EC +:044CC50000000000EB +:044CC60000000000EA +:044CC70000000000E9 +:044CC80000000000E8 +:044CC90000000000E7 +:044CCA0000000000E6 +:044CCB0000000000E5 +:044CCC0000000000E4 +:044CCD0000000000E3 +:044CCE0000000000E2 +:044CCF0000000000E1 +:044CD00000000000E0 +:044CD10000000000DF +:044CD20000000000DE +:044CD30000000000DD +:044CD40000000000DC +:044CD50000000000DB +:044CD60000000000DA +:044CD70000000000D9 +:044CD80000000000D8 +:044CD90000000000D7 +:044CDA0000000000D6 +:044CDB0000000000D5 +:044CDC0000000000D4 +:044CDD0000000000D3 +:044CDE0000000000D2 +:044CDF0000000000D1 +:044CE00000000000D0 +:044CE10000000000CF +:044CE20000000000CE +:044CE30000000000CD +:044CE40000000000CC +:044CE50000000000CB +:044CE60000000000CA +:044CE70000000000C9 +:044CE80000000000C8 +:044CE90000000000C7 +:044CEA0000000000C6 +:044CEB0000000000C5 +:044CEC0000000000C4 +:044CED0000000000C3 +:044CEE0000000000C2 +:044CEF0000000000C1 +:044CF00000000000C0 +:044CF10000000000BF +:044CF20000000000BE +:044CF30000000000BD +:044CF40000000000BC +:044CF50000000000BB +:044CF60000000000BA +:044CF70000000000B9 +:044CF80000000000B8 +:044CF90000000000B7 +:044CFA0000000000B6 +:044CFB0000000000B5 +:044CFC0000000000B4 +:044CFD0000000000B3 +:044CFE0000000000B2 +:044CFF0000000000B1 +:044D000000000000AF +:044D010000000000AE +:044D020000000000AD +:044D030000000000AC +:044D040000000000AB +:044D050000000000AA +:044D060000000000A9 +:044D070000000000A8 +:044D080000000000A7 +:044D090000000000A6 +:044D0A0000000000A5 +:044D0B0000000000A4 +:044D0C0000000000A3 +:044D0D0000000000A2 +:044D0E0000000000A1 +:044D0F0000000000A0 +:044D1000000000009F +:044D1100000000009E +:044D1200000000009D +:044D1300000000009C +:044D1400000000009B +:044D1500000000009A +:044D16000000000099 +:044D17000000000098 +:044D18000000000097 +:044D19000000000096 +:044D1A000000000095 +:044D1B000000000094 +:044D1C000000000093 +:044D1D000000000092 +:044D1E000000000091 +:044D1F000000000090 +:044D2000000000008F +:044D2100000000008E +:044D2200000000008D +:044D2300000000008C +:044D2400000000008B +:044D2500000000008A +:044D26000000000089 +:044D27000000000088 +:044D28000000000087 +:044D29000000000086 +:044D2A000000000085 +:044D2B000000000084 +:044D2C000000000083 +:044D2D000000000082 +:044D2E000000000081 +:044D2F000000000080 +:044D3000000000007F +:044D3100000000007E +:044D3200000000007D +:044D3300000000007C +:044D3400000000007B +:044D3500000000007A +:044D36000000000079 +:044D37000000000078 +:044D38000000000077 +:044D39000000000076 +:044D3A000000000075 +:044D3B000000000074 +:044D3C000000000073 +:044D3D000000000072 +:044D3E000000000071 +:044D3F000000000070 +:044D4000000000006F +:044D4100000000006E +:044D4200000000006D +:044D4300000000006C +:044D4400000000006B +:044D4500000000006A +:044D46000000000069 +:044D47000000000068 +:044D48000000000067 +:044D49000000000066 +:044D4A000000000065 +:044D4B000000000064 +:044D4C000000000063 +:044D4D000000000062 +:044D4E000000000061 +:044D4F000000000060 +:044D5000000000005F +:044D5100000000005E +:044D5200000000005D +:044D5300000000005C +:044D5400000000005B +:044D5500000000005A +:044D56000000000059 +:044D57000000000058 +:044D58000000000057 +:044D59000000000056 +:044D5A000000000055 +:044D5B000000000054 +:044D5C000000000053 +:044D5D000000000052 +:044D5E000000000051 +:044D5F000000000050 +:044D6000000000004F +:044D6100000000004E +:044D6200000000004D +:044D6300000000004C +:044D6400000000004B +:044D6500000000004A +:044D66000000000049 +:044D67000000000048 +:044D68000000000047 +:044D69000000000046 +:044D6A000000000045 +:044D6B000000000044 +:044D6C000000000043 +:044D6D000000000042 +:044D6E000000000041 +:044D6F000000000040 +:044D7000000000003F +:044D7100000000003E +:044D7200000000003D +:044D7300000000003C +:044D7400000000003B +:044D7500000000003A +:044D76000000000039 +:044D77000000000038 +:044D78000000000037 +:044D79000000000036 +:044D7A000000000035 +:044D7B000000000034 +:044D7C000000000033 +:044D7D000000000032 +:044D7E000000000031 +:044D7F000000000030 +:044D8000000000002F +:044D8100000000002E +:044D8200000000002D +:044D8300000000002C +:044D8400000000002B +:044D8500000000002A +:044D86000000000029 +:044D87000000000028 +:044D88000000000027 +:044D89000000000026 +:044D8A000000000025 +:044D8B000000000024 +:044D8C000000000023 +:044D8D000000000022 +:044D8E000000000021 +:044D8F000000000020 +:044D9000000000001F +:044D9100000000001E +:044D9200000000001D +:044D9300000000001C +:044D9400000000001B +:044D9500000000001A +:044D96000000000019 +:044D97000000000018 +:044D98000000000017 +:044D99000000000016 +:044D9A000000000015 +:044D9B000000000014 +:044D9C000000000013 +:044D9D000000000012 +:044D9E000000000011 +:044D9F000000000010 +:044DA000000000000F +:044DA100000000000E +:044DA200000000000D +:044DA300000000000C +:044DA400000000000B +:044DA500000000000A +:044DA6000000000009 +:044DA7000000000008 +:044DA8000000000007 +:044DA9000000000006 +:044DAA000000000005 +:044DAB000000000004 +:044DAC000000000003 +:044DAD000000000002 +:044DAE000000000001 +:044DAF000000000000 +:044DB00000000000FF +:044DB10000000000FE +:044DB20000000000FD +:044DB30000000000FC +:044DB40000000000FB +:044DB50000000000FA +:044DB60000000000F9 +:044DB70000000000F8 +:044DB80000000000F7 +:044DB90000000000F6 +:044DBA0000000000F5 +:044DBB0000000000F4 +:044DBC0000000000F3 +:044DBD0000000000F2 +:044DBE0000000000F1 +:044DBF0000000000F0 +:044DC00000000000EF +:044DC10000000000EE +:044DC20000000000ED +:044DC30000000000EC +:044DC40000000000EB +:044DC50000000000EA +:044DC60000000000E9 +:044DC70000000000E8 +:044DC80000000000E7 +:044DC90000000000E6 +:044DCA0000000000E5 +:044DCB0000000000E4 +:044DCC0000000000E3 +:044DCD0000000000E2 +:044DCE0000000000E1 +:044DCF0000000000E0 +:044DD00000000000DF +:044DD10000000000DE +:044DD20000000000DD +:044DD30000000000DC +:044DD40000000000DB +:044DD50000000000DA +:044DD60000000000D9 +:044DD70000000000D8 +:044DD80000000000D7 +:044DD90000000000D6 +:044DDA0000000000D5 +:044DDB0000000000D4 +:044DDC0000000000D3 +:044DDD0000000000D2 +:044DDE0000000000D1 +:044DDF0000000000D0 +:044DE00000000000CF +:044DE10000000000CE +:044DE20000000000CD +:044DE30000000000CC +:044DE40000000000CB +:044DE50000000000CA +:044DE60000000000C9 +:044DE70000000000C8 +:044DE80000000000C7 +:044DE90000000000C6 +:044DEA0000000000C5 +:044DEB0000000000C4 +:044DEC0000000000C3 +:044DED0000000000C2 +:044DEE0000000000C1 +:044DEF0000000000C0 +:044DF00000000000BF +:044DF10000000000BE +:044DF20000000000BD +:044DF30000000000BC +:044DF40000000000BB +:044DF50000000000BA +:044DF60000000000B9 +:044DF70000000000B8 +:044DF80000000000B7 +:044DF90000000000B6 +:044DFA0000000000B5 +:044DFB0000000000B4 +:044DFC0000000000B3 +:044DFD0000000000B2 +:044DFE0000000000B1 +:044DFF0000000000B0 +:044E000000000000AE +:044E010000000000AD +:044E020000000000AC +:044E030000000000AB +:044E040000000000AA +:044E050000000000A9 +:044E060000000000A8 +:044E070000000000A7 +:044E080000000000A6 +:044E090000000000A5 +:044E0A0000000000A4 +:044E0B0000000000A3 +:044E0C0000000000A2 +:044E0D0000000000A1 +:044E0E0000000000A0 +:044E0F00000000009F +:044E1000000000009E +:044E1100000000009D +:044E1200000000009C +:044E1300000000009B +:044E1400000000009A +:044E15000000000099 +:044E16000000000098 +:044E17000000000097 +:044E18000000000096 +:044E19000000000095 +:044E1A000000000094 +:044E1B000000000093 +:044E1C000000000092 +:044E1D000000000091 +:044E1E000000000090 +:044E1F00000000008F +:044E2000000000008E +:044E2100000000008D +:044E2200000000008C +:044E2300000000008B +:044E2400000000008A +:044E25000000000089 +:044E26000000000088 +:044E27000000000087 +:044E28000000000086 +:044E29000000000085 +:044E2A000000000084 +:044E2B000000000083 +:044E2C000000000082 +:044E2D000000000081 +:044E2E000000000080 +:044E2F00000000007F +:044E3000000000007E +:044E3100000000007D +:044E3200000000007C +:044E3300000000007B +:044E3400000000007A +:044E35000000000079 +:044E36000000000078 +:044E37000000000077 +:044E38000000000076 +:044E39000000000075 +:044E3A000000000074 +:044E3B000000000073 +:044E3C000000000072 +:044E3D000000000071 +:044E3E000000000070 +:044E3F00000000006F +:044E4000000000006E +:044E4100000000006D +:044E4200000000006C +:044E4300000000006B +:044E4400000000006A +:044E45000000000069 +:044E46000000000068 +:044E47000000000067 +:044E48000000000066 +:044E49000000000065 +:044E4A000000000064 +:044E4B000000000063 +:044E4C000000000062 +:044E4D000000000061 +:044E4E000000000060 +:044E4F00000000005F +:044E5000000000005E +:044E5100000000005D +:044E5200000000005C +:044E5300000000005B +:044E5400000000005A +:044E55000000000059 +:044E56000000000058 +:044E57000000000057 +:044E58000000000056 +:044E59000000000055 +:044E5A000000000054 +:044E5B000000000053 +:044E5C000000000052 +:044E5D000000000051 +:044E5E000000000050 +:044E5F00000000004F +:044E6000000000004E +:044E6100000000004D +:044E6200000000004C +:044E6300000000004B +:044E6400000000004A +:044E65000000000049 +:044E66000000000048 +:044E67000000000047 +:044E68000000000046 +:044E69000000000045 +:044E6A000000000044 +:044E6B000000000043 +:044E6C000000000042 +:044E6D000000000041 +:044E6E000000000040 +:044E6F00000000003F +:044E7000000000003E +:044E7100000000003D +:044E7200000000003C +:044E7300000000003B +:044E7400000000003A +:044E75000000000039 +:044E76000000000038 +:044E77000000000037 +:044E78000000000036 +:044E79000000000035 +:044E7A000000000034 +:044E7B000000000033 +:044E7C000000000032 +:044E7D000000000031 +:044E7E000000000030 +:044E7F00000000002F +:044E8000000000002E +:044E8100000000002D +:044E8200000000002C +:044E8300000000002B +:044E8400000000002A +:044E85000000000029 +:044E86000000000028 +:044E87000000000027 +:044E88000000000026 +:044E89000000000025 +:044E8A000000000024 +:044E8B000000000023 +:044E8C000000000022 +:044E8D000000000021 +:044E8E000000000020 +:044E8F00000000001F +:044E9000000000001E +:044E9100000000001D +:044E9200000000001C +:044E9300000000001B +:044E9400000000001A +:044E95000000000019 +:044E96000000000018 +:044E97000000000017 +:044E98000000000016 +:044E99000000000015 +:044E9A000000000014 +:044E9B000000000013 +:044E9C000000000012 +:044E9D000000000011 +:044E9E000000000010 +:044E9F00000000000F +:044EA000000000000E +:044EA100000000000D +:044EA200000000000C +:044EA300000000000B +:044EA400000000000A +:044EA5000000000009 +:044EA6000000000008 +:044EA7000000000007 +:044EA8000000000006 +:044EA9000000000005 +:044EAA000000000004 +:044EAB000000000003 +:044EAC000000000002 +:044EAD000000000001 +:044EAE000000000000 +:044EAF0000000000FF +:044EB00000000000FE +:044EB10000000000FD +:044EB20000000000FC +:044EB30000000000FB +:044EB40000000000FA +:044EB50000000000F9 +:044EB60000000000F8 +:044EB70000000000F7 +:044EB80000000000F6 +:044EB90000000000F5 +:044EBA0000000000F4 +:044EBB0000000000F3 +:044EBC0000000000F2 +:044EBD0000000000F1 +:044EBE0000000000F0 +:044EBF0000000000EF +:044EC00000000000EE +:044EC10000000000ED +:044EC20000000000EC +:044EC30000000000EB +:044EC40000000000EA +:044EC50000000000E9 +:044EC60000000000E8 +:044EC70000000000E7 +:044EC80000000000E6 +:044EC90000000000E5 +:044ECA0000000000E4 +:044ECB0000000000E3 +:044ECC0000000000E2 +:044ECD0000000000E1 +:044ECE0000000000E0 +:044ECF0000000000DF +:044ED00000000000DE +:044ED10000000000DD +:044ED20000000000DC +:044ED30000000000DB +:044ED40000000000DA +:044ED50000000000D9 +:044ED60000000000D8 +:044ED70000000000D7 +:044ED80000000000D6 +:044ED90000000000D5 +:044EDA0000000000D4 +:044EDB0000000000D3 +:044EDC0000000000D2 +:044EDD0000000000D1 +:044EDE0000000000D0 +:044EDF0000000000CF +:044EE00000000000CE +:044EE10000000000CD +:044EE20000000000CC +:044EE30000000000CB +:044EE40000000000CA +:044EE50000000000C9 +:044EE60000000000C8 +:044EE70000000000C7 +:044EE80000000000C6 +:044EE90000000000C5 +:044EEA0000000000C4 +:044EEB0000000000C3 +:044EEC0000000000C2 +:044EED0000000000C1 +:044EEE0000000000C0 +:044EEF0000000000BF +:044EF00000000000BE +:044EF10000000000BD +:044EF20000000000BC +:044EF30000000000BB +:044EF40000000000BA +:044EF50000000000B9 +:044EF60000000000B8 +:044EF70000000000B7 +:044EF80000000000B6 +:044EF90000000000B5 +:044EFA0000000000B4 +:044EFB0000000000B3 +:044EFC0000000000B2 +:044EFD0000000000B1 +:044EFE0000000000B0 +:044EFF0000000000AF +:044F000000000000AD +:044F010000000000AC +:044F020000000000AB +:044F030000000000AA +:044F040000000000A9 +:044F050000000000A8 +:044F060000000000A7 +:044F070000000000A6 +:044F080000000000A5 +:044F090000000000A4 +:044F0A0000000000A3 +:044F0B0000000000A2 +:044F0C0000000000A1 +:044F0D0000000000A0 +:044F0E00000000009F +:044F0F00000000009E +:044F1000000000009D +:044F1100000000009C +:044F1200000000009B +:044F1300000000009A +:044F14000000000099 +:044F15000000000098 +:044F16000000000097 +:044F17000000000096 +:044F18000000000095 +:044F19000000000094 +:044F1A000000000093 +:044F1B000000000092 +:044F1C000000000091 +:044F1D000000000090 +:044F1E00000000008F +:044F1F00000000008E +:044F2000000000008D +:044F2100000000008C +:044F2200000000008B +:044F2300000000008A +:044F24000000000089 +:044F25000000000088 +:044F26000000000087 +:044F27000000000086 +:044F28000000000085 +:044F29000000000084 +:044F2A000000000083 +:044F2B000000000082 +:044F2C000000000081 +:044F2D000000000080 +:044F2E00000000007F +:044F2F00000000007E +:044F3000000000007D +:044F3100000000007C +:044F3200000000007B +:044F3300000000007A +:044F34000000000079 +:044F35000000000078 +:044F36000000000077 +:044F37000000000076 +:044F38000000000075 +:044F39000000000074 +:044F3A000000000073 +:044F3B000000000072 +:044F3C000000000071 +:044F3D000000000070 +:044F3E00000000006F +:044F3F00000000006E +:044F4000000000006D +:044F4100000000006C +:044F4200000000006B +:044F4300000000006A +:044F44000000000069 +:044F45000000000068 +:044F46000000000067 +:044F47000000000066 +:044F48000000000065 +:044F49000000000064 +:044F4A000000000063 +:044F4B000000000062 +:044F4C000000000061 +:044F4D000000000060 +:044F4E00000000005F +:044F4F00000000005E +:044F5000000000005D +:044F5100000000005C +:044F5200000000005B +:044F5300000000005A +:044F54000000000059 +:044F55000000000058 +:044F56000000000057 +:044F57000000000056 +:044F58000000000055 +:044F59000000000054 +:044F5A000000000053 +:044F5B000000000052 +:044F5C000000000051 +:044F5D000000000050 +:044F5E00000000004F +:044F5F00000000004E +:044F6000000000004D +:044F6100000000004C +:044F6200000000004B +:044F6300000000004A +:044F64000000000049 +:044F65000000000048 +:044F66000000000047 +:044F67000000000046 +:044F68000000000045 +:044F69000000000044 +:044F6A000000000043 +:044F6B000000000042 +:044F6C000000000041 +:044F6D000000000040 +:044F6E00000000003F +:044F6F00000000003E +:044F7000000000003D +:044F7100000000003C +:044F7200000000003B +:044F7300000000003A +:044F74000000000039 +:044F75000000000038 +:044F76000000000037 +:044F77000000000036 +:044F78000000000035 +:044F79000000000034 +:044F7A000000000033 +:044F7B000000000032 +:044F7C000000000031 +:044F7D000000000030 +:044F7E00000000002F +:044F7F00000000002E +:044F8000000000002D +:044F8100000000002C +:044F8200000000002B +:044F8300000000002A +:044F84000000000029 +:044F85000000000028 +:044F86000000000027 +:044F87000000000026 +:044F88000000000025 +:044F89000000000024 +:044F8A000000000023 +:044F8B000000000022 +:044F8C000000000021 +:044F8D000000000020 +:044F8E00000000001F +:044F8F00000000001E +:044F9000000000001D +:044F9100000000001C +:044F9200000000001B +:044F9300000000001A +:044F94000000000019 +:044F95000000000018 +:044F96000000000017 +:044F97000000000016 +:044F98000000000015 +:044F99000000000014 +:044F9A000000000013 +:044F9B000000000012 +:044F9C000000000011 +:044F9D000000000010 +:044F9E00000000000F +:044F9F00000000000E +:044FA000000000000D +:044FA100000000000C +:044FA200000000000B +:044FA300000000000A +:044FA4000000000009 +:044FA5000000000008 +:044FA6000000000007 +:044FA7000000000006 +:044FA8000000000005 +:044FA9000000000004 +:044FAA000000000003 +:044FAB000000000002 +:044FAC000000000001 +:044FAD000000000000 +:044FAE0000000000FF +:044FAF0000000000FE +:044FB00000000000FD +:044FB10000000000FC +:044FB20000000000FB +:044FB30000000000FA +:044FB40000000000F9 +:044FB50000000000F8 +:044FB60000000000F7 +:044FB70000000000F6 +:044FB80000000000F5 +:044FB90000000000F4 +:044FBA0000000000F3 +:044FBB0000000000F2 +:044FBC0000000000F1 +:044FBD0000000000F0 +:044FBE0000000000EF +:044FBF0000000000EE +:044FC00000000000ED +:044FC10000000000EC +:044FC20000000000EB +:044FC30000000000EA +:044FC40000000000E9 +:044FC50000000000E8 +:044FC60000000000E7 +:044FC70000000000E6 +:044FC80000000000E5 +:044FC90000000000E4 +:044FCA0000000000E3 +:044FCB0000000000E2 +:044FCC0000000000E1 +:044FCD0000000000E0 +:044FCE0000000000DF +:044FCF0000000000DE +:044FD00000000000DD +:044FD10000000000DC +:044FD20000000000DB +:044FD30000000000DA +:044FD40000000000D9 +:044FD50000000000D8 +:044FD60000000000D7 +:044FD70000000000D6 +:044FD80000000000D5 +:044FD90000000000D4 +:044FDA0000000000D3 +:044FDB0000000000D2 +:044FDC0000000000D1 +:044FDD0000000000D0 +:044FDE0000000000CF +:044FDF0000000000CE +:044FE00000000000CD +:044FE10000000000CC +:044FE20000000000CB +:044FE30000000000CA +:044FE40000000000C9 +:044FE50000000000C8 +:044FE60000000000C7 +:044FE70000000000C6 +:044FE80000000000C5 +:044FE90000000000C4 +:044FEA0000000000C3 +:044FEB0000000000C2 +:044FEC0000000000C1 +:044FED0000000000C0 +:044FEE0000000000BF +:044FEF0000000000BE +:044FF00000000000BD +:044FF10000000000BC +:044FF20000000000BB +:044FF30000000000BA +:044FF40000000000B9 +:044FF50000000000B8 +:044FF60000000000B7 +:044FF70000000000B6 +:044FF80000000000B5 +:044FF90000000000B4 +:044FFA0000000000B3 +:044FFB0000000000B2 +:044FFC0000000000B1 +:044FFD0000000000B0 +:044FFE0000000000AF +:044FFF0000000000AE +:0450000000000000AC +:0450010000000000AB +:0450020000000000AA +:0450030000000000A9 +:0450040000000000A8 +:0450050000000000A7 +:0450060000000000A6 +:0450070000000000A5 +:0450080000000000A4 +:0450090000000000A3 +:04500A0000000000A2 +:04500B0000000000A1 +:04500C0000000000A0 +:04500D00000000009F +:04500E00000000009E +:04500F00000000009D +:04501000000000009C +:04501100000000009B +:04501200000000009A +:045013000000000099 +:045014000000000098 +:045015000000000097 +:045016000000000096 +:045017000000000095 +:045018000000000094 +:045019000000000093 +:04501A000000000092 +:04501B000000000091 +:04501C000000000090 +:04501D00000000008F +:04501E00000000008E +:04501F00000000008D +:04502000000000008C +:04502100000000008B +:04502200000000008A +:045023000000000089 +:045024000000000088 +:045025000000000087 +:045026000000000086 +:045027000000000085 +:045028000000000084 +:045029000000000083 +:04502A000000000082 +:04502B000000000081 +:04502C000000000080 +:04502D00000000007F +:04502E00000000007E +:04502F00000000007D +:04503000000000007C +:04503100000000007B +:04503200000000007A +:045033000000000079 +:045034000000000078 +:045035000000000077 +:045036000000000076 +:045037000000000075 +:045038000000000074 +:045039000000000073 +:04503A000000000072 +:04503B000000000071 +:04503C000000000070 +:04503D00000000006F +:04503E00000000006E +:04503F00000000006D +:04504000000000006C +:04504100000000006B +:04504200000000006A +:045043000000000069 +:045044000000000068 +:045045000000000067 +:045046000000000066 +:045047000000000065 +:045048000000000064 +:045049000000000063 +:04504A000000000062 +:04504B000000000061 +:04504C000000000060 +:04504D00000000005F +:04504E00000000005E +:04504F00000000005D +:04505000000000005C +:04505100000000005B +:04505200000000005A +:045053000000000059 +:045054000000000058 +:045055000000000057 +:045056000000000056 +:045057000000000055 +:045058000000000054 +:045059000000000053 +:04505A000000000052 +:04505B000000000051 +:04505C000000000050 +:04505D00000000004F +:04505E00000000004E +:04505F00000000004D +:04506000000000004C +:04506100000000004B +:04506200000000004A +:045063000000000049 +:045064000000000048 +:045065000000000047 +:045066000000000046 +:045067000000000045 +:045068000000000044 +:045069000000000043 +:04506A000000000042 +:04506B000000000041 +:04506C000000000040 +:04506D00000000003F +:04506E00000000003E +:04506F00000000003D +:04507000000000003C +:04507100000000003B +:04507200000000003A +:045073000000000039 +:045074000000000038 +:045075000000000037 +:045076000000000036 +:045077000000000035 +:045078000000000034 +:045079000000000033 +:04507A000000000032 +:04507B000000000031 +:04507C000000000030 +:04507D00000000002F +:04507E00000000002E +:04507F00000000002D +:04508000000000002C +:04508100000000002B +:04508200000000002A +:045083000000000029 +:045084000000000028 +:045085000000000027 +:045086000000000026 +:045087000000000025 +:045088000000000024 +:045089000000000023 +:04508A000000000022 +:04508B000000000021 +:04508C000000000020 +:04508D00000000001F +:04508E00000000001E +:04508F00000000001D +:04509000000000001C +:04509100000000001B +:04509200000000001A +:045093000000000019 +:045094000000000018 +:045095000000000017 +:045096000000000016 +:045097000000000015 +:045098000000000014 +:045099000000000013 +:04509A000000000012 +:04509B000000000011 +:04509C000000000010 +:04509D00000000000F +:04509E00000000000E +:04509F00000000000D +:0450A000000000000C +:0450A100000000000B +:0450A200000000000A +:0450A3000000000009 +:0450A4000000000008 +:0450A5000000000007 +:0450A6000000000006 +:0450A7000000000005 +:0450A8000000000004 +:0450A9000000000003 +:0450AA000000000002 +:0450AB000000000001 +:0450AC000000000000 +:0450AD0000000000FF +:0450AE0000000000FE +:0450AF0000000000FD +:0450B00000000000FC +:0450B10000000000FB +:0450B20000000000FA +:0450B30000000000F9 +:0450B40000000000F8 +:0450B50000000000F7 +:0450B60000000000F6 +:0450B70000000000F5 +:0450B80000000000F4 +:0450B90000000000F3 +:0450BA0000000000F2 +:0450BB0000000000F1 +:0450BC0000000000F0 +:0450BD0000000000EF +:0450BE0000000000EE +:0450BF0000000000ED +:0450C00000000000EC +:0450C10000000000EB +:0450C20000000000EA +:0450C30000000000E9 +:0450C40000000000E8 +:0450C50000000000E7 +:0450C60000000000E6 +:0450C70000000000E5 +:0450C80000000000E4 +:0450C90000000000E3 +:0450CA0000000000E2 +:0450CB0000000000E1 +:0450CC0000000000E0 +:0450CD0000000000DF +:0450CE0000000000DE +:0450CF0000000000DD +:0450D00000000000DC +:0450D10000000000DB +:0450D20000000000DA +:0450D30000000000D9 +:0450D40000000000D8 +:0450D50000000000D7 +:0450D60000000000D6 +:0450D70000000000D5 +:0450D80000000000D4 +:0450D90000000000D3 +:0450DA0000000000D2 +:0450DB0000000000D1 +:0450DC0000000000D0 +:0450DD0000000000CF +:0450DE0000000000CE +:0450DF0000000000CD +:0450E00000000000CC +:0450E10000000000CB +:0450E20000000000CA +:0450E30000000000C9 +:0450E40000000000C8 +:0450E50000000000C7 +:0450E60000000000C6 +:0450E70000000000C5 +:0450E80000000000C4 +:0450E90000000000C3 +:0450EA0000000000C2 +:0450EB0000000000C1 +:0450EC0000000000C0 +:0450ED0000000000BF +:0450EE0000000000BE +:0450EF0000000000BD +:0450F00000000000BC +:0450F10000000000BB +:0450F20000000000BA +:0450F30000000000B9 +:0450F40000000000B8 +:0450F50000000000B7 +:0450F60000000000B6 +:0450F70000000000B5 +:0450F80000000000B4 +:0450F90000000000B3 +:0450FA0000000000B2 +:0450FB0000000000B1 +:0450FC0000000000B0 +:0450FD0000000000AF +:0450FE0000000000AE +:0450FF0000000000AD +:0451000000000000AB +:0451010000000000AA +:0451020000000000A9 +:0451030000000000A8 +:0451040000000000A7 +:0451050000000000A6 +:0451060000000000A5 +:0451070000000000A4 +:0451080000000000A3 +:0451090000000000A2 +:04510A0000000000A1 +:04510B0000000000A0 +:04510C00000000009F +:04510D00000000009E +:04510E00000000009D +:04510F00000000009C +:04511000000000009B +:04511100000000009A +:045112000000000099 +:045113000000000098 +:045114000000000097 +:045115000000000096 +:045116000000000095 +:045117000000000094 +:045118000000000093 +:045119000000000092 +:04511A000000000091 +:04511B000000000090 +:04511C00000000008F +:04511D00000000008E +:04511E00000000008D +:04511F00000000008C +:04512000000000008B +:04512100000000008A +:045122000000000089 +:045123000000000088 +:045124000000000087 +:045125000000000086 +:045126000000000085 +:045127000000000084 +:045128000000000083 +:045129000000000082 +:04512A000000000081 +:04512B000000000080 +:04512C00000000007F +:04512D00000000007E +:04512E00000000007D +:04512F00000000007C +:04513000000000007B +:04513100000000007A +:045132000000000079 +:045133000000000078 +:045134000000000077 +:045135000000000076 +:045136000000000075 +:045137000000000074 +:045138000000000073 +:045139000000000072 +:04513A000000000071 +:04513B000000000070 +:04513C00000000006F +:04513D00000000006E +:04513E00000000006D +:04513F00000000006C +:04514000000000006B +:04514100000000006A +:045142000000000069 +:045143000000000068 +:045144000000000067 +:045145000000000066 +:045146000000000065 +:045147000000000064 +:045148000000000063 +:045149000000000062 +:04514A000000000061 +:04514B000000000060 +:04514C00000000005F +:04514D00000000005E +:04514E00000000005D +:04514F00000000005C +:04515000000000005B +:04515100000000005A +:045152000000000059 +:045153000000000058 +:045154000000000057 +:045155000000000056 +:045156000000000055 +:045157000000000054 +:045158000000000053 +:045159000000000052 +:04515A000000000051 +:04515B000000000050 +:04515C00000000004F +:04515D00000000004E +:04515E00000000004D +:04515F00000000004C +:04516000000000004B +:04516100000000004A +:045162000000000049 +:045163000000000048 +:045164000000000047 +:045165000000000046 +:045166000000000045 +:045167000000000044 +:045168000000000043 +:045169000000000042 +:04516A000000000041 +:04516B000000000040 +:04516C00000000003F +:04516D00000000003E +:04516E00000000003D +:04516F00000000003C +:04517000000000003B +:04517100000000003A +:045172000000000039 +:045173000000000038 +:045174000000000037 +:045175000000000036 +:045176000000000035 +:045177000000000034 +:045178000000000033 +:045179000000000032 +:04517A000000000031 +:04517B000000000030 +:04517C00000000002F +:04517D00000000002E +:04517E00000000002D +:04517F00000000002C +:04518000000000002B +:04518100000000002A +:045182000000000029 +:045183000000000028 +:045184000000000027 +:045185000000000026 +:045186000000000025 +:045187000000000024 +:045188000000000023 +:045189000000000022 +:04518A000000000021 +:04518B000000000020 +:04518C00000000001F +:04518D00000000001E +:04518E00000000001D +:04518F00000000001C +:04519000000000001B +:04519100000000001A +:045192000000000019 +:045193000000000018 +:045194000000000017 +:045195000000000016 +:045196000000000015 +:045197000000000014 +:045198000000000013 +:045199000000000012 +:04519A000000000011 +:04519B000000000010 +:04519C00000000000F +:04519D00000000000E +:04519E00000000000D +:04519F00000000000C +:0451A000000000000B +:0451A100000000000A +:0451A2000000000009 +:0451A3000000000008 +:0451A4000000000007 +:0451A5000000000006 +:0451A6000000000005 +:0451A7000000000004 +:0451A8000000000003 +:0451A9000000000002 +:0451AA000000000001 +:0451AB000000000000 +:0451AC0000000000FF +:0451AD0000000000FE +:0451AE0000000000FD +:0451AF0000000000FC +:0451B00000000000FB +:0451B10000000000FA +:0451B20000000000F9 +:0451B30000000000F8 +:0451B40000000000F7 +:0451B50000000000F6 +:0451B60000000000F5 +:0451B70000000000F4 +:0451B80000000000F3 +:0451B90000000000F2 +:0451BA0000000000F1 +:0451BB0000000000F0 +:0451BC0000000000EF +:0451BD0000000000EE +:0451BE0000000000ED +:0451BF0000000000EC +:0451C00000000000EB +:0451C10000000000EA +:0451C20000000000E9 +:0451C30000000000E8 +:0451C40000000000E7 +:0451C50000000000E6 +:0451C60000000000E5 +:0451C70000000000E4 +:0451C80000000000E3 +:0451C90000000000E2 +:0451CA0000000000E1 +:0451CB0000000000E0 +:0451CC0000000000DF +:0451CD0000000000DE +:0451CE0000000000DD +:0451CF0000000000DC +:0451D00000000000DB +:0451D10000000000DA +:0451D20000000000D9 +:0451D30000000000D8 +:0451D40000000000D7 +:0451D50000000000D6 +:0451D60000000000D5 +:0451D70000000000D4 +:0451D80000000000D3 +:0451D90000000000D2 +:0451DA0000000000D1 +:0451DB0000000000D0 +:0451DC0000000000CF +:0451DD0000000000CE +:0451DE0000000000CD +:0451DF0000000000CC +:0451E00000000000CB +:0451E10000000000CA +:0451E20000000000C9 +:0451E30000000000C8 +:0451E40000000000C7 +:0451E50000000000C6 +:0451E60000000000C5 +:0451E70000000000C4 +:0451E80000000000C3 +:0451E90000000000C2 +:0451EA0000000000C1 +:0451EB0000000000C0 +:0451EC0000000000BF +:0451ED0000000000BE +:0451EE0000000000BD +:0451EF0000000000BC +:0451F00000000000BB +:0451F10000000000BA +:0451F20000000000B9 +:0451F30000000000B8 +:0451F40000000000B7 +:0451F50000000000B6 +:0451F60000000000B5 +:0451F70000000000B4 +:0451F80000000000B3 +:0451F90000000000B2 +:0451FA0000000000B1 +:0451FB0000000000B0 +:0451FC0000000000AF +:0451FD0000000000AE +:0451FE0000000000AD +:0451FF0000000000AC +:0452000000000000AA +:0452010000000000A9 +:0452020000000000A8 +:0452030000000000A7 +:0452040000000000A6 +:0452050000000000A5 +:0452060000000000A4 +:0452070000000000A3 +:0452080000000000A2 +:0452090000000000A1 +:04520A0000000000A0 +:04520B00000000009F +:04520C00000000009E +:04520D00000000009D +:04520E00000000009C +:04520F00000000009B +:04521000000000009A +:045211000000000099 +:045212000000000098 +:045213000000000097 +:045214000000000096 +:045215000000000095 +:045216000000000094 +:045217000000000093 +:045218000000000092 +:045219000000000091 +:04521A000000000090 +:04521B00000000008F +:04521C00000000008E +:04521D00000000008D +:04521E00000000008C +:04521F00000000008B +:04522000000000008A +:045221000000000089 +:045222000000000088 +:045223000000000087 +:045224000000000086 +:045225000000000085 +:045226000000000084 +:045227000000000083 +:045228000000000082 +:045229000000000081 +:04522A000000000080 +:04522B00000000007F +:04522C00000000007E +:04522D00000000007D +:04522E00000000007C +:04522F00000000007B +:04523000000000007A +:045231000000000079 +:045232000000000078 +:045233000000000077 +:045234000000000076 +:045235000000000075 +:045236000000000074 +:045237000000000073 +:045238000000000072 +:045239000000000071 +:04523A000000000070 +:04523B00000000006F +:04523C00000000006E +:04523D00000000006D +:04523E00000000006C +:04523F00000000006B +:04524000000000006A +:045241000000000069 +:045242000000000068 +:045243000000000067 +:045244000000000066 +:045245000000000065 +:045246000000000064 +:045247000000000063 +:045248000000000062 +:045249000000000061 +:04524A000000000060 +:04524B00000000005F +:04524C00000000005E +:04524D00000000005D +:04524E00000000005C +:04524F00000000005B +:04525000000000005A +:045251000000000059 +:045252000000000058 +:045253000000000057 +:045254000000000056 +:045255000000000055 +:045256000000000054 +:045257000000000053 +:045258000000000052 +:045259000000000051 +:04525A000000000050 +:04525B00000000004F +:04525C00000000004E +:04525D00000000004D +:04525E00000000004C +:04525F00000000004B +:04526000000000004A +:045261000000000049 +:045262000000000048 +:045263000000000047 +:045264000000000046 +:045265000000000045 +:045266000000000044 +:045267000000000043 +:045268000000000042 +:045269000000000041 +:04526A000000000040 +:04526B00000000003F +:04526C00000000003E +:04526D00000000003D +:04526E00000000003C +:04526F00000000003B +:04527000000000003A +:045271000000000039 +:045272000000000038 +:045273000000000037 +:045274000000000036 +:045275000000000035 +:045276000000000034 +:045277000000000033 +:045278000000000032 +:045279000000000031 +:04527A000000000030 +:04527B00000000002F +:04527C00000000002E +:04527D00000000002D +:04527E00000000002C +:04527F00000000002B +:04528000000000002A +:045281000000000029 +:045282000000000028 +:045283000000000027 +:045284000000000026 +:045285000000000025 +:045286000000000024 +:045287000000000023 +:045288000000000022 +:045289000000000021 +:04528A000000000020 +:04528B00000000001F +:04528C00000000001E +:04528D00000000001D +:04528E00000000001C +:04528F00000000001B +:04529000000000001A +:045291000000000019 +:045292000000000018 +:045293000000000017 +:045294000000000016 +:045295000000000015 +:045296000000000014 +:045297000000000013 +:045298000000000012 +:045299000000000011 +:04529A000000000010 +:04529B00000000000F +:04529C00000000000E +:04529D00000000000D +:04529E00000000000C +:04529F00000000000B +:0452A000000000000A +:0452A1000000000009 +:0452A2000000000008 +:0452A3000000000007 +:0452A4000000000006 +:0452A5000000000005 +:0452A6000000000004 +:0452A7000000000003 +:0452A8000000000002 +:0452A9000000000001 +:0452AA000000000000 +:0452AB0000000000FF +:0452AC0000000000FE +:0452AD0000000000FD +:0452AE0000000000FC +:0452AF0000000000FB +:0452B00000000000FA +:0452B10000000000F9 +:0452B20000000000F8 +:0452B30000000000F7 +:0452B40000000000F6 +:0452B50000000000F5 +:0452B60000000000F4 +:0452B70000000000F3 +:0452B80000000000F2 +:0452B90000000000F1 +:0452BA0000000000F0 +:0452BB0000000000EF +:0452BC0000000000EE +:0452BD0000000000ED +:0452BE0000000000EC +:0452BF0000000000EB +:0452C00000000000EA +:0452C10000000000E9 +:0452C20000000000E8 +:0452C30000000000E7 +:0452C40000000000E6 +:0452C50000000000E5 +:0452C60000000000E4 +:0452C70000000000E3 +:0452C80000000000E2 +:0452C90000000000E1 +:0452CA0000000000E0 +:0452CB0000000000DF +:0452CC0000000000DE +:0452CD0000000000DD +:0452CE0000000000DC +:0452CF0000000000DB +:0452D00000000000DA +:0452D10000000000D9 +:0452D20000000000D8 +:0452D30000000000D7 +:0452D40000000000D6 +:0452D50000000000D5 +:0452D60000000000D4 +:0452D70000000000D3 +:0452D80000000000D2 +:0452D90000000000D1 +:0452DA0000000000D0 +:0452DB0000000000CF +:0452DC0000000000CE +:0452DD0000000000CD +:0452DE0000000000CC +:0452DF0000000000CB +:0452E00000000000CA +:0452E10000000000C9 +:0452E20000000000C8 +:0452E30000000000C7 +:0452E40000000000C6 +:0452E50000000000C5 +:0452E60000000000C4 +:0452E70000000000C3 +:0452E80000000000C2 +:0452E90000000000C1 +:0452EA0000000000C0 +:0452EB0000000000BF +:0452EC0000000000BE +:0452ED0000000000BD +:0452EE0000000000BC +:0452EF0000000000BB +:0452F00000000000BA +:0452F10000000000B9 +:0452F20000000000B8 +:0452F30000000000B7 +:0452F40000000000B6 +:0452F50000000000B5 +:0452F60000000000B4 +:0452F70000000000B3 +:0452F80000000000B2 +:0452F90000000000B1 +:0452FA0000000000B0 +:0452FB0000000000AF +:0452FC0000000000AE +:0452FD0000000000AD +:0452FE0000000000AC +:0452FF0000000000AB +:0453000000000000A9 +:0453010000000000A8 +:0453020000000000A7 +:0453030000000000A6 +:0453040000000000A5 +:0453050000000000A4 +:0453060000000000A3 +:0453070000000000A2 +:0453080000000000A1 +:0453090000000000A0 +:04530A00000000009F +:04530B00000000009E +:04530C00000000009D +:04530D00000000009C +:04530E00000000009B +:04530F00000000009A +:045310000000000099 +:045311000000000098 +:045312000000000097 +:045313000000000096 +:045314000000000095 +:045315000000000094 +:045316000000000093 +:045317000000000092 +:045318000000000091 +:045319000000000090 +:04531A00000000008F +:04531B00000000008E +:04531C00000000008D +:04531D00000000008C +:04531E00000000008B +:04531F00000000008A +:045320000000000089 +:045321000000000088 +:045322000000000087 +:045323000000000086 +:045324000000000085 +:045325000000000084 +:045326000000000083 +:045327000000000082 +:045328000000000081 +:045329000000000080 +:04532A00000000007F +:04532B00000000007E +:04532C00000000007D +:04532D00000000007C +:04532E00000000007B +:04532F00000000007A +:045330000000000079 +:045331000000000078 +:045332000000000077 +:045333000000000076 +:045334000000000075 +:045335000000000074 +:045336000000000073 +:045337000000000072 +:045338000000000071 +:045339000000000070 +:04533A00000000006F +:04533B00000000006E +:04533C00000000006D +:04533D00000000006C +:04533E00000000006B +:04533F00000000006A +:045340000000000069 +:045341000000000068 +:045342000000000067 +:045343000000000066 +:045344000000000065 +:045345000000000064 +:045346000000000063 +:045347000000000062 +:045348000000000061 +:045349000000000060 +:04534A00000000005F +:04534B00000000005E +:04534C00000000005D +:04534D00000000005C +:04534E00000000005B +:04534F00000000005A +:045350000000000059 +:045351000000000058 +:045352000000000057 +:045353000000000056 +:045354000000000055 +:045355000000000054 +:045356000000000053 +:045357000000000052 +:045358000000000051 +:045359000000000050 +:04535A00000000004F +:04535B00000000004E +:04535C00000000004D +:04535D00000000004C +:04535E00000000004B +:04535F00000000004A +:045360000000000049 +:045361000000000048 +:045362000000000047 +:045363000000000046 +:045364000000000045 +:045365000000000044 +:045366000000000043 +:045367000000000042 +:045368000000000041 +:045369000000000040 +:04536A00000000003F +:04536B00000000003E +:04536C00000000003D +:04536D00000000003C +:04536E00000000003B +:04536F00000000003A +:045370000000000039 +:045371000000000038 +:045372000000000037 +:045373000000000036 +:045374000000000035 +:045375000000000034 +:045376000000000033 +:045377000000000032 +:045378000000000031 +:045379000000000030 +:04537A00000000002F +:04537B00000000002E +:04537C00000000002D +:04537D00000000002C +:04537E00000000002B +:04537F00000000002A +:045380000000000029 +:045381000000000028 +:045382000000000027 +:045383000000000026 +:045384000000000025 +:045385000000000024 +:045386000000000023 +:045387000000000022 +:045388000000000021 +:045389000000000020 +:04538A00000000001F +:04538B00000000001E +:04538C00000000001D +:04538D00000000001C +:04538E00000000001B +:04538F00000000001A +:045390000000000019 +:045391000000000018 +:045392000000000017 +:045393000000000016 +:045394000000000015 +:045395000000000014 +:045396000000000013 +:045397000000000012 +:045398000000000011 +:045399000000000010 +:04539A00000000000F +:04539B00000000000E +:04539C00000000000D +:04539D00000000000C +:04539E00000000000B +:04539F00000000000A +:0453A0000000000009 +:0453A1000000000008 +:0453A2000000000007 +:0453A3000000000006 +:0453A4000000000005 +:0453A5000000000004 +:0453A6000000000003 +:0453A7000000000002 +:0453A8000000000001 +:0453A9000000000000 +:0453AA0000000000FF +:0453AB0000000000FE +:0453AC0000000000FD +:0453AD0000000000FC +:0453AE0000000000FB +:0453AF0000000000FA +:0453B00000000000F9 +:0453B10000000000F8 +:0453B20000000000F7 +:0453B30000000000F6 +:0453B40000000000F5 +:0453B50000000000F4 +:0453B60000000000F3 +:0453B70000000000F2 +:0453B80000000000F1 +:0453B90000000000F0 +:0453BA0000000000EF +:0453BB0000000000EE +:0453BC0000000000ED +:0453BD0000000000EC +:0453BE0000000000EB +:0453BF0000000000EA +:0453C00000000000E9 +:0453C10000000000E8 +:0453C20000000000E7 +:0453C30000000000E6 +:0453C40000000000E5 +:0453C50000000000E4 +:0453C60000000000E3 +:0453C70000000000E2 +:0453C80000000000E1 +:0453C90000000000E0 +:0453CA0000000000DF +:0453CB0000000000DE +:0453CC0000000000DD +:0453CD0000000000DC +:0453CE0000000000DB +:0453CF0000000000DA +:0453D00000000000D9 +:0453D10000000000D8 +:0453D20000000000D7 +:0453D30000000000D6 +:0453D40000000000D5 +:0453D50000000000D4 +:0453D60000000000D3 +:0453D70000000000D2 +:0453D80000000000D1 +:0453D90000000000D0 +:0453DA0000000000CF +:0453DB0000000000CE +:0453DC0000000000CD +:0453DD0000000000CC +:0453DE0000000000CB +:0453DF0000000000CA +:0453E00000000000C9 +:0453E10000000000C8 +:0453E20000000000C7 +:0453E30000000000C6 +:0453E40000000000C5 +:0453E50000000000C4 +:0453E60000000000C3 +:0453E70000000000C2 +:0453E80000000000C1 +:0453E90000000000C0 +:0453EA0000000000BF +:0453EB0000000000BE +:0453EC0000000000BD +:0453ED0000000000BC +:0453EE0000000000BB +:0453EF0000000000BA +:0453F00000000000B9 +:0453F10000000000B8 +:0453F20000000000B7 +:0453F30000000000B6 +:0453F40000000000B5 +:0453F50000000000B4 +:0453F60000000000B3 +:0453F70000000000B2 +:0453F80000000000B1 +:0453F90000000000B0 +:0453FA0000000000AF +:0453FB0000000000AE +:0453FC0000000000AD +:0453FD0000000000AC +:0453FE0000000000AB +:0453FF0000000000AA +:0454000000000000A8 +:0454010000000000A7 +:0454020000000000A6 +:0454030000000000A5 +:0454040000000000A4 +:0454050000000000A3 +:0454060000000000A2 +:0454070000000000A1 +:0454080000000000A0 +:04540900000000009F +:04540A00000000009E +:04540B00000000009D +:04540C00000000009C +:04540D00000000009B +:04540E00000000009A +:04540F000000000099 +:045410000000000098 +:045411000000000097 +:045412000000000096 +:045413000000000095 +:045414000000000094 +:045415000000000093 +:045416000000000092 +:045417000000000091 +:045418000000000090 +:04541900000000008F +:04541A00000000008E +:04541B00000000008D +:04541C00000000008C +:04541D00000000008B +:04541E00000000008A +:04541F000000000089 +:045420000000000088 +:045421000000000087 +:045422000000000086 +:045423000000000085 +:045424000000000084 +:045425000000000083 +:045426000000000082 +:045427000000000081 +:045428000000000080 +:04542900000000007F +:04542A00000000007E +:04542B00000000007D +:04542C00000000007C +:04542D00000000007B +:04542E00000000007A +:04542F000000000079 +:045430000000000078 +:045431000000000077 +:045432000000000076 +:045433000000000075 +:045434000000000074 +:045435000000000073 +:045436000000000072 +:045437000000000071 +:045438000000000070 +:04543900000000006F +:04543A00000000006E +:04543B00000000006D +:04543C00000000006C +:04543D00000000006B +:04543E00000000006A +:04543F000000000069 +:045440000000000068 +:045441000000000067 +:045442000000000066 +:045443000000000065 +:045444000000000064 +:045445000000000063 +:045446000000000062 +:045447000000000061 +:045448000000000060 +:04544900000000005F +:04544A00000000005E +:04544B00000000005D +:04544C00000000005C +:04544D00000000005B +:04544E00000000005A +:04544F000000000059 +:045450000000000058 +:045451000000000057 +:045452000000000056 +:045453000000000055 +:045454000000000054 +:045455000000000053 +:045456000000000052 +:045457000000000051 +:045458000000000050 +:04545900000000004F +:04545A00000000004E +:04545B00000000004D +:04545C00000000004C +:04545D00000000004B +:04545E00000000004A +:04545F000000000049 +:045460000000000048 +:045461000000000047 +:045462000000000046 +:045463000000000045 +:045464000000000044 +:045465000000000043 +:045466000000000042 +:045467000000000041 +:045468000000000040 +:04546900000000003F +:04546A00000000003E +:04546B00000000003D +:04546C00000000003C +:04546D00000000003B +:04546E00000000003A +:04546F000000000039 +:045470000000000038 +:045471000000000037 +:045472000000000036 +:045473000000000035 +:045474000000000034 +:045475000000000033 +:045476000000000032 +:045477000000000031 +:045478000000000030 +:04547900000000002F +:04547A00000000002E +:04547B00000000002D +:04547C00000000002C +:04547D00000000002B +:04547E00000000002A +:04547F000000000029 +:045480000000000028 +:045481000000000027 +:045482000000000026 +:045483000000000025 +:045484000000000024 +:045485000000000023 +:045486000000000022 +:045487000000000021 +:045488000000000020 +:04548900000000001F +:04548A00000000001E +:04548B00000000001D +:04548C00000000001C +:04548D00000000001B +:04548E00000000001A +:04548F000000000019 +:045490000000000018 +:045491000000000017 +:045492000000000016 +:045493000000000015 +:045494000000000014 +:045495000000000013 +:045496000000000012 +:045497000000000011 +:045498000000000010 +:04549900000000000F +:04549A00000000000E +:04549B00000000000D +:04549C00000000000C +:04549D00000000000B +:04549E00000000000A +:04549F000000000009 +:0454A0000000000008 +:0454A1000000000007 +:0454A2000000000006 +:0454A3000000000005 +:0454A4000000000004 +:0454A5000000000003 +:0454A6000000000002 +:0454A7000000000001 +:0454A8000000000000 +:0454A90000000000FF +:0454AA0000000000FE +:0454AB0000000000FD +:0454AC0000000000FC +:0454AD0000000000FB +:0454AE0000000000FA +:0454AF0000000000F9 +:0454B00000000000F8 +:0454B10000000000F7 +:0454B20000000000F6 +:0454B30000000000F5 +:0454B40000000000F4 +:0454B50000000000F3 +:0454B60000000000F2 +:0454B70000000000F1 +:0454B80000000000F0 +:0454B90000000000EF +:0454BA0000000000EE +:0454BB0000000000ED +:0454BC0000000000EC +:0454BD0000000000EB +:0454BE0000000000EA +:0454BF0000000000E9 +:0454C00000000000E8 +:0454C10000000000E7 +:0454C20000000000E6 +:0454C30000000000E5 +:0454C40000000000E4 +:0454C50000000000E3 +:0454C60000000000E2 +:0454C70000000000E1 +:0454C80000000000E0 +:0454C90000000000DF +:0454CA0000000000DE +:0454CB0000000000DD +:0454CC0000000000DC +:0454CD0000000000DB +:0454CE0000000000DA +:0454CF0000000000D9 +:0454D00000000000D8 +:0454D10000000000D7 +:0454D20000000000D6 +:0454D30000000000D5 +:0454D40000000000D4 +:0454D50000000000D3 +:0454D60000000000D2 +:0454D70000000000D1 +:0454D80000000000D0 +:0454D90000000000CF +:0454DA0000000000CE +:0454DB0000000000CD +:0454DC0000000000CC +:0454DD0000000000CB +:0454DE0000000000CA +:0454DF0000000000C9 +:0454E00000000000C8 +:0454E10000000000C7 +:0454E20000000000C6 +:0454E30000000000C5 +:0454E40000000000C4 +:0454E50000000000C3 +:0454E60000000000C2 +:0454E70000000000C1 +:0454E80000000000C0 +:0454E90000000000BF +:0454EA0000000000BE +:0454EB0000000000BD +:0454EC0000000000BC +:0454ED0000000000BB +:0454EE0000000000BA +:0454EF0000000000B9 +:0454F00000000000B8 +:0454F10000000000B7 +:0454F20000000000B6 +:0454F30000000000B5 +:0454F40000000000B4 +:0454F50000000000B3 +:0454F60000000000B2 +:0454F70000000000B1 +:0454F80000000000B0 +:0454F90000000000AF +:0454FA0000000000AE +:0454FB0000000000AD +:0454FC0000000000AC +:0454FD0000000000AB +:0454FE0000000000AA +:0454FF0000000000A9 +:0455000000000000A7 +:0455010000000000A6 +:0455020000000000A5 +:0455030000000000A4 +:0455040000000000A3 +:0455050000000000A2 +:0455060000000000A1 +:0455070000000000A0 +:04550800000000009F +:04550900000000009E +:04550A00000000009D +:04550B00000000009C +:04550C00000000009B +:04550D00000000009A +:04550E000000000099 +:04550F000000000098 +:045510000000000097 +:045511000000000096 +:045512000000000095 +:045513000000000094 +:045514000000000093 +:045515000000000092 +:045516000000000091 +:045517000000000090 +:04551800000000008F +:04551900000000008E +:04551A00000000008D +:04551B00000000008C +:04551C00000000008B +:04551D00000000008A +:04551E000000000089 +:04551F000000000088 +:045520000000000087 +:045521000000000086 +:045522000000000085 +:045523000000000084 +:045524000000000083 +:045525000000000082 +:045526000000000081 +:045527000000000080 +:04552800000000007F +:04552900000000007E +:04552A00000000007D +:04552B00000000007C +:04552C00000000007B +:04552D00000000007A +:04552E000000000079 +:04552F000000000078 +:045530000000000077 +:045531000000000076 +:045532000000000075 +:045533000000000074 +:045534000000000073 +:045535000000000072 +:045536000000000071 +:045537000000000070 +:04553800000000006F +:04553900000000006E +:04553A00000000006D +:04553B00000000006C +:04553C00000000006B +:04553D00000000006A +:04553E000000000069 +:04553F000000000068 +:045540000000000067 +:045541000000000066 +:045542000000000065 +:045543000000000064 +:045544000000000063 +:045545000000000062 +:045546000000000061 +:045547000000000060 +:04554800000000005F +:04554900000000005E +:04554A00000000005D +:04554B00000000005C +:04554C00000000005B +:04554D00000000005A +:04554E000000000059 +:04554F000000000058 +:045550000000000057 +:045551000000000056 +:045552000000000055 +:045553000000000054 +:045554000000000053 +:045555000000000052 +:045556000000000051 +:045557000000000050 +:04555800000000004F +:04555900000000004E +:04555A00000000004D +:04555B00000000004C +:04555C00000000004B +:04555D00000000004A +:04555E000000000049 +:04555F000000000048 +:045560000000000047 +:045561000000000046 +:045562000000000045 +:045563000000000044 +:045564000000000043 +:045565000000000042 +:045566000000000041 +:045567000000000040 +:04556800000000003F +:04556900000000003E +:04556A00000000003D +:04556B00000000003C +:04556C00000000003B +:04556D00000000003A +:04556E000000000039 +:04556F000000000038 +:045570000000000037 +:045571000000000036 +:045572000000000035 +:045573000000000034 +:045574000000000033 +:045575000000000032 +:045576000000000031 +:045577000000000030 +:04557800000000002F +:04557900000000002E +:04557A00000000002D +:04557B00000000002C +:04557C00000000002B +:04557D00000000002A +:04557E000000000029 +:04557F000000000028 +:045580000000000027 +:045581000000000026 +:045582000000000025 +:045583000000000024 +:045584000000000023 +:045585000000000022 +:045586000000000021 +:045587000000000020 +:04558800000000001F +:04558900000000001E +:04558A00000000001D +:04558B00000000001C +:04558C00000000001B +:04558D00000000001A +:04558E000000000019 +:04558F000000000018 +:045590000000000017 +:045591000000000016 +:045592000000000015 +:045593000000000014 +:045594000000000013 +:045595000000000012 +:045596000000000011 +:045597000000000010 +:04559800000000000F +:04559900000000000E +:04559A00000000000D +:04559B00000000000C +:04559C00000000000B +:04559D00000000000A +:04559E000000000009 +:04559F000000000008 +:0455A0000000000007 +:0455A1000000000006 +:0455A2000000000005 +:0455A3000000000004 +:0455A4000000000003 +:0455A5000000000002 +:0455A6000000000001 +:0455A7000000000000 +:0455A80000000000FF +:0455A90000000000FE +:0455AA0000000000FD +:0455AB0000000000FC +:0455AC0000000000FB +:0455AD0000000000FA +:0455AE0000000000F9 +:0455AF0000000000F8 +:0455B00000000000F7 +:0455B10000000000F6 +:0455B20000000000F5 +:0455B30000000000F4 +:0455B40000000000F3 +:0455B50000000000F2 +:0455B60000000000F1 +:0455B70000000000F0 +:0455B80000000000EF +:0455B90000000000EE +:0455BA0000000000ED +:0455BB0000000000EC +:0455BC0000000000EB +:0455BD0000000000EA +:0455BE0000000000E9 +:0455BF0000000000E8 +:0455C00000000000E7 +:0455C10000000000E6 +:0455C20000000000E5 +:0455C30000000000E4 +:0455C40000000000E3 +:0455C50000000000E2 +:0455C60000000000E1 +:0455C70000000000E0 +:0455C80000000000DF +:0455C90000000000DE +:0455CA0000000000DD +:0455CB0000000000DC +:0455CC0000000000DB +:0455CD0000000000DA +:0455CE0000000000D9 +:0455CF0000000000D8 +:0455D00000000000D7 +:0455D10000000000D6 +:0455D20000000000D5 +:0455D30000000000D4 +:0455D40000000000D3 +:0455D50000000000D2 +:0455D60000000000D1 +:0455D70000000000D0 +:0455D80000000000CF +:0455D90000000000CE +:0455DA0000000000CD +:0455DB0000000000CC +:0455DC0000000000CB +:0455DD0000000000CA +:0455DE0000000000C9 +:0455DF0000000000C8 +:0455E00000000000C7 +:0455E10000000000C6 +:0455E20000000000C5 +:0455E30000000000C4 +:0455E40000000000C3 +:0455E50000000000C2 +:0455E60000000000C1 +:0455E70000000000C0 +:0455E80000000000BF +:0455E90000000000BE +:0455EA0000000000BD +:0455EB0000000000BC +:0455EC0000000000BB +:0455ED0000000000BA +:0455EE0000000000B9 +:0455EF0000000000B8 +:0455F00000000000B7 +:0455F10000000000B6 +:0455F20000000000B5 +:0455F30000000000B4 +:0455F40000000000B3 +:0455F50000000000B2 +:0455F60000000000B1 +:0455F70000000000B0 +:0455F80000000000AF +:0455F90000000000AE +:0455FA0000000000AD +:0455FB0000000000AC +:0455FC0000000000AB +:0455FD0000000000AA +:0455FE0000000000A9 +:0455FF0000000000A8 +:0456000000000000A6 +:0456010000000000A5 +:0456020000000000A4 +:0456030000000000A3 +:0456040000000000A2 +:0456050000000000A1 +:0456060000000000A0 +:04560700000000009F +:04560800000000009E +:04560900000000009D +:04560A00000000009C +:04560B00000000009B +:04560C00000000009A +:04560D000000000099 +:04560E000000000098 +:04560F000000000097 +:045610000000000096 +:045611000000000095 +:045612000000000094 +:045613000000000093 +:045614000000000092 +:045615000000000091 +:045616000000000090 +:04561700000000008F +:04561800000000008E +:04561900000000008D +:04561A00000000008C +:04561B00000000008B +:04561C00000000008A +:04561D000000000089 +:04561E000000000088 +:04561F000000000087 +:045620000000000086 +:045621000000000085 +:045622000000000084 +:045623000000000083 +:045624000000000082 +:045625000000000081 +:045626000000000080 +:04562700000000007F +:04562800000000007E +:04562900000000007D +:04562A00000000007C +:04562B00000000007B +:04562C00000000007A +:04562D000000000079 +:04562E000000000078 +:04562F000000000077 +:045630000000000076 +:045631000000000075 +:045632000000000074 +:045633000000000073 +:045634000000000072 +:045635000000000071 +:045636000000000070 +:04563700000000006F +:04563800000000006E +:04563900000000006D +:04563A00000000006C +:04563B00000000006B +:04563C00000000006A +:04563D000000000069 +:04563E000000000068 +:04563F000000000067 +:045640000000000066 +:045641000000000065 +:045642000000000064 +:045643000000000063 +:045644000000000062 +:045645000000000061 +:045646000000000060 +:04564700000000005F +:04564800000000005E +:04564900000000005D +:04564A00000000005C +:04564B00000000005B +:04564C00000000005A +:04564D000000000059 +:04564E000000000058 +:04564F000000000057 +:045650000000000056 +:045651000000000055 +:045652000000000054 +:045653000000000053 +:045654000000000052 +:045655000000000051 +:045656000000000050 +:04565700000000004F +:04565800000000004E +:04565900000000004D +:04565A00000000004C +:04565B00000000004B +:04565C00000000004A +:04565D000000000049 +:04565E000000000048 +:04565F000000000047 +:045660000000000046 +:045661000000000045 +:045662000000000044 +:045663000000000043 +:045664000000000042 +:045665000000000041 +:045666000000000040 +:04566700000000003F +:04566800000000003E +:04566900000000003D +:04566A00000000003C +:04566B00000000003B +:04566C00000000003A +:04566D000000000039 +:04566E000000000038 +:04566F000000000037 +:045670000000000036 +:045671000000000035 +:045672000000000034 +:045673000000000033 +:045674000000000032 +:045675000000000031 +:045676000000000030 +:04567700000000002F +:04567800000000002E +:04567900000000002D +:04567A00000000002C +:04567B00000000002B +:04567C00000000002A +:04567D000000000029 +:04567E000000000028 +:04567F000000000027 +:045680000000000026 +:045681000000000025 +:045682000000000024 +:045683000000000023 +:045684000000000022 +:045685000000000021 +:045686000000000020 +:04568700000000001F +:04568800000000001E +:04568900000000001D +:04568A00000000001C +:04568B00000000001B +:04568C00000000001A +:04568D000000000019 +:04568E000000000018 +:04568F000000000017 +:045690000000000016 +:045691000000000015 +:045692000000000014 +:045693000000000013 +:045694000000000012 +:045695000000000011 +:045696000000000010 +:04569700000000000F +:04569800000000000E +:04569900000000000D +:04569A00000000000C +:04569B00000000000B +:04569C00000000000A +:04569D000000000009 +:04569E000000000008 +:04569F000000000007 +:0456A0000000000006 +:0456A1000000000005 +:0456A2000000000004 +:0456A3000000000003 +:0456A4000000000002 +:0456A5000000000001 +:0456A6000000000000 +:0456A70000000000FF +:0456A80000000000FE +:0456A90000000000FD +:0456AA0000000000FC +:0456AB0000000000FB +:0456AC0000000000FA +:0456AD0000000000F9 +:0456AE0000000000F8 +:0456AF0000000000F7 +:0456B00000000000F6 +:0456B10000000000F5 +:0456B20000000000F4 +:0456B30000000000F3 +:0456B40000000000F2 +:0456B50000000000F1 +:0456B60000000000F0 +:0456B70000000000EF +:0456B80000000000EE +:0456B90000000000ED +:0456BA0000000000EC +:0456BB0000000000EB +:0456BC0000000000EA +:0456BD0000000000E9 +:0456BE0000000000E8 +:0456BF0000000000E7 +:0456C00000000000E6 +:0456C10000000000E5 +:0456C20000000000E4 +:0456C30000000000E3 +:0456C40000000000E2 +:0456C50000000000E1 +:0456C60000000000E0 +:0456C70000000000DF +:0456C80000000000DE +:0456C90000000000DD +:0456CA0000000000DC +:0456CB0000000000DB +:0456CC0000000000DA +:0456CD0000000000D9 +:0456CE0000000000D8 +:0456CF0000000000D7 +:0456D00000000000D6 +:0456D10000000000D5 +:0456D20000000000D4 +:0456D30000000000D3 +:0456D40000000000D2 +:0456D50000000000D1 +:0456D60000000000D0 +:0456D70000000000CF +:0456D80000000000CE +:0456D90000000000CD +:0456DA0000000000CC +:0456DB0000000000CB +:0456DC0000000000CA +:0456DD0000000000C9 +:0456DE0000000000C8 +:0456DF0000000000C7 +:0456E00000000000C6 +:0456E10000000000C5 +:0456E20000000000C4 +:0456E30000000000C3 +:0456E40000000000C2 +:0456E50000000000C1 +:0456E60000000000C0 +:0456E70000000000BF +:0456E80000000000BE +:0456E90000000000BD +:0456EA0000000000BC +:0456EB0000000000BB +:0456EC0000000000BA +:0456ED0000000000B9 +:0456EE0000000000B8 +:0456EF0000000000B7 +:0456F00000000000B6 +:0456F10000000000B5 +:0456F20000000000B4 +:0456F30000000000B3 +:0456F40000000000B2 +:0456F50000000000B1 +:0456F60000000000B0 +:0456F70000000000AF +:0456F80000000000AE +:0456F90000000000AD +:0456FA0000000000AC +:0456FB0000000000AB +:0456FC0000000000AA +:0456FD0000000000A9 +:0456FE0000000000A8 +:0456FF0000000000A7 +:0457000000000000A5 +:0457010000000000A4 +:0457020000000000A3 +:0457030000000000A2 +:0457040000000000A1 +:0457050000000000A0 +:04570600000000009F +:04570700000000009E +:04570800000000009D +:04570900000000009C +:04570A00000000009B +:04570B00000000009A +:04570C000000000099 +:04570D000000000098 +:04570E000000000097 +:04570F000000000096 +:045710000000000095 +:045711000000000094 +:045712000000000093 +:045713000000000092 +:045714000000000091 +:045715000000000090 +:04571600000000008F +:04571700000000008E +:04571800000000008D +:04571900000000008C +:04571A00000000008B +:04571B00000000008A +:04571C000000000089 +:04571D000000000088 +:04571E000000000087 +:04571F000000000086 +:045720000000000085 +:045721000000000084 +:045722000000000083 +:045723000000000082 +:045724000000000081 +:045725000000000080 +:04572600000000007F +:04572700000000007E +:04572800000000007D +:04572900000000007C +:04572A00000000007B +:04572B00000000007A +:04572C000000000079 +:04572D000000000078 +:04572E000000000077 +:04572F000000000076 +:045730000000000075 +:045731000000000074 +:045732000000000073 +:045733000000000072 +:045734000000000071 +:045735000000000070 +:04573600000000006F +:04573700000000006E +:04573800000000006D +:04573900000000006C +:04573A00000000006B +:04573B00000000006A +:04573C000000000069 +:04573D000000000068 +:04573E000000000067 +:04573F000000000066 +:045740000000000065 +:045741000000000064 +:045742000000000063 +:045743000000000062 +:045744000000000061 +:045745000000000060 +:04574600000000005F +:04574700000000005E +:04574800000000005D +:04574900000000005C +:04574A00000000005B +:04574B00000000005A +:04574C000000000059 +:04574D000000000058 +:04574E000000000057 +:04574F000000000056 +:045750000000000055 +:045751000000000054 +:045752000000000053 +:045753000000000052 +:045754000000000051 +:045755000000000050 +:04575600000000004F +:04575700000000004E +:04575800000000004D +:04575900000000004C +:04575A00000000004B +:04575B00000000004A +:04575C000000000049 +:04575D000000000048 +:04575E000000000047 +:04575F000000000046 +:045760000000000045 +:045761000000000044 +:045762000000000043 +:045763000000000042 +:045764000000000041 +:045765000000000040 +:04576600000000003F +:04576700000000003E +:04576800000000003D +:04576900000000003C +:04576A00000000003B +:04576B00000000003A +:04576C000000000039 +:04576D000000000038 +:04576E000000000037 +:04576F000000000036 +:045770000000000035 +:045771000000000034 +:045772000000000033 +:045773000000000032 +:045774000000000031 +:045775000000000030 +:04577600000000002F +:04577700000000002E +:04577800000000002D +:04577900000000002C +:04577A00000000002B +:04577B00000000002A +:04577C000000000029 +:04577D000000000028 +:04577E000000000027 +:04577F000000000026 +:045780000000000025 +:045781000000000024 +:045782000000000023 +:045783000000000022 +:045784000000000021 +:045785000000000020 +:04578600000000001F +:04578700000000001E +:04578800000000001D +:04578900000000001C +:04578A00000000001B +:04578B00000000001A +:04578C000000000019 +:04578D000000000018 +:04578E000000000017 +:04578F000000000016 +:045790000000000015 +:045791000000000014 +:045792000000000013 +:045793000000000012 +:045794000000000011 +:045795000000000010 +:04579600000000000F +:04579700000000000E +:04579800000000000D +:04579900000000000C +:04579A00000000000B +:04579B00000000000A +:04579C000000000009 +:04579D000000000008 +:04579E000000000007 +:04579F000000000006 +:0457A0000000000005 +:0457A1000000000004 +:0457A2000000000003 +:0457A3000000000002 +:0457A4000000000001 +:0457A5000000000000 +:0457A60000000000FF +:0457A70000000000FE +:0457A80000000000FD +:0457A90000000000FC +:0457AA0000000000FB +:0457AB0000000000FA +:0457AC0000000000F9 +:0457AD0000000000F8 +:0457AE0000000000F7 +:0457AF0000000000F6 +:0457B00000000000F5 +:0457B10000000000F4 +:0457B20000000000F3 +:0457B30000000000F2 +:0457B40000000000F1 +:0457B50000000000F0 +:0457B60000000000EF +:0457B70000000000EE +:0457B80000000000ED +:0457B90000000000EC +:0457BA0000000000EB +:0457BB0000000000EA +:0457BC0000000000E9 +:0457BD0000000000E8 +:0457BE0000000000E7 +:0457BF0000000000E6 +:0457C00000000000E5 +:0457C10000000000E4 +:0457C20000000000E3 +:0457C30000000000E2 +:0457C40000000000E1 +:0457C50000000000E0 +:0457C60000000000DF +:0457C70000000000DE +:0457C80000000000DD +:0457C90000000000DC +:0457CA0000000000DB +:0457CB0000000000DA +:0457CC0000000000D9 +:0457CD0000000000D8 +:0457CE0000000000D7 +:0457CF0000000000D6 +:0457D00000000000D5 +:0457D10000000000D4 +:0457D20000000000D3 +:0457D30000000000D2 +:0457D40000000000D1 +:0457D50000000000D0 +:0457D60000000000CF +:0457D70000000000CE +:0457D80000000000CD +:0457D90000000000CC +:0457DA0000000000CB +:0457DB0000000000CA +:0457DC0000000000C9 +:0457DD0000000000C8 +:0457DE0000000000C7 +:0457DF0000000000C6 +:0457E00000000000C5 +:0457E10000000000C4 +:0457E20000000000C3 +:0457E30000000000C2 +:0457E40000000000C1 +:0457E50000000000C0 +:0457E60000000000BF +:0457E70000000000BE +:0457E80000000000BD +:0457E90000000000BC +:0457EA0000000000BB +:0457EB0000000000BA +:0457EC0000000000B9 +:0457ED0000000000B8 +:0457EE0000000000B7 +:0457EF0000000000B6 +:0457F00000000000B5 +:0457F10000000000B4 +:0457F20000000000B3 +:0457F30000000000B2 +:0457F40000000000B1 +:0457F50000000000B0 +:0457F60000000000AF +:0457F70000000000AE +:0457F80000000000AD +:0457F90000000000AC +:0457FA0000000000AB +:0457FB0000000000AA +:0457FC0000000000A9 +:0457FD0000000000A8 +:0457FE0000000000A7 +:0457FF0000000000A6 +:0458000000000000A4 +:0458010000000000A3 +:0458020000000000A2 +:0458030000000000A1 +:0458040000000000A0 +:04580500000000009F +:04580600000000009E +:04580700000000009D +:04580800000000009C +:04580900000000009B +:04580A00000000009A +:04580B000000000099 +:04580C000000000098 +:04580D000000000097 +:04580E000000000096 +:04580F000000000095 +:045810000000000094 +:045811000000000093 +:045812000000000092 +:045813000000000091 +:045814000000000090 +:04581500000000008F +:04581600000000008E +:04581700000000008D +:04581800000000008C +:04581900000000008B +:04581A00000000008A +:04581B000000000089 +:04581C000000000088 +:04581D000000000087 +:04581E000000000086 +:04581F000000000085 +:045820000000000084 +:045821000000000083 +:045822000000000082 +:045823000000000081 +:045824000000000080 +:04582500000000007F +:04582600000000007E +:04582700000000007D +:04582800000000007C +:04582900000000007B +:04582A00000000007A +:04582B000000000079 +:04582C000000000078 +:04582D000000000077 +:04582E000000000076 +:04582F000000000075 +:045830000000000074 +:045831000000000073 +:045832000000000072 +:045833000000000071 +:045834000000000070 +:04583500000000006F +:04583600000000006E +:04583700000000006D +:04583800000000006C +:04583900000000006B +:04583A00000000006A +:04583B000000000069 +:04583C000000000068 +:04583D000000000067 +:04583E000000000066 +:04583F000000000065 +:045840000000000064 +:045841000000000063 +:045842000000000062 +:045843000000000061 +:045844000000000060 +:04584500000000005F +:04584600000000005E +:04584700000000005D +:04584800000000005C +:04584900000000005B +:04584A00000000005A +:04584B000000000059 +:04584C000000000058 +:04584D000000000057 +:04584E000000000056 +:04584F000000000055 +:045850000000000054 +:045851000000000053 +:045852000000000052 +:045853000000000051 +:045854000000000050 +:04585500000000004F +:04585600000000004E +:04585700000000004D +:04585800000000004C +:04585900000000004B +:04585A00000000004A +:04585B000000000049 +:04585C000000000048 +:04585D000000000047 +:04585E000000000046 +:04585F000000000045 +:045860000000000044 +:045861000000000043 +:045862000000000042 +:045863000000000041 +:045864000000000040 +:04586500000000003F +:04586600000000003E +:04586700000000003D +:04586800000000003C +:04586900000000003B +:04586A00000000003A +:04586B000000000039 +:04586C000000000038 +:04586D000000000037 +:04586E000000000036 +:04586F000000000035 +:045870000000000034 +:045871000000000033 +:045872000000000032 +:045873000000000031 +:045874000000000030 +:04587500000000002F +:04587600000000002E +:04587700000000002D +:04587800000000002C +:04587900000000002B +:04587A00000000002A +:04587B000000000029 +:04587C000000000028 +:04587D000000000027 +:04587E000000000026 +:04587F000000000025 +:045880000000000024 +:045881000000000023 +:045882000000000022 +:045883000000000021 +:045884000000000020 +:04588500000000001F +:04588600000000001E +:04588700000000001D +:04588800000000001C +:04588900000000001B +:04588A00000000001A +:04588B000000000019 +:04588C000000000018 +:04588D000000000017 +:04588E000000000016 +:04588F000000000015 +:045890000000000014 +:045891000000000013 +:045892000000000012 +:045893000000000011 +:045894000000000010 +:04589500000000000F +:04589600000000000E +:04589700000000000D +:04589800000000000C +:04589900000000000B +:04589A00000000000A +:04589B000000000009 +:04589C000000000008 +:04589D000000000007 +:04589E000000000006 +:04589F000000000005 +:0458A0000000000004 +:0458A1000000000003 +:0458A2000000000002 +:0458A3000000000001 +:0458A4000000000000 +:0458A50000000000FF +:0458A60000000000FE +:0458A70000000000FD +:0458A80000000000FC +:0458A90000000000FB +:0458AA0000000000FA +:0458AB0000000000F9 +:0458AC0000000000F8 +:0458AD0000000000F7 +:0458AE0000000000F6 +:0458AF0000000000F5 +:0458B00000000000F4 +:0458B10000000000F3 +:0458B20000000000F2 +:0458B30000000000F1 +:0458B40000000000F0 +:0458B50000000000EF +:0458B60000000000EE +:0458B70000000000ED +:0458B80000000000EC +:0458B90000000000EB +:0458BA0000000000EA +:0458BB0000000000E9 +:0458BC0000000000E8 +:0458BD0000000000E7 +:0458BE0000000000E6 +:0458BF0000000000E5 +:0458C00000000000E4 +:0458C10000000000E3 +:0458C20000000000E2 +:0458C30000000000E1 +:0458C40000000000E0 +:0458C50000000000DF +:0458C60000000000DE +:0458C70000000000DD +:0458C80000000000DC +:0458C90000000000DB +:0458CA0000000000DA +:0458CB0000000000D9 +:0458CC0000000000D8 +:0458CD0000000000D7 +:0458CE0000000000D6 +:0458CF0000000000D5 +:0458D00000000000D4 +:0458D10000000000D3 +:0458D20000000000D2 +:0458D30000000000D1 +:0458D40000000000D0 +:0458D50000000000CF +:0458D60000000000CE +:0458D70000000000CD +:0458D80000000000CC +:0458D90000000000CB +:0458DA0000000000CA +:0458DB0000000000C9 +:0458DC0000000000C8 +:0458DD0000000000C7 +:0458DE0000000000C6 +:0458DF0000000000C5 +:0458E00000000000C4 +:0458E10000000000C3 +:0458E20000000000C2 +:0458E30000000000C1 +:0458E40000000000C0 +:0458E50000000000BF +:0458E60000000000BE +:0458E70000000000BD +:0458E80000000000BC +:0458E90000000000BB +:0458EA0000000000BA +:0458EB0000000000B9 +:0458EC0000000000B8 +:0458ED0000000000B7 +:0458EE0000000000B6 +:0458EF0000000000B5 +:0458F00000000000B4 +:0458F10000000000B3 +:0458F20000000000B2 +:0458F30000000000B1 +:0458F40000000000B0 +:0458F50000000000AF +:0458F60000000000AE +:0458F70000000000AD +:0458F80000000000AC +:0458F90000000000AB +:0458FA0000000000AA +:0458FB0000000000A9 +:0458FC0000000000A8 +:0458FD0000000000A7 +:0458FE0000000000A6 +:0458FF0000000000A5 +:0459000000000000A3 +:0459010000000000A2 +:0459020000000000A1 +:0459030000000000A0 +:04590400000000009F +:04590500000000009E +:04590600000000009D +:04590700000000009C +:04590800000000009B +:04590900000000009A +:04590A000000000099 +:04590B000000000098 +:04590C000000000097 +:04590D000000000096 +:04590E000000000095 +:04590F000000000094 +:045910000000000093 +:045911000000000092 +:045912000000000091 +:045913000000000090 +:04591400000000008F +:04591500000000008E +:04591600000000008D +:04591700000000008C +:04591800000000008B +:04591900000000008A +:04591A000000000089 +:04591B000000000088 +:04591C000000000087 +:04591D000000000086 +:04591E000000000085 +:04591F000000000084 +:045920000000000083 +:045921000000000082 +:045922000000000081 +:045923000000000080 +:04592400000000007F +:04592500000000007E +:04592600000000007D +:04592700000000007C +:04592800000000007B +:04592900000000007A +:04592A000000000079 +:04592B000000000078 +:04592C000000000077 +:04592D000000000076 +:04592E000000000075 +:04592F000000000074 +:045930000000000073 +:045931000000000072 +:045932000000000071 +:045933000000000070 +:04593400000000006F +:04593500000000006E +:04593600000000006D +:04593700000000006C +:04593800000000006B +:04593900000000006A +:04593A000000000069 +:04593B000000000068 +:04593C000000000067 +:04593D000000000066 +:04593E000000000065 +:04593F000000000064 +:045940000000000063 +:045941000000000062 +:045942000000000061 +:045943000000000060 +:04594400000000005F +:04594500000000005E +:04594600000000005D +:04594700000000005C +:04594800000000005B +:04594900000000005A +:04594A000000000059 +:04594B000000000058 +:04594C000000000057 +:04594D000000000056 +:04594E000000000055 +:04594F000000000054 +:045950000000000053 +:045951000000000052 +:045952000000000051 +:045953000000000050 +:04595400000000004F +:04595500000000004E +:04595600000000004D +:04595700000000004C +:04595800000000004B +:04595900000000004A +:04595A000000000049 +:04595B000000000048 +:04595C000000000047 +:04595D000000000046 +:04595E000000000045 +:04595F000000000044 +:045960000000000043 +:045961000000000042 +:045962000000000041 +:045963000000000040 +:04596400000000003F +:04596500000000003E +:04596600000000003D +:04596700000000003C +:04596800000000003B +:04596900000000003A +:04596A000000000039 +:04596B000000000038 +:04596C000000000037 +:04596D000000000036 +:04596E000000000035 +:04596F000000000034 +:045970000000000033 +:045971000000000032 +:045972000000000031 +:045973000000000030 +:04597400000000002F +:04597500000000002E +:04597600000000002D +:04597700000000002C +:04597800000000002B +:04597900000000002A +:04597A000000000029 +:04597B000000000028 +:04597C000000000027 +:04597D000000000026 +:04597E000000000025 +:04597F000000000024 +:045980000000000023 +:045981000000000022 +:045982000000000021 +:045983000000000020 +:04598400000000001F +:04598500000000001E +:04598600000000001D +:04598700000000001C +:04598800000000001B +:04598900000000001A +:04598A000000000019 +:04598B000000000018 +:04598C000000000017 +:04598D000000000016 +:04598E000000000015 +:04598F000000000014 +:045990000000000013 +:045991000000000012 +:045992000000000011 +:045993000000000010 +:04599400000000000F +:04599500000000000E +:04599600000000000D +:04599700000000000C +:04599800000000000B +:04599900000000000A +:04599A000000000009 +:04599B000000000008 +:04599C000000000007 +:04599D000000000006 +:04599E000000000005 +:04599F000000000004 +:0459A0000000000003 +:0459A1000000000002 +:0459A2000000000001 +:0459A3000000000000 +:0459A40000000000FF +:0459A50000000000FE +:0459A60000000000FD +:0459A70000000000FC +:0459A80000000000FB +:0459A90000000000FA +:0459AA0000000000F9 +:0459AB0000000000F8 +:0459AC0000000000F7 +:0459AD0000000000F6 +:0459AE0000000000F5 +:0459AF0000000000F4 +:0459B00000000000F3 +:0459B10000000000F2 +:0459B20000000000F1 +:0459B30000000000F0 +:0459B40000000000EF +:0459B50000000000EE +:0459B60000000000ED +:0459B70000000000EC +:0459B80000000000EB +:0459B90000000000EA +:0459BA0000000000E9 +:0459BB0000000000E8 +:0459BC0000000000E7 +:0459BD0000000000E6 +:0459BE0000000000E5 +:0459BF0000000000E4 +:0459C00000000000E3 +:0459C10000000000E2 +:0459C20000000000E1 +:0459C30000000000E0 +:0459C40000000000DF +:0459C50000000000DE +:0459C60000000000DD +:0459C70000000000DC +:0459C80000000000DB +:0459C90000000000DA +:0459CA0000000000D9 +:0459CB0000000000D8 +:0459CC0000000000D7 +:0459CD0000000000D6 +:0459CE0000000000D5 +:0459CF0000000000D4 +:0459D00000000000D3 +:0459D10000000000D2 +:0459D20000000000D1 +:0459D30000000000D0 +:0459D40000000000CF +:0459D50000000000CE +:0459D60000000000CD +:0459D70000000000CC +:0459D80000000000CB +:0459D90000000000CA +:0459DA0000000000C9 +:0459DB0000000000C8 +:0459DC0000000000C7 +:0459DD0000000000C6 +:0459DE0000000000C5 +:0459DF0000000000C4 +:0459E00000000000C3 +:0459E10000000000C2 +:0459E20000000000C1 +:0459E30000000000C0 +:0459E40000000000BF +:0459E50000000000BE +:0459E60000000000BD +:0459E70000000000BC +:0459E80000000000BB +:0459E90000000000BA +:0459EA0000000000B9 +:0459EB0000000000B8 +:0459EC0000000000B7 +:0459ED0000000000B6 +:0459EE0000000000B5 +:0459EF0000000000B4 +:0459F00000000000B3 +:0459F10000000000B2 +:0459F20000000000B1 +:0459F30000000000B0 +:0459F40000000000AF +:0459F50000000000AE +:0459F60000000000AD +:0459F70000000000AC +:0459F80000000000AB +:0459F90000000000AA +:0459FA0000000000A9 +:0459FB0000000000A8 +:0459FC0000000000A7 +:0459FD0000000000A6 +:0459FE0000000000A5 +:0459FF0000000000A4 +:045A000000000000A2 +:045A010000000000A1 +:045A020000000000A0 +:045A0300000000009F +:045A0400000000009E +:045A0500000000009D +:045A0600000000009C +:045A0700000000009B +:045A0800000000009A +:045A09000000000099 +:045A0A000000000098 +:045A0B000000000097 +:045A0C000000000096 +:045A0D000000000095 +:045A0E000000000094 +:045A0F000000000093 +:045A10000000000092 +:045A11000000000091 +:045A12000000000090 +:045A1300000000008F +:045A1400000000008E +:045A1500000000008D +:045A1600000000008C +:045A1700000000008B +:045A1800000000008A +:045A19000000000089 +:045A1A000000000088 +:045A1B000000000087 +:045A1C000000000086 +:045A1D000000000085 +:045A1E000000000084 +:045A1F000000000083 +:045A20000000000082 +:045A21000000000081 +:045A22000000000080 +:045A2300000000007F +:045A2400000000007E +:045A2500000000007D +:045A2600000000007C +:045A2700000000007B +:045A2800000000007A +:045A29000000000079 +:045A2A000000000078 +:045A2B000000000077 +:045A2C000000000076 +:045A2D000000000075 +:045A2E000000000074 +:045A2F000000000073 +:045A30000000000072 +:045A31000000000071 +:045A32000000000070 +:045A3300000000006F +:045A3400000000006E +:045A3500000000006D +:045A3600000000006C +:045A3700000000006B +:045A3800000000006A +:045A39000000000069 +:045A3A000000000068 +:045A3B000000000067 +:045A3C000000000066 +:045A3D000000000065 +:045A3E000000000064 +:045A3F000000000063 +:045A40000000000062 +:045A41000000000061 +:045A42000000000060 +:045A4300000000005F +:045A4400000000005E +:045A4500000000005D +:045A4600000000005C +:045A4700000000005B +:045A4800000000005A +:045A49000000000059 +:045A4A000000000058 +:045A4B000000000057 +:045A4C000000000056 +:045A4D000000000055 +:045A4E000000000054 +:045A4F000000000053 +:045A50000000000052 +:045A51000000000051 +:045A52000000000050 +:045A5300000000004F +:045A5400000000004E +:045A5500000000004D +:045A5600000000004C +:045A5700000000004B +:045A5800000000004A +:045A59000000000049 +:045A5A000000000048 +:045A5B000000000047 +:045A5C000000000046 +:045A5D000000000045 +:045A5E000000000044 +:045A5F000000000043 +:045A60000000000042 +:045A61000000000041 +:045A62000000000040 +:045A6300000000003F +:045A6400000000003E +:045A6500000000003D +:045A6600000000003C +:045A6700000000003B +:045A6800000000003A +:045A69000000000039 +:045A6A000000000038 +:045A6B000000000037 +:045A6C000000000036 +:045A6D000000000035 +:045A6E000000000034 +:045A6F000000000033 +:045A70000000000032 +:045A71000000000031 +:045A72000000000030 +:045A7300000000002F +:045A7400000000002E +:045A7500000000002D +:045A7600000000002C +:045A7700000000002B +:045A7800000000002A +:045A79000000000029 +:045A7A000000000028 +:045A7B000000000027 +:045A7C000000000026 +:045A7D000000000025 +:045A7E000000000024 +:045A7F000000000023 +:045A80000000000022 +:045A81000000000021 +:045A82000000000020 +:045A8300000000001F +:045A8400000000001E +:045A8500000000001D +:045A8600000000001C +:045A8700000000001B +:045A8800000000001A +:045A89000000000019 +:045A8A000000000018 +:045A8B000000000017 +:045A8C000000000016 +:045A8D000000000015 +:045A8E000000000014 +:045A8F000000000013 +:045A90000000000012 +:045A91000000000011 +:045A92000000000010 +:045A9300000000000F +:045A9400000000000E +:045A9500000000000D +:045A9600000000000C +:045A9700000000000B +:045A9800000000000A +:045A99000000000009 +:045A9A000000000008 +:045A9B000000000007 +:045A9C000000000006 +:045A9D000000000005 +:045A9E000000000004 +:045A9F000000000003 +:045AA0000000000002 +:045AA1000000000001 +:045AA2000000000000 +:045AA30000000000FF +:045AA40000000000FE +:045AA50000000000FD +:045AA60000000000FC +:045AA70000000000FB +:045AA80000000000FA +:045AA90000000000F9 +:045AAA0000000000F8 +:045AAB0000000000F7 +:045AAC0000000000F6 +:045AAD0000000000F5 +:045AAE0000000000F4 +:045AAF0000000000F3 +:045AB00000000000F2 +:045AB10000000000F1 +:045AB20000000000F0 +:045AB30000000000EF +:045AB40000000000EE +:045AB50000000000ED +:045AB60000000000EC +:045AB70000000000EB +:045AB80000000000EA +:045AB90000000000E9 +:045ABA0000000000E8 +:045ABB0000000000E7 +:045ABC0000000000E6 +:045ABD0000000000E5 +:045ABE0000000000E4 +:045ABF0000000000E3 +:045AC00000000000E2 +:045AC10000000000E1 +:045AC20000000000E0 +:045AC30000000000DF +:045AC40000000000DE +:045AC50000000000DD +:045AC60000000000DC +:045AC70000000000DB +:045AC80000000000DA +:045AC90000000000D9 +:045ACA0000000000D8 +:045ACB0000000000D7 +:045ACC0000000000D6 +:045ACD0000000000D5 +:045ACE0000000000D4 +:045ACF0000000000D3 +:045AD00000000000D2 +:045AD10000000000D1 +:045AD20000000000D0 +:045AD30000000000CF +:045AD40000000000CE +:045AD50000000000CD +:045AD60000000000CC +:045AD70000000000CB +:045AD80000000000CA +:045AD90000000000C9 +:045ADA0000000000C8 +:045ADB0000000000C7 +:045ADC0000000000C6 +:045ADD0000000000C5 +:045ADE0000000000C4 +:045ADF0000000000C3 +:045AE00000000000C2 +:045AE10000000000C1 +:045AE20000000000C0 +:045AE30000000000BF +:045AE40000000000BE +:045AE50000000000BD +:045AE60000000000BC +:045AE70000000000BB +:045AE80000000000BA +:045AE90000000000B9 +:045AEA0000000000B8 +:045AEB0000000000B7 +:045AEC0000000000B6 +:045AED0000000000B5 +:045AEE0000000000B4 +:045AEF0000000000B3 +:045AF00000000000B2 +:045AF10000000000B1 +:045AF20000000000B0 +:045AF30000000000AF +:045AF40000000000AE +:045AF50000000000AD +:045AF60000000000AC +:045AF70000000000AB +:045AF80000000000AA +:045AF90000000000A9 +:045AFA0000000000A8 +:045AFB0000000000A7 +:045AFC0000000000A6 +:045AFD0000000000A5 +:045AFE0000000000A4 +:045AFF0000000000A3 +:045B000000000000A1 +:045B010000000000A0 +:045B0200000000009F +:045B0300000000009E +:045B0400000000009D +:045B0500000000009C +:045B0600000000009B +:045B0700000000009A +:045B08000000000099 +:045B09000000000098 +:045B0A000000000097 +:045B0B000000000096 +:045B0C000000000095 +:045B0D000000000094 +:045B0E000000000093 +:045B0F000000000092 +:045B10000000000091 +:045B11000000000090 +:045B1200000000008F +:045B1300000000008E +:045B1400000000008D +:045B1500000000008C +:045B1600000000008B +:045B1700000000008A +:045B18000000000089 +:045B19000000000088 +:045B1A000000000087 +:045B1B000000000086 +:045B1C000000000085 +:045B1D000000000084 +:045B1E000000000083 +:045B1F000000000082 +:045B20000000000081 +:045B21000000000080 +:045B2200000000007F +:045B2300000000007E +:045B2400000000007D +:045B2500000000007C +:045B2600000000007B +:045B2700000000007A +:045B28000000000079 +:045B29000000000078 +:045B2A000000000077 +:045B2B000000000076 +:045B2C000000000075 +:045B2D000000000074 +:045B2E000000000073 +:045B2F000000000072 +:045B30000000000071 +:045B31000000000070 +:045B3200000000006F +:045B3300000000006E +:045B3400000000006D +:045B3500000000006C +:045B3600000000006B +:045B3700000000006A +:045B38000000000069 +:045B39000000000068 +:045B3A000000000067 +:045B3B000000000066 +:045B3C000000000065 +:045B3D000000000064 +:045B3E000000000063 +:045B3F000000000062 +:045B40000000000061 +:045B41000000000060 +:045B4200000000005F +:045B4300000000005E +:045B4400000000005D +:045B4500000000005C +:045B4600000000005B +:045B4700000000005A +:045B48000000000059 +:045B49000000000058 +:045B4A000000000057 +:045B4B000000000056 +:045B4C000000000055 +:045B4D000000000054 +:045B4E000000000053 +:045B4F000000000052 +:045B50000000000051 +:045B51000000000050 +:045B5200000000004F +:045B5300000000004E +:045B5400000000004D +:045B5500000000004C +:045B5600000000004B +:045B5700000000004A +:045B58000000000049 +:045B59000000000048 +:045B5A000000000047 +:045B5B000000000046 +:045B5C000000000045 +:045B5D000000000044 +:045B5E000000000043 +:045B5F000000000042 +:045B60000000000041 +:045B61000000000040 +:045B6200000000003F +:045B6300000000003E +:045B6400000000003D +:045B6500000000003C +:045B6600000000003B +:045B6700000000003A +:045B68000000000039 +:045B69000000000038 +:045B6A000000000037 +:045B6B000000000036 +:045B6C000000000035 +:045B6D000000000034 +:045B6E000000000033 +:045B6F000000000032 +:045B70000000000031 +:045B71000000000030 +:045B7200000000002F +:045B7300000000002E +:045B7400000000002D +:045B7500000000002C +:045B7600000000002B +:045B7700000000002A +:045B78000000000029 +:045B79000000000028 +:045B7A000000000027 +:045B7B000000000026 +:045B7C000000000025 +:045B7D000000000024 +:045B7E000000000023 +:045B7F000000000022 +:045B80000000000021 +:045B81000000000020 +:045B8200000000001F +:045B8300000000001E +:045B8400000000001D +:045B8500000000001C +:045B8600000000001B +:045B8700000000001A +:045B88000000000019 +:045B89000000000018 +:045B8A000000000017 +:045B8B000000000016 +:045B8C000000000015 +:045B8D000000000014 +:045B8E000000000013 +:045B8F000000000012 +:045B90000000000011 +:045B91000000000010 +:045B9200000000000F +:045B9300000000000E +:045B9400000000000D +:045B9500000000000C +:045B9600000000000B +:045B9700000000000A +:045B98000000000009 +:045B99000000000008 +:045B9A000000000007 +:045B9B000000000006 +:045B9C000000000005 +:045B9D000000000004 +:045B9E000000000003 +:045B9F000000000002 +:045BA0000000000001 +:045BA1000000000000 +:045BA20000000000FF +:045BA30000000000FE +:045BA40000000000FD +:045BA50000000000FC +:045BA60000000000FB +:045BA70000000000FA +:045BA80000000000F9 +:045BA90000000000F8 +:045BAA0000000000F7 +:045BAB0000000000F6 +:045BAC0000000000F5 +:045BAD0000000000F4 +:045BAE0000000000F3 +:045BAF0000000000F2 +:045BB00000000000F1 +:045BB10000000000F0 +:045BB20000000000EF +:045BB30000000000EE +:045BB40000000000ED +:045BB50000000000EC +:045BB60000000000EB +:045BB70000000000EA +:045BB80000000000E9 +:045BB90000000000E8 +:045BBA0000000000E7 +:045BBB0000000000E6 +:045BBC0000000000E5 +:045BBD0000000000E4 +:045BBE0000000000E3 +:045BBF0000000000E2 +:045BC00000000000E1 +:045BC10000000000E0 +:045BC20000000000DF +:045BC30000000000DE +:045BC40000000000DD +:045BC50000000000DC +:045BC60000000000DB +:045BC70000000000DA +:045BC80000000000D9 +:045BC90000000000D8 +:045BCA0000000000D7 +:045BCB0000000000D6 +:045BCC0000000000D5 +:045BCD0000000000D4 +:045BCE0000000000D3 +:045BCF0000000000D2 +:045BD00000000000D1 +:045BD10000000000D0 +:045BD20000000000CF +:045BD30000000000CE +:045BD40000000000CD +:045BD50000000000CC +:045BD60000000000CB +:045BD70000000000CA +:045BD80000000000C9 +:045BD90000000000C8 +:045BDA0000000000C7 +:045BDB0000000000C6 +:045BDC0000000000C5 +:045BDD0000000000C4 +:045BDE0000000000C3 +:045BDF0000000000C2 +:045BE00000000000C1 +:045BE10000000000C0 +:045BE20000000000BF +:045BE30000000000BE +:045BE40000000000BD +:045BE50000000000BC +:045BE60000000000BB +:045BE70000000000BA +:045BE80000000000B9 +:045BE90000000000B8 +:045BEA0000000000B7 +:045BEB0000000000B6 +:045BEC0000000000B5 +:045BED0000000000B4 +:045BEE0000000000B3 +:045BEF0000000000B2 +:045BF00000000000B1 +:045BF10000000000B0 +:045BF20000000000AF +:045BF30000000000AE +:045BF40000000000AD +:045BF50000000000AC +:045BF60000000000AB +:045BF70000000000AA +:045BF80000000000A9 +:045BF90000000000A8 +:045BFA0000000000A7 +:045BFB0000000000A6 +:045BFC0000000000A5 +:045BFD0000000000A4 +:045BFE0000000000A3 +:045BFF0000000000A2 +:045C000000000000A0 +:045C0100000000009F +:045C0200000000009E +:045C0300000000009D +:045C0400000000009C +:045C0500000000009B +:045C0600000000009A +:045C07000000000099 +:045C08000000000098 +:045C09000000000097 +:045C0A000000000096 +:045C0B000000000095 +:045C0C000000000094 +:045C0D000000000093 +:045C0E000000000092 +:045C0F000000000091 +:045C10000000000090 +:045C1100000000008F +:045C1200000000008E +:045C1300000000008D +:045C1400000000008C +:045C1500000000008B +:045C1600000000008A +:045C17000000000089 +:045C18000000000088 +:045C19000000000087 +:045C1A000000000086 +:045C1B000000000085 +:045C1C000000000084 +:045C1D000000000083 +:045C1E000000000082 +:045C1F000000000081 +:045C20000000000080 +:045C2100000000007F +:045C2200000000007E +:045C2300000000007D +:045C2400000000007C +:045C2500000000007B +:045C2600000000007A +:045C27000000000079 +:045C28000000000078 +:045C29000000000077 +:045C2A000000000076 +:045C2B000000000075 +:045C2C000000000074 +:045C2D000000000073 +:045C2E000000000072 +:045C2F000000000071 +:045C30000000000070 +:045C3100000000006F +:045C3200000000006E +:045C3300000000006D +:045C3400000000006C +:045C3500000000006B +:045C3600000000006A +:045C37000000000069 +:045C38000000000068 +:045C39000000000067 +:045C3A000000000066 +:045C3B000000000065 +:045C3C000000000064 +:045C3D000000000063 +:045C3E000000000062 +:045C3F000000000061 +:045C40000000000060 +:045C4100000000005F +:045C4200000000005E +:045C4300000000005D +:045C4400000000005C +:045C4500000000005B +:045C4600000000005A +:045C47000000000059 +:045C48000000000058 +:045C49000000000057 +:045C4A000000000056 +:045C4B000000000055 +:045C4C000000000054 +:045C4D000000000053 +:045C4E000000000052 +:045C4F000000000051 +:045C50000000000050 +:045C5100000000004F +:045C5200000000004E +:045C5300000000004D +:045C5400000000004C +:045C5500000000004B +:045C5600000000004A +:045C57000000000049 +:045C58000000000048 +:045C59000000000047 +:045C5A000000000046 +:045C5B000000000045 +:045C5C000000000044 +:045C5D000000000043 +:045C5E000000000042 +:045C5F000000000041 +:045C60000000000040 +:045C6100000000003F +:045C6200000000003E +:045C6300000000003D +:045C6400000000003C +:045C6500000000003B +:045C6600000000003A +:045C67000000000039 +:045C68000000000038 +:045C69000000000037 +:045C6A000000000036 +:045C6B000000000035 +:045C6C000000000034 +:045C6D000000000033 +:045C6E000000000032 +:045C6F000000000031 +:045C70000000000030 +:045C7100000000002F +:045C7200000000002E +:045C7300000000002D +:045C7400000000002C +:045C7500000000002B +:045C7600000000002A +:045C77000000000029 +:045C78000000000028 +:045C79000000000027 +:045C7A000000000026 +:045C7B000000000025 +:045C7C000000000024 +:045C7D000000000023 +:045C7E000000000022 +:045C7F000000000021 +:045C80000000000020 +:045C8100000000001F +:045C8200000000001E +:045C8300000000001D +:045C8400000000001C +:045C8500000000001B +:045C8600000000001A +:045C87000000000019 +:045C88000000000018 +:045C89000000000017 +:045C8A000000000016 +:045C8B000000000015 +:045C8C000000000014 +:045C8D000000000013 +:045C8E000000000012 +:045C8F000000000011 +:045C90000000000010 +:045C9100000000000F +:045C9200000000000E +:045C9300000000000D +:045C9400000000000C +:045C9500000000000B +:045C9600000000000A +:045C97000000000009 +:045C98000000000008 +:045C99000000000007 +:045C9A000000000006 +:045C9B000000000005 +:045C9C000000000004 +:045C9D000000000003 +:045C9E000000000002 +:045C9F000000000001 +:045CA0000000000000 +:045CA10000000000FF +:045CA20000000000FE +:045CA30000000000FD +:045CA40000000000FC +:045CA50000000000FB +:045CA60000000000FA +:045CA70000000000F9 +:045CA80000000000F8 +:045CA90000000000F7 +:045CAA0000000000F6 +:045CAB0000000000F5 +:045CAC0000000000F4 +:045CAD0000000000F3 +:045CAE0000000000F2 +:045CAF0000000000F1 +:045CB00000000000F0 +:045CB10000000000EF +:045CB20000000000EE +:045CB30000000000ED +:045CB40000000000EC +:045CB50000000000EB +:045CB60000000000EA +:045CB70000000000E9 +:045CB80000000000E8 +:045CB90000000000E7 +:045CBA0000000000E6 +:045CBB0000000000E5 +:045CBC0000000000E4 +:045CBD0000000000E3 +:045CBE0000000000E2 +:045CBF0000000000E1 +:045CC00000000000E0 +:045CC10000000000DF +:045CC20000000000DE +:045CC30000000000DD +:045CC40000000000DC +:045CC50000000000DB +:045CC60000000000DA +:045CC70000000000D9 +:045CC80000000000D8 +:045CC90000000000D7 +:045CCA0000000000D6 +:045CCB0000000000D5 +:045CCC0000000000D4 +:045CCD0000000000D3 +:045CCE0000000000D2 +:045CCF0000000000D1 +:045CD00000000000D0 +:045CD10000000000CF +:045CD20000000000CE +:045CD30000000000CD +:045CD40000000000CC +:045CD50000000000CB +:045CD60000000000CA +:045CD70000000000C9 +:045CD80000000000C8 +:045CD90000000000C7 +:045CDA0000000000C6 +:045CDB0000000000C5 +:045CDC0000000000C4 +:045CDD0000000000C3 +:045CDE0000000000C2 +:045CDF0000000000C1 +:045CE00000000000C0 +:045CE10000000000BF +:045CE20000000000BE +:045CE30000000000BD +:045CE40000000000BC +:045CE50000000000BB +:045CE60000000000BA +:045CE70000000000B9 +:045CE80000000000B8 +:045CE90000000000B7 +:045CEA0000000000B6 +:045CEB0000000000B5 +:045CEC0000000000B4 +:045CED0000000000B3 +:045CEE0000000000B2 +:045CEF0000000000B1 +:045CF00000000000B0 +:045CF10000000000AF +:045CF20000000000AE +:045CF30000000000AD +:045CF40000000000AC +:045CF50000000000AB +:045CF60000000000AA +:045CF70000000000A9 +:045CF80000000000A8 +:045CF90000000000A7 +:045CFA0000000000A6 +:045CFB0000000000A5 +:045CFC0000000000A4 +:045CFD0000000000A3 +:045CFE0000000000A2 +:045CFF0000000000A1 +:045D0000000000009F +:045D0100000000009E +:045D0200000000009D +:045D0300000000009C +:045D0400000000009B +:045D0500000000009A +:045D06000000000099 +:045D07000000000098 +:045D08000000000097 +:045D09000000000096 +:045D0A000000000095 +:045D0B000000000094 +:045D0C000000000093 +:045D0D000000000092 +:045D0E000000000091 +:045D0F000000000090 +:045D1000000000008F +:045D1100000000008E +:045D1200000000008D +:045D1300000000008C +:045D1400000000008B +:045D1500000000008A +:045D16000000000089 +:045D17000000000088 +:045D18000000000087 +:045D19000000000086 +:045D1A000000000085 +:045D1B000000000084 +:045D1C000000000083 +:045D1D000000000082 +:045D1E000000000081 +:045D1F000000000080 +:045D2000000000007F +:045D2100000000007E +:045D2200000000007D +:045D2300000000007C +:045D2400000000007B +:045D2500000000007A +:045D26000000000079 +:045D27000000000078 +:045D28000000000077 +:045D29000000000076 +:045D2A000000000075 +:045D2B000000000074 +:045D2C000000000073 +:045D2D000000000072 +:045D2E000000000071 +:045D2F000000000070 +:045D3000000000006F +:045D3100000000006E +:045D3200000000006D +:045D3300000000006C +:045D3400000000006B +:045D3500000000006A +:045D36000000000069 +:045D37000000000068 +:045D38000000000067 +:045D39000000000066 +:045D3A000000000065 +:045D3B000000000064 +:045D3C000000000063 +:045D3D000000000062 +:045D3E000000000061 +:045D3F000000000060 +:045D4000000000005F +:045D4100000000005E +:045D4200000000005D +:045D4300000000005C +:045D4400000000005B +:045D4500000000005A +:045D46000000000059 +:045D47000000000058 +:045D48000000000057 +:045D49000000000056 +:045D4A000000000055 +:045D4B000000000054 +:045D4C000000000053 +:045D4D000000000052 +:045D4E000000000051 +:045D4F000000000050 +:045D5000000000004F +:045D5100000000004E +:045D5200000000004D +:045D5300000000004C +:045D5400000000004B +:045D5500000000004A +:045D56000000000049 +:045D57000000000048 +:045D58000000000047 +:045D59000000000046 +:045D5A000000000045 +:045D5B000000000044 +:045D5C000000000043 +:045D5D000000000042 +:045D5E000000000041 +:045D5F000000000040 +:045D6000000000003F +:045D6100000000003E +:045D6200000000003D +:045D6300000000003C +:045D6400000000003B +:045D6500000000003A +:045D66000000000039 +:045D67000000000038 +:045D68000000000037 +:045D69000000000036 +:045D6A000000000035 +:045D6B000000000034 +:045D6C000000000033 +:045D6D000000000032 +:045D6E000000000031 +:045D6F000000000030 +:045D7000000000002F +:045D7100000000002E +:045D7200000000002D +:045D7300000000002C +:045D7400000000002B +:045D7500000000002A +:045D76000000000029 +:045D77000000000028 +:045D78000000000027 +:045D79000000000026 +:045D7A000000000025 +:045D7B000000000024 +:045D7C000000000023 +:045D7D000000000022 +:045D7E000000000021 +:045D7F000000000020 +:045D8000000000001F +:045D8100000000001E +:045D8200000000001D +:045D8300000000001C +:045D8400000000001B +:045D8500000000001A +:045D86000000000019 +:045D87000000000018 +:045D88000000000017 +:045D89000000000016 +:045D8A000000000015 +:045D8B000000000014 +:045D8C000000000013 +:045D8D000000000012 +:045D8E000000000011 +:045D8F000000000010 +:045D9000000000000F +:045D9100000000000E +:045D9200000000000D +:045D9300000000000C +:045D9400000000000B +:045D9500000000000A +:045D96000000000009 +:045D97000000000008 +:045D98000000000007 +:045D99000000000006 +:045D9A000000000005 +:045D9B000000000004 +:045D9C000000000003 +:045D9D000000000002 +:045D9E000000000001 +:045D9F000000000000 +:045DA00000000000FF +:045DA10000000000FE +:045DA20000000000FD +:045DA30000000000FC +:045DA40000000000FB +:045DA50000000000FA +:045DA60000000000F9 +:045DA70000000000F8 +:045DA80000000000F7 +:045DA90000000000F6 +:045DAA0000000000F5 +:045DAB0000000000F4 +:045DAC0000000000F3 +:045DAD0000000000F2 +:045DAE0000000000F1 +:045DAF0000000000F0 +:045DB00000000000EF +:045DB10000000000EE +:045DB20000000000ED +:045DB30000000000EC +:045DB40000000000EB +:045DB50000000000EA +:045DB60000000000E9 +:045DB70000000000E8 +:045DB80000000000E7 +:045DB90000000000E6 +:045DBA0000000000E5 +:045DBB0000000000E4 +:045DBC0000000000E3 +:045DBD0000000000E2 +:045DBE0000000000E1 +:045DBF0000000000E0 +:045DC00000000000DF +:045DC10000000000DE +:045DC20000000000DD +:045DC30000000000DC +:045DC40000000000DB +:045DC50000000000DA +:045DC60000000000D9 +:045DC70000000000D8 +:045DC80000000000D7 +:045DC90000000000D6 +:045DCA0000000000D5 +:045DCB0000000000D4 +:045DCC0000000000D3 +:045DCD0000000000D2 +:045DCE0000000000D1 +:045DCF0000000000D0 +:045DD00000000000CF +:045DD10000000000CE +:045DD20000000000CD +:045DD30000000000CC +:045DD40000000000CB +:045DD50000000000CA +:045DD60000000000C9 +:045DD70000000000C8 +:045DD80000000000C7 +:045DD90000000000C6 +:045DDA0000000000C5 +:045DDB0000000000C4 +:045DDC0000000000C3 +:045DDD0000000000C2 +:045DDE0000000000C1 +:045DDF0000000000C0 +:045DE00000000000BF +:045DE10000000000BE +:045DE20000000000BD +:045DE30000000000BC +:045DE40000000000BB +:045DE50000000000BA +:045DE60000000000B9 +:045DE70000000000B8 +:045DE80000000000B7 +:045DE90000000000B6 +:045DEA0000000000B5 +:045DEB0000000000B4 +:045DEC0000000000B3 +:045DED0000000000B2 +:045DEE0000000000B1 +:045DEF0000000000B0 +:045DF00000000000AF +:045DF10000000000AE +:045DF20000000000AD +:045DF30000000000AC +:045DF40000000000AB +:045DF50000000000AA +:045DF60000000000A9 +:045DF70000000000A8 +:045DF80000000000A7 +:045DF90000000000A6 +:045DFA0000000000A5 +:045DFB0000000000A4 +:045DFC0000000000A3 +:045DFD0000000000A2 +:045DFE0000000000A1 +:045DFF0000000000A0 +:045E0000000000009E +:045E0100000000009D +:045E0200000000009C +:045E0300000000009B +:045E0400000000009A +:045E05000000000099 +:045E06000000000098 +:045E07000000000097 +:045E08000000000096 +:045E09000000000095 +:045E0A000000000094 +:045E0B000000000093 +:045E0C000000000092 +:045E0D000000000091 +:045E0E000000000090 +:045E0F00000000008F +:045E1000000000008E +:045E1100000000008D +:045E1200000000008C +:045E1300000000008B +:045E1400000000008A +:045E15000000000089 +:045E16000000000088 +:045E17000000000087 +:045E18000000000086 +:045E19000000000085 +:045E1A000000000084 +:045E1B000000000083 +:045E1C000000000082 +:045E1D000000000081 +:045E1E000000000080 +:045E1F00000000007F +:045E2000000000007E +:045E2100000000007D +:045E2200000000007C +:045E2300000000007B +:045E2400000000007A +:045E25000000000079 +:045E26000000000078 +:045E27000000000077 +:045E28000000000076 +:045E29000000000075 +:045E2A000000000074 +:045E2B000000000073 +:045E2C000000000072 +:045E2D000000000071 +:045E2E000000000070 +:045E2F00000000006F +:045E3000000000006E +:045E3100000000006D +:045E3200000000006C +:045E3300000000006B +:045E3400000000006A +:045E35000000000069 +:045E36000000000068 +:045E37000000000067 +:045E38000000000066 +:045E39000000000065 +:045E3A000000000064 +:045E3B000000000063 +:045E3C000000000062 +:045E3D000000000061 +:045E3E000000000060 +:045E3F00000000005F +:045E4000000000005E +:045E4100000000005D +:045E4200000000005C +:045E4300000000005B +:045E4400000000005A +:045E45000000000059 +:045E46000000000058 +:045E47000000000057 +:045E48000000000056 +:045E49000000000055 +:045E4A000000000054 +:045E4B000000000053 +:045E4C000000000052 +:045E4D000000000051 +:045E4E000000000050 +:045E4F00000000004F +:045E5000000000004E +:045E5100000000004D +:045E5200000000004C +:045E5300000000004B +:045E5400000000004A +:045E55000000000049 +:045E56000000000048 +:045E57000000000047 +:045E58000000000046 +:045E59000000000045 +:045E5A000000000044 +:045E5B000000000043 +:045E5C000000000042 +:045E5D000000000041 +:045E5E000000000040 +:045E5F00000000003F +:045E6000000000003E +:045E6100000000003D +:045E6200000000003C +:045E6300000000003B +:045E6400000000003A +:045E65000000000039 +:045E66000000000038 +:045E67000000000037 +:045E68000000000036 +:045E69000000000035 +:045E6A000000000034 +:045E6B000000000033 +:045E6C000000000032 +:045E6D000000000031 +:045E6E000000000030 +:045E6F00000000002F +:045E7000000000002E +:045E7100000000002D +:045E7200000000002C +:045E7300000000002B +:045E7400000000002A +:045E75000000000029 +:045E76000000000028 +:045E77000000000027 +:045E78000000000026 +:045E79000000000025 +:045E7A000000000024 +:045E7B000000000023 +:045E7C000000000022 +:045E7D000000000021 +:045E7E000000000020 +:045E7F00000000001F +:045E8000000000001E +:045E8100000000001D +:045E8200000000001C +:045E8300000000001B +:045E8400000000001A +:045E85000000000019 +:045E86000000000018 +:045E87000000000017 +:045E88000000000016 +:045E89000000000015 +:045E8A000000000014 +:045E8B000000000013 +:045E8C000000000012 +:045E8D000000000011 +:045E8E000000000010 +:045E8F00000000000F +:045E9000000000000E +:045E9100000000000D +:045E9200000000000C +:045E9300000000000B +:045E9400000000000A +:045E95000000000009 +:045E96000000000008 +:045E97000000000007 +:045E98000000000006 +:045E99000000000005 +:045E9A000000000004 +:045E9B000000000003 +:045E9C000000000002 +:045E9D000000000001 +:045E9E000000000000 +:045E9F0000000000FF +:045EA00000000000FE +:045EA10000000000FD +:045EA20000000000FC +:045EA30000000000FB +:045EA40000000000FA +:045EA50000000000F9 +:045EA60000000000F8 +:045EA70000000000F7 +:045EA80000000000F6 +:045EA90000000000F5 +:045EAA0000000000F4 +:045EAB0000000000F3 +:045EAC0000000000F2 +:045EAD0000000000F1 +:045EAE0000000000F0 +:045EAF0000000000EF +:045EB00000000000EE +:045EB10000000000ED +:045EB20000000000EC +:045EB30000000000EB +:045EB40000000000EA +:045EB50000000000E9 +:045EB60000000000E8 +:045EB70000000000E7 +:045EB80000000000E6 +:045EB90000000000E5 +:045EBA0000000000E4 +:045EBB0000000000E3 +:045EBC0000000000E2 +:045EBD0000000000E1 +:045EBE0000000000E0 +:045EBF0000000000DF +:045EC00000000000DE +:045EC10000000000DD +:045EC20000000000DC +:045EC30000000000DB +:045EC40000000000DA +:045EC50000000000D9 +:045EC60000000000D8 +:045EC70000000000D7 +:045EC80000000000D6 +:045EC90000000000D5 +:045ECA0000000000D4 +:045ECB0000000000D3 +:045ECC0000000000D2 +:045ECD0000000000D1 +:045ECE0000000000D0 +:045ECF0000000000CF +:045ED00000000000CE +:045ED10000000000CD +:045ED20000000000CC +:045ED30000000000CB +:045ED40000000000CA +:045ED50000000000C9 +:045ED60000000000C8 +:045ED70000000000C7 +:045ED80000000000C6 +:045ED90000000000C5 +:045EDA0000000000C4 +:045EDB0000000000C3 +:045EDC0000000000C2 +:045EDD0000000000C1 +:045EDE0000000000C0 +:045EDF0000000000BF +:045EE00000000000BE +:045EE10000000000BD +:045EE20000000000BC +:045EE30000000000BB +:045EE40000000000BA +:045EE50000000000B9 +:045EE60000000000B8 +:045EE70000000000B7 +:045EE80000000000B6 +:045EE90000000000B5 +:045EEA0000000000B4 +:045EEB0000000000B3 +:045EEC0000000000B2 +:045EED0000000000B1 +:045EEE0000000000B0 +:045EEF0000000000AF +:045EF00000000000AE +:045EF10000000000AD +:045EF20000000000AC +:045EF30000000000AB +:045EF40000000000AA +:045EF50000000000A9 +:045EF60000000000A8 +:045EF70000000000A7 +:045EF80000000000A6 +:045EF90000000000A5 +:045EFA0000000000A4 +:045EFB0000000000A3 +:045EFC0000000000A2 +:045EFD0000000000A1 +:045EFE0000000000A0 +:045EFF00000000009F +:045F0000000000009D +:045F0100000000009C +:045F0200000000009B +:045F0300000000009A +:045F04000000000099 +:045F05000000000098 +:045F06000000000097 +:045F07000000000096 +:045F08000000000095 +:045F09000000000094 +:045F0A000000000093 +:045F0B000000000092 +:045F0C000000000091 +:045F0D000000000090 +:045F0E00000000008F +:045F0F00000000008E +:045F1000000000008D +:045F1100000000008C +:045F1200000000008B +:045F1300000000008A +:045F14000000000089 +:045F15000000000088 +:045F16000000000087 +:045F17000000000086 +:045F18000000000085 +:045F19000000000084 +:045F1A000000000083 +:045F1B000000000082 +:045F1C000000000081 +:045F1D000000000080 +:045F1E00000000007F +:045F1F00000000007E +:045F2000000000007D +:045F2100000000007C +:045F2200000000007B +:045F2300000000007A +:045F24000000000079 +:045F25000000000078 +:045F26000000000077 +:045F27000000000076 +:045F28000000000075 +:045F29000000000074 +:045F2A000000000073 +:045F2B000000000072 +:045F2C000000000071 +:045F2D000000000070 +:045F2E00000000006F +:045F2F00000000006E +:045F3000000000006D +:045F3100000000006C +:045F3200000000006B +:045F3300000000006A +:045F34000000000069 +:045F35000000000068 +:045F36000000000067 +:045F37000000000066 +:045F38000000000065 +:045F39000000000064 +:045F3A000000000063 +:045F3B000000000062 +:045F3C000000000061 +:045F3D000000000060 +:045F3E00000000005F +:045F3F00000000005E +:045F4000000000005D +:045F4100000000005C +:045F4200000000005B +:045F4300000000005A +:045F44000000000059 +:045F45000000000058 +:045F46000000000057 +:045F47000000000056 +:045F48000000000055 +:045F49000000000054 +:045F4A000000000053 +:045F4B000000000052 +:045F4C000000000051 +:045F4D000000000050 +:045F4E00000000004F +:045F4F00000000004E +:045F5000000000004D +:045F5100000000004C +:045F5200000000004B +:045F5300000000004A +:045F54000000000049 +:045F55000000000048 +:045F56000000000047 +:045F57000000000046 +:045F58000000000045 +:045F59000000000044 +:045F5A000000000043 +:045F5B000000000042 +:045F5C000000000041 +:045F5D000000000040 +:045F5E00000000003F +:045F5F00000000003E +:045F6000000000003D +:045F6100000000003C +:045F6200000000003B +:045F6300000000003A +:045F64000000000039 +:045F65000000000038 +:045F66000000000037 +:045F67000000000036 +:045F68000000000035 +:045F69000000000034 +:045F6A000000000033 +:045F6B000000000032 +:045F6C000000000031 +:045F6D000000000030 +:045F6E00000000002F +:045F6F00000000002E +:045F7000000000002D +:045F7100000000002C +:045F7200000000002B +:045F7300000000002A +:045F74000000000029 +:045F75000000000028 +:045F76000000000027 +:045F77000000000026 +:045F78000000000025 +:045F79000000000024 +:045F7A000000000023 +:045F7B000000000022 +:045F7C000000000021 +:045F7D000000000020 +:045F7E00000000001F +:045F7F00000000001E +:045F8000000000001D +:045F8100000000001C +:045F8200000000001B +:045F8300000000001A +:045F84000000000019 +:045F85000000000018 +:045F86000000000017 +:045F87000000000016 +:045F88000000000015 +:045F89000000000014 +:045F8A000000000013 +:045F8B000000000012 +:045F8C000000000011 +:045F8D000000000010 +:045F8E00000000000F +:045F8F00000000000E +:045F9000000000000D +:045F9100000000000C +:045F9200000000000B +:045F9300000000000A +:045F94000000000009 +:045F95000000000008 +:045F96000000000007 +:045F97000000000006 +:045F98000000000005 +:045F99000000000004 +:045F9A000000000003 +:045F9B000000000002 +:045F9C000000000001 +:045F9D000000000000 +:045F9E0000000000FF +:045F9F0000000000FE +:045FA00000000000FD +:045FA10000000000FC +:045FA20000000000FB +:045FA30000000000FA +:045FA40000000000F9 +:045FA50000000000F8 +:045FA60000000000F7 +:045FA70000000000F6 +:045FA80000000000F5 +:045FA90000000000F4 +:045FAA0000000000F3 +:045FAB0000000000F2 +:045FAC0000000000F1 +:045FAD0000000000F0 +:045FAE0000000000EF +:045FAF0000000000EE +:045FB00000000000ED +:045FB10000000000EC +:045FB20000000000EB +:045FB30000000000EA +:045FB40000000000E9 +:045FB50000000000E8 +:045FB60000000000E7 +:045FB70000000000E6 +:045FB80000000000E5 +:045FB90000000000E4 +:045FBA0000000000E3 +:045FBB0000000000E2 +:045FBC0000000000E1 +:045FBD0000000000E0 +:045FBE0000000000DF +:045FBF0000000000DE +:045FC00000000000DD +:045FC10000000000DC +:045FC20000000000DB +:045FC30000000000DA +:045FC40000000000D9 +:045FC50000000000D8 +:045FC60000000000D7 +:045FC70000000000D6 +:045FC80000000000D5 +:045FC90000000000D4 +:045FCA0000000000D3 +:045FCB0000000000D2 +:045FCC0000000000D1 +:045FCD0000000000D0 +:045FCE0000000000CF +:045FCF0000000000CE +:045FD00000000000CD +:045FD10000000000CC +:045FD20000000000CB +:045FD30000000000CA +:045FD40000000000C9 +:045FD50000000000C8 +:045FD60000000000C7 +:045FD70000000000C6 +:045FD80000000000C5 +:045FD90000000000C4 +:045FDA0000000000C3 +:045FDB0000000000C2 +:045FDC0000000000C1 +:045FDD0000000000C0 +:045FDE0000000000BF +:045FDF0000000000BE +:045FE00000000000BD +:045FE10000000000BC +:045FE20000000000BB +:045FE30000000000BA +:045FE40000000000B9 +:045FE50000000000B8 +:045FE60000000000B7 +:045FE70000000000B6 +:045FE80000000000B5 +:045FE90000000000B4 +:045FEA0000000000B3 +:045FEB0000000000B2 +:045FEC0000000000B1 +:045FED0000000000B0 +:045FEE0000000000AF +:045FEF0000000000AE +:045FF00000000000AD +:045FF10000000000AC +:045FF20000000000AB +:045FF30000000000AA +:045FF40000000000A9 +:045FF50000000000A8 +:045FF60000000000A7 +:045FF70000000000A6 +:045FF80000000000A5 +:045FF90000000000A4 +:045FFA0000000000A3 +:045FFB0000000000A2 +:045FFC0000000000A1 +:045FFD0000000000A0 +:045FFE00000000009F +:045FFF00000000009E +:04600000000000009C +:04600100000000009B +:04600200000000009A +:046003000000000099 +:046004000000000098 +:046005000000000097 +:046006000000000096 +:046007000000000095 +:046008000000000094 +:046009000000000093 +:04600A000000000092 +:04600B000000000091 +:04600C000000000090 +:04600D00000000008F +:04600E00000000008E +:04600F00000000008D +:04601000000000008C +:04601100000000008B +:04601200000000008A +:046013000000000089 +:046014000000000088 +:046015000000000087 +:046016000000000086 +:046017000000000085 +:046018000000000084 +:046019000000000083 +:04601A000000000082 +:04601B000000000081 +:04601C000000000080 +:04601D00000000007F +:04601E00000000007E +:04601F00000000007D +:04602000000000007C +:04602100000000007B +:04602200000000007A +:046023000000000079 +:046024000000000078 +:046025000000000077 +:046026000000000076 +:046027000000000075 +:046028000000000074 +:046029000000000073 +:04602A000000000072 +:04602B000000000071 +:04602C000000000070 +:04602D00000000006F +:04602E00000000006E +:04602F00000000006D +:04603000000000006C +:04603100000000006B +:04603200000000006A +:046033000000000069 +:046034000000000068 +:046035000000000067 +:046036000000000066 +:046037000000000065 +:046038000000000064 +:046039000000000063 +:04603A000000000062 +:04603B000000000061 +:04603C000000000060 +:04603D00000000005F +:04603E00000000005E +:04603F00000000005D +:04604000000000005C +:04604100000000005B +:04604200000000005A +:046043000000000059 +:046044000000000058 +:046045000000000057 +:046046000000000056 +:046047000000000055 +:046048000000000054 +:046049000000000053 +:04604A000000000052 +:04604B000000000051 +:04604C000000000050 +:04604D00000000004F +:04604E00000000004E +:04604F00000000004D +:04605000000000004C +:04605100000000004B +:04605200000000004A +:046053000000000049 +:046054000000000048 +:046055000000000047 +:046056000000000046 +:046057000000000045 +:046058000000000044 +:046059000000000043 +:04605A000000000042 +:04605B000000000041 +:04605C000000000040 +:04605D00000000003F +:04605E00000000003E +:04605F00000000003D +:04606000000000003C +:04606100000000003B +:04606200000000003A +:046063000000000039 +:046064000000000038 +:046065000000000037 +:046066000000000036 +:046067000000000035 +:046068000000000034 +:046069000000000033 +:04606A000000000032 +:04606B000000000031 +:04606C000000000030 +:04606D00000000002F +:04606E00000000002E +:04606F00000000002D +:04607000000000002C +:04607100000000002B +:04607200000000002A +:046073000000000029 +:046074000000000028 +:046075000000000027 +:046076000000000026 +:046077000000000025 +:046078000000000024 +:046079000000000023 +:04607A000000000022 +:04607B000000000021 +:04607C000000000020 +:04607D00000000001F +:04607E00000000001E +:04607F00000000001D +:04608000000000001C +:04608100000000001B +:04608200000000001A +:046083000000000019 +:046084000000000018 +:046085000000000017 +:046086000000000016 +:046087000000000015 +:046088000000000014 +:046089000000000013 +:04608A000000000012 +:04608B000000000011 +:04608C000000000010 +:04608D00000000000F +:04608E00000000000E +:04608F00000000000D +:04609000000000000C +:04609100000000000B +:04609200000000000A +:046093000000000009 +:046094000000000008 +:046095000000000007 +:046096000000000006 +:046097000000000005 +:046098000000000004 +:046099000000000003 +:04609A000000000002 +:04609B000000000001 +:04609C000000000000 +:04609D0000000000FF +:04609E0000000000FE +:04609F0000000000FD +:0460A00000000000FC +:0460A10000000000FB +:0460A20000000000FA +:0460A30000000000F9 +:0460A40000000000F8 +:0460A50000000000F7 +:0460A60000000000F6 +:0460A70000000000F5 +:0460A80000000000F4 +:0460A90000000000F3 +:0460AA0000000000F2 +:0460AB0000000000F1 +:0460AC0000000000F0 +:0460AD0000000000EF +:0460AE0000000000EE +:0460AF0000000000ED +:0460B00000000000EC +:0460B10000000000EB +:0460B20000000000EA +:0460B30000000000E9 +:0460B40000000000E8 +:0460B50000000000E7 +:0460B60000000000E6 +:0460B70000000000E5 +:0460B80000000000E4 +:0460B90000000000E3 +:0460BA0000000000E2 +:0460BB0000000000E1 +:0460BC0000000000E0 +:0460BD0000000000DF +:0460BE0000000000DE +:0460BF0000000000DD +:0460C00000000000DC +:0460C10000000000DB +:0460C20000000000DA +:0460C30000000000D9 +:0460C40000000000D8 +:0460C50000000000D7 +:0460C60000000000D6 +:0460C70000000000D5 +:0460C80000000000D4 +:0460C90000000000D3 +:0460CA0000000000D2 +:0460CB0000000000D1 +:0460CC0000000000D0 +:0460CD0000000000CF +:0460CE0000000000CE +:0460CF0000000000CD +:0460D00000000000CC +:0460D10000000000CB +:0460D20000000000CA +:0460D30000000000C9 +:0460D40000000000C8 +:0460D50000000000C7 +:0460D60000000000C6 +:0460D70000000000C5 +:0460D80000000000C4 +:0460D90000000000C3 +:0460DA0000000000C2 +:0460DB0000000000C1 +:0460DC0000000000C0 +:0460DD0000000000BF +:0460DE0000000000BE +:0460DF0000000000BD +:0460E00000000000BC +:0460E10000000000BB +:0460E20000000000BA +:0460E30000000000B9 +:0460E40000000000B8 +:0460E50000000000B7 +:0460E60000000000B6 +:0460E70000000000B5 +:0460E80000000000B4 +:0460E90000000000B3 +:0460EA0000000000B2 +:0460EB0000000000B1 +:0460EC0000000000B0 +:0460ED0000000000AF +:0460EE0000000000AE +:0460EF0000000000AD +:0460F00000000000AC +:0460F10000000000AB +:0460F20000000000AA +:0460F30000000000A9 +:0460F40000000000A8 +:0460F50000000000A7 +:0460F60000000000A6 +:0460F70000000000A5 +:0460F80000000000A4 +:0460F90000000000A3 +:0460FA0000000000A2 +:0460FB0000000000A1 +:0460FC0000000000A0 +:0460FD00000000009F +:0460FE00000000009E +:0460FF00000000009D +:04610000000000009B +:04610100000000009A +:046102000000000099 +:046103000000000098 +:046104000000000097 +:046105000000000096 +:046106000000000095 +:046107000000000094 +:046108000000000093 +:046109000000000092 +:04610A000000000091 +:04610B000000000090 +:04610C00000000008F +:04610D00000000008E +:04610E00000000008D +:04610F00000000008C +:04611000000000008B +:04611100000000008A +:046112000000000089 +:046113000000000088 +:046114000000000087 +:046115000000000086 +:046116000000000085 +:046117000000000084 +:046118000000000083 +:046119000000000082 +:04611A000000000081 +:04611B000000000080 +:04611C00000000007F +:04611D00000000007E +:04611E00000000007D +:04611F00000000007C +:04612000000000007B +:04612100000000007A +:046122000000000079 +:046123000000000078 +:046124000000000077 +:046125000000000076 +:046126000000000075 +:046127000000000074 +:046128000000000073 +:046129000000000072 +:04612A000000000071 +:04612B000000000070 +:04612C00000000006F +:04612D00000000006E +:04612E00000000006D +:04612F00000000006C +:04613000000000006B +:04613100000000006A +:046132000000000069 +:046133000000000068 +:046134000000000067 +:046135000000000066 +:046136000000000065 +:046137000000000064 +:046138000000000063 +:046139000000000062 +:04613A000000000061 +:04613B000000000060 +:04613C00000000005F +:04613D00000000005E +:04613E00000000005D +:04613F00000000005C +:04614000000000005B +:04614100000000005A +:046142000000000059 +:046143000000000058 +:046144000000000057 +:046145000000000056 +:046146000000000055 +:046147000000000054 +:046148000000000053 +:046149000000000052 +:04614A000000000051 +:04614B000000000050 +:04614C00000000004F +:04614D00000000004E +:04614E00000000004D +:04614F00000000004C +:04615000000000004B +:04615100000000004A +:046152000000000049 +:046153000000000048 +:046154000000000047 +:046155000000000046 +:046156000000000045 +:046157000000000044 +:046158000000000043 +:046159000000000042 +:04615A000000000041 +:04615B000000000040 +:04615C00000000003F +:04615D00000000003E +:04615E00000000003D +:04615F00000000003C +:04616000000000003B +:04616100000000003A +:046162000000000039 +:046163000000000038 +:046164000000000037 +:046165000000000036 +:046166000000000035 +:046167000000000034 +:046168000000000033 +:046169000000000032 +:04616A000000000031 +:04616B000000000030 +:04616C00000000002F +:04616D00000000002E +:04616E00000000002D +:04616F00000000002C +:04617000000000002B +:04617100000000002A +:046172000000000029 +:046173000000000028 +:046174000000000027 +:046175000000000026 +:046176000000000025 +:046177000000000024 +:046178000000000023 +:046179000000000022 +:04617A000000000021 +:04617B000000000020 +:04617C00000000001F +:04617D00000000001E +:04617E00000000001D +:04617F00000000001C +:04618000000000001B +:04618100000000001A +:046182000000000019 +:046183000000000018 +:046184000000000017 +:046185000000000016 +:046186000000000015 +:046187000000000014 +:046188000000000013 +:046189000000000012 +:04618A000000000011 +:04618B000000000010 +:04618C00000000000F +:04618D00000000000E +:04618E00000000000D +:04618F00000000000C +:04619000000000000B +:04619100000000000A +:046192000000000009 +:046193000000000008 +:046194000000000007 +:046195000000000006 +:046196000000000005 +:046197000000000004 +:046198000000000003 +:046199000000000002 +:04619A000000000001 +:04619B000000000000 +:04619C0000000000FF +:04619D0000000000FE +:04619E0000000000FD +:04619F0000000000FC +:0461A00000000000FB +:0461A10000000000FA +:0461A20000000000F9 +:0461A30000000000F8 +:0461A40000000000F7 +:0461A50000000000F6 +:0461A60000000000F5 +:0461A70000000000F4 +:0461A80000000000F3 +:0461A90000000000F2 +:0461AA0000000000F1 +:0461AB0000000000F0 +:0461AC0000000000EF +:0461AD0000000000EE +:0461AE0000000000ED +:0461AF0000000000EC +:0461B00000000000EB +:0461B10000000000EA +:0461B20000000000E9 +:0461B30000000000E8 +:0461B40000000000E7 +:0461B50000000000E6 +:0461B60000000000E5 +:0461B70000000000E4 +:0461B80000000000E3 +:0461B90000000000E2 +:0461BA0000000000E1 +:0461BB0000000000E0 +:0461BC0000000000DF +:0461BD0000000000DE +:0461BE0000000000DD +:0461BF0000000000DC +:0461C00000000000DB +:0461C10000000000DA +:0461C20000000000D9 +:0461C30000000000D8 +:0461C40000000000D7 +:0461C50000000000D6 +:0461C60000000000D5 +:0461C70000000000D4 +:0461C80000000000D3 +:0461C90000000000D2 +:0461CA0000000000D1 +:0461CB0000000000D0 +:0461CC0000000000CF +:0461CD0000000000CE +:0461CE0000000000CD +:0461CF0000000000CC +:0461D00000000000CB +:0461D10000000000CA +:0461D20000000000C9 +:0461D30000000000C8 +:0461D40000000000C7 +:0461D50000000000C6 +:0461D60000000000C5 +:0461D70000000000C4 +:0461D80000000000C3 +:0461D90000000000C2 +:0461DA0000000000C1 +:0461DB0000000000C0 +:0461DC0000000000BF +:0461DD0000000000BE +:0461DE0000000000BD +:0461DF0000000000BC +:0461E00000000000BB +:0461E10000000000BA +:0461E20000000000B9 +:0461E30000000000B8 +:0461E40000000000B7 +:0461E50000000000B6 +:0461E60000000000B5 +:0461E70000000000B4 +:0461E80000000000B3 +:0461E90000000000B2 +:0461EA0000000000B1 +:0461EB0000000000B0 +:0461EC0000000000AF +:0461ED0000000000AE +:0461EE0000000000AD +:0461EF0000000000AC +:0461F00000000000AB +:0461F10000000000AA +:0461F20000000000A9 +:0461F30000000000A8 +:0461F40000000000A7 +:0461F50000000000A6 +:0461F60000000000A5 +:0461F70000000000A4 +:0461F80000000000A3 +:0461F90000000000A2 +:0461FA0000000000A1 +:0461FB0000000000A0 +:0461FC00000000009F +:0461FD00000000009E +:0461FE00000000009D +:0461FF00000000009C +:04620000000000009A +:046201000000000099 +:046202000000000098 +:046203000000000097 +:046204000000000096 +:046205000000000095 +:046206000000000094 +:046207000000000093 +:046208000000000092 +:046209000000000091 +:04620A000000000090 +:04620B00000000008F +:04620C00000000008E +:04620D00000000008D +:04620E00000000008C +:04620F00000000008B +:04621000000000008A +:046211000000000089 +:046212000000000088 +:046213000000000087 +:046214000000000086 +:046215000000000085 +:046216000000000084 +:046217000000000083 +:046218000000000082 +:046219000000000081 +:04621A000000000080 +:04621B00000000007F +:04621C00000000007E +:04621D00000000007D +:04621E00000000007C +:04621F00000000007B +:04622000000000007A +:046221000000000079 +:046222000000000078 +:046223000000000077 +:046224000000000076 +:046225000000000075 +:046226000000000074 +:046227000000000073 +:046228000000000072 +:046229000000000071 +:04622A000000000070 +:04622B00000000006F +:04622C00000000006E +:04622D00000000006D +:04622E00000000006C +:04622F00000000006B +:04623000000000006A +:046231000000000069 +:046232000000000068 +:046233000000000067 +:046234000000000066 +:046235000000000065 +:046236000000000064 +:046237000000000063 +:046238000000000062 +:046239000000000061 +:04623A000000000060 +:04623B00000000005F +:04623C00000000005E +:04623D00000000005D +:04623E00000000005C +:04623F00000000005B +:04624000000000005A +:046241000000000059 +:046242000000000058 +:046243000000000057 +:046244000000000056 +:046245000000000055 +:046246000000000054 +:046247000000000053 +:046248000000000052 +:046249000000000051 +:04624A000000000050 +:04624B00000000004F +:04624C00000000004E +:04624D00000000004D +:04624E00000000004C +:04624F00000000004B +:04625000000000004A +:046251000000000049 +:046252000000000048 +:046253000000000047 +:046254000000000046 +:046255000000000045 +:046256000000000044 +:046257000000000043 +:046258000000000042 +:046259000000000041 +:04625A000000000040 +:04625B00000000003F +:04625C00000000003E +:04625D00000000003D +:04625E00000000003C +:04625F00000000003B +:04626000000000003A +:046261000000000039 +:046262000000000038 +:046263000000000037 +:046264000000000036 +:046265000000000035 +:046266000000000034 +:046267000000000033 +:046268000000000032 +:046269000000000031 +:04626A000000000030 +:04626B00000000002F +:04626C00000000002E +:04626D00000000002D +:04626E00000000002C +:04626F00000000002B +:04627000000000002A +:046271000000000029 +:046272000000000028 +:046273000000000027 +:046274000000000026 +:046275000000000025 +:046276000000000024 +:046277000000000023 +:046278000000000022 +:046279000000000021 +:04627A000000000020 +:04627B00000000001F +:04627C00000000001E +:04627D00000000001D +:04627E00000000001C +:04627F00000000001B +:04628000000000001A +:046281000000000019 +:046282000000000018 +:046283000000000017 +:046284000000000016 +:046285000000000015 +:046286000000000014 +:046287000000000013 +:046288000000000012 +:046289000000000011 +:04628A000000000010 +:04628B00000000000F +:04628C00000000000E +:04628D00000000000D +:04628E00000000000C +:04628F00000000000B +:04629000000000000A +:046291000000000009 +:046292000000000008 +:046293000000000007 +:046294000000000006 +:046295000000000005 +:046296000000000004 +:046297000000000003 +:046298000000000002 +:046299000000000001 +:04629A000000000000 +:04629B0000000000FF +:04629C0000000000FE +:04629D0000000000FD +:04629E0000000000FC +:04629F0000000000FB +:0462A00000000000FA +:0462A10000000000F9 +:0462A20000000000F8 +:0462A30000000000F7 +:0462A40000000000F6 +:0462A50000000000F5 +:0462A60000000000F4 +:0462A70000000000F3 +:0462A80000000000F2 +:0462A90000000000F1 +:0462AA0000000000F0 +:0462AB0000000000EF +:0462AC0000000000EE +:0462AD0000000000ED +:0462AE0000000000EC +:0462AF0000000000EB +:0462B00000000000EA +:0462B10000000000E9 +:0462B20000000000E8 +:0462B30000000000E7 +:0462B40000000000E6 +:0462B50000000000E5 +:0462B60000000000E4 +:0462B70000000000E3 +:0462B80000000000E2 +:0462B90000000000E1 +:0462BA0000000000E0 +:0462BB0000000000DF +:0462BC0000000000DE +:0462BD0000000000DD +:0462BE0000000000DC +:0462BF0000000000DB +:0462C00000000000DA +:0462C10000000000D9 +:0462C20000000000D8 +:0462C30000000000D7 +:0462C40000000000D6 +:0462C50000000000D5 +:0462C60000000000D4 +:0462C70000000000D3 +:0462C80000000000D2 +:0462C90000000000D1 +:0462CA0000000000D0 +:0462CB0000000000CF +:0462CC0000000000CE +:0462CD0000000000CD +:0462CE0000000000CC +:0462CF0000000000CB +:0462D00000000000CA +:0462D10000000000C9 +:0462D20000000000C8 +:0462D30000000000C7 +:0462D40000000000C6 +:0462D50000000000C5 +:0462D60000000000C4 +:0462D70000000000C3 +:0462D80000000000C2 +:0462D90000000000C1 +:0462DA0000000000C0 +:0462DB0000000000BF +:0462DC0000000000BE +:0462DD0000000000BD +:0462DE0000000000BC +:0462DF0000000000BB +:0462E00000000000BA +:0462E10000000000B9 +:0462E20000000000B8 +:0462E30000000000B7 +:0462E40000000000B6 +:0462E50000000000B5 +:0462E60000000000B4 +:0462E70000000000B3 +:0462E80000000000B2 +:0462E90000000000B1 +:0462EA0000000000B0 +:0462EB0000000000AF +:0462EC0000000000AE +:0462ED0000000000AD +:0462EE0000000000AC +:0462EF0000000000AB +:0462F00000000000AA +:0462F10000000000A9 +:0462F20000000000A8 +:0462F30000000000A7 +:0462F40000000000A6 +:0462F50000000000A5 +:0462F60000000000A4 +:0462F70000000000A3 +:0462F80000000000A2 +:0462F90000000000A1 +:0462FA0000000000A0 +:0462FB00000000009F +:0462FC00000000009E +:0462FD00000000009D +:0462FE00000000009C +:0462FF00000000009B +:046300000000000099 +:046301000000000098 +:046302000000000097 +:046303000000000096 +:046304000000000095 +:046305000000000094 +:046306000000000093 +:046307000000000092 +:046308000000000091 +:046309000000000090 +:04630A00000000008F +:04630B00000000008E +:04630C00000000008D +:04630D00000000008C +:04630E00000000008B +:04630F00000000008A +:046310000000000089 +:046311000000000088 +:046312000000000087 +:046313000000000086 +:046314000000000085 +:046315000000000084 +:046316000000000083 +:046317000000000082 +:046318000000000081 +:046319000000000080 +:04631A00000000007F +:04631B00000000007E +:04631C00000000007D +:04631D00000000007C +:04631E00000000007B +:04631F00000000007A +:046320000000000079 +:046321000000000078 +:046322000000000077 +:046323000000000076 +:046324000000000075 +:046325000000000074 +:046326000000000073 +:046327000000000072 +:046328000000000071 +:046329000000000070 +:04632A00000000006F +:04632B00000000006E +:04632C00000000006D +:04632D00000000006C +:04632E00000000006B +:04632F00000000006A +:046330000000000069 +:046331000000000068 +:046332000000000067 +:046333000000000066 +:046334000000000065 +:046335000000000064 +:046336000000000063 +:046337000000000062 +:046338000000000061 +:046339000000000060 +:04633A00000000005F +:04633B00000000005E +:04633C00000000005D +:04633D00000000005C +:04633E00000000005B +:04633F00000000005A +:046340000000000059 +:046341000000000058 +:046342000000000057 +:046343000000000056 +:046344000000000055 +:046345000000000054 +:046346000000000053 +:046347000000000052 +:046348000000000051 +:046349000000000050 +:04634A00000000004F +:04634B00000000004E +:04634C00000000004D +:04634D00000000004C +:04634E00000000004B +:04634F00000000004A +:046350000000000049 +:046351000000000048 +:046352000000000047 +:046353000000000046 +:046354000000000045 +:046355000000000044 +:046356000000000043 +:046357000000000042 +:046358000000000041 +:046359000000000040 +:04635A00000000003F +:04635B00000000003E +:04635C00000000003D +:04635D00000000003C +:04635E00000000003B +:04635F00000000003A +:046360000000000039 +:046361000000000038 +:046362000000000037 +:046363000000000036 +:046364000000000035 +:046365000000000034 +:046366000000000033 +:046367000000000032 +:046368000000000031 +:046369000000000030 +:04636A00000000002F +:04636B00000000002E +:04636C00000000002D +:04636D00000000002C +:04636E00000000002B +:04636F00000000002A +:046370000000000029 +:046371000000000028 +:046372000000000027 +:046373000000000026 +:046374000000000025 +:046375000000000024 +:046376000000000023 +:046377000000000022 +:046378000000000021 +:046379000000000020 +:04637A00000000001F +:04637B00000000001E +:04637C00000000001D +:04637D00000000001C +:04637E00000000001B +:04637F00000000001A +:046380000000000019 +:046381000000000018 +:046382000000000017 +:046383000000000016 +:046384000000000015 +:046385000000000014 +:046386000000000013 +:046387000000000012 +:046388000000000011 +:046389000000000010 +:04638A00000000000F +:04638B00000000000E +:04638C00000000000D +:04638D00000000000C +:04638E00000000000B +:04638F00000000000A +:046390000000000009 +:046391000000000008 +:046392000000000007 +:046393000000000006 +:046394000000000005 +:046395000000000004 +:046396000000000003 +:046397000000000002 +:046398000000000001 +:046399000000000000 +:04639A0000000000FF +:04639B0000000000FE +:04639C0000000000FD +:04639D0000000000FC +:04639E0000000000FB +:04639F0000000000FA +:0463A00000000000F9 +:0463A10000000000F8 +:0463A20000000000F7 +:0463A30000000000F6 +:0463A40000000000F5 +:0463A50000000000F4 +:0463A60000000000F3 +:0463A70000000000F2 +:0463A80000000000F1 +:0463A90000000000F0 +:0463AA0000000000EF +:0463AB0000000000EE +:0463AC0000000000ED +:0463AD0000000000EC +:0463AE0000000000EB +:0463AF0000000000EA +:0463B00000000000E9 +:0463B10000000000E8 +:0463B20000000000E7 +:0463B30000000000E6 +:0463B40000000000E5 +:0463B50000000000E4 +:0463B60000000000E3 +:0463B70000000000E2 +:0463B80000000000E1 +:0463B90000000000E0 +:0463BA0000000000DF +:0463BB0000000000DE +:0463BC0000000000DD +:0463BD0000000000DC +:0463BE0000000000DB +:0463BF0000000000DA +:0463C00000000000D9 +:0463C10000000000D8 +:0463C20000000000D7 +:0463C30000000000D6 +:0463C40000000000D5 +:0463C50000000000D4 +:0463C60000000000D3 +:0463C70000000000D2 +:0463C80000000000D1 +:0463C90000000000D0 +:0463CA0000000000CF +:0463CB0000000000CE +:0463CC0000000000CD +:0463CD0000000000CC +:0463CE0000000000CB +:0463CF0000000000CA +:0463D00000000000C9 +:0463D10000000000C8 +:0463D20000000000C7 +:0463D30000000000C6 +:0463D40000000000C5 +:0463D50000000000C4 +:0463D60000000000C3 +:0463D70000000000C2 +:0463D80000000000C1 +:0463D90000000000C0 +:0463DA0000000000BF +:0463DB0000000000BE +:0463DC0000000000BD +:0463DD0000000000BC +:0463DE0000000000BB +:0463DF0000000000BA +:0463E00000000000B9 +:0463E10000000000B8 +:0463E20000000000B7 +:0463E30000000000B6 +:0463E40000000000B5 +:0463E50000000000B4 +:0463E60000000000B3 +:0463E70000000000B2 +:0463E80000000000B1 +:0463E90000000000B0 +:0463EA0000000000AF +:0463EB0000000000AE +:0463EC0000000000AD +:0463ED0000000000AC +:0463EE0000000000AB +:0463EF0000000000AA +:0463F00000000000A9 +:0463F10000000000A8 +:0463F20000000000A7 +:0463F30000000000A6 +:0463F40000000000A5 +:0463F50000000000A4 +:0463F60000000000A3 +:0463F70000000000A2 +:0463F80000000000A1 +:0463F90000000000A0 +:0463FA00000000009F +:0463FB00000000009E +:0463FC00000000009D +:0463FD00000000009C +:0463FE00000000009B +:0463FF00000000009A +:046400000000000098 +:046401000000000097 +:046402000000000096 +:046403000000000095 +:046404000000000094 +:046405000000000093 +:046406000000000092 +:046407000000000091 +:046408000000000090 +:04640900000000008F +:04640A00000000008E +:04640B00000000008D +:04640C00000000008C +:04640D00000000008B +:04640E00000000008A +:04640F000000000089 +:046410000000000088 +:046411000000000087 +:046412000000000086 +:046413000000000085 +:046414000000000084 +:046415000000000083 +:046416000000000082 +:046417000000000081 +:046418000000000080 +:04641900000000007F +:04641A00000000007E +:04641B00000000007D +:04641C00000000007C +:04641D00000000007B +:04641E00000000007A +:04641F000000000079 +:046420000000000078 +:046421000000000077 +:046422000000000076 +:046423000000000075 +:046424000000000074 +:046425000000000073 +:046426000000000072 +:046427000000000071 +:046428000000000070 +:04642900000000006F +:04642A00000000006E +:04642B00000000006D +:04642C00000000006C +:04642D00000000006B +:04642E00000000006A +:04642F000000000069 +:046430000000000068 +:046431000000000067 +:046432000000000066 +:046433000000000065 +:046434000000000064 +:046435000000000063 +:046436000000000062 +:046437000000000061 +:046438000000000060 +:04643900000000005F +:04643A00000000005E +:04643B00000000005D +:04643C00000000005C +:04643D00000000005B +:04643E00000000005A +:04643F000000000059 +:046440000000000058 +:046441000000000057 +:046442000000000056 +:046443000000000055 +:046444000000000054 +:046445000000000053 +:046446000000000052 +:046447000000000051 +:046448000000000050 +:04644900000000004F +:04644A00000000004E +:04644B00000000004D +:04644C00000000004C +:04644D00000000004B +:04644E00000000004A +:04644F000000000049 +:046450000000000048 +:046451000000000047 +:046452000000000046 +:046453000000000045 +:046454000000000044 +:046455000000000043 +:046456000000000042 +:046457000000000041 +:046458000000000040 +:04645900000000003F +:04645A00000000003E +:04645B00000000003D +:04645C00000000003C +:04645D00000000003B +:04645E00000000003A +:04645F000000000039 +:046460000000000038 +:046461000000000037 +:046462000000000036 +:046463000000000035 +:046464000000000034 +:046465000000000033 +:046466000000000032 +:046467000000000031 +:046468000000000030 +:04646900000000002F +:04646A00000000002E +:04646B00000000002D +:04646C00000000002C +:04646D00000000002B +:04646E00000000002A +:04646F000000000029 +:046470000000000028 +:046471000000000027 +:046472000000000026 +:046473000000000025 +:046474000000000024 +:046475000000000023 +:046476000000000022 +:046477000000000021 +:046478000000000020 +:04647900000000001F +:04647A00000000001E +:04647B00000000001D +:04647C00000000001C +:04647D00000000001B +:04647E00000000001A +:04647F000000000019 +:046480000000000018 +:046481000000000017 +:046482000000000016 +:046483000000000015 +:046484000000000014 +:046485000000000013 +:046486000000000012 +:046487000000000011 +:046488000000000010 +:04648900000000000F +:04648A00000000000E +:04648B00000000000D +:04648C00000000000C +:04648D00000000000B +:04648E00000000000A +:04648F000000000009 +:046490000000000008 +:046491000000000007 +:046492000000000006 +:046493000000000005 +:046494000000000004 +:046495000000000003 +:046496000000000002 +:046497000000000001 +:046498000000000000 +:0464990000000000FF +:04649A0000000000FE +:04649B0000000000FD +:04649C0000000000FC +:04649D0000000000FB +:04649E0000000000FA +:04649F0000000000F9 +:0464A00000000000F8 +:0464A10000000000F7 +:0464A20000000000F6 +:0464A30000000000F5 +:0464A40000000000F4 +:0464A50000000000F3 +:0464A60000000000F2 +:0464A70000000000F1 +:0464A80000000000F0 +:0464A90000000000EF +:0464AA0000000000EE +:0464AB0000000000ED +:0464AC0000000000EC +:0464AD0000000000EB +:0464AE0000000000EA +:0464AF0000000000E9 +:0464B00000000000E8 +:0464B10000000000E7 +:0464B20000000000E6 +:0464B30000000000E5 +:0464B40000000000E4 +:0464B50000000000E3 +:0464B60000000000E2 +:0464B70000000000E1 +:0464B80000000000E0 +:0464B90000000000DF +:0464BA0000000000DE +:0464BB0000000000DD +:0464BC0000000000DC +:0464BD0000000000DB +:0464BE0000000000DA +:0464BF0000000000D9 +:0464C00000000000D8 +:0464C10000000000D7 +:0464C20000000000D6 +:0464C30000000000D5 +:0464C40000000000D4 +:0464C50000000000D3 +:0464C60000000000D2 +:0464C70000000000D1 +:0464C80000000000D0 +:0464C90000000000CF +:0464CA0000000000CE +:0464CB0000000000CD +:0464CC0000000000CC +:0464CD0000000000CB +:0464CE0000000000CA +:0464CF0000000000C9 +:0464D00000000000C8 +:0464D10000000000C7 +:0464D20000000000C6 +:0464D30000000000C5 +:0464D40000000000C4 +:0464D50000000000C3 +:0464D60000000000C2 +:0464D70000000000C1 +:0464D80000000000C0 +:0464D90000000000BF +:0464DA0000000000BE +:0464DB0000000000BD +:0464DC0000000000BC +:0464DD0000000000BB +:0464DE0000000000BA +:0464DF0000000000B9 +:0464E00000000000B8 +:0464E10000000000B7 +:0464E20000000000B6 +:0464E30000000000B5 +:0464E40000000000B4 +:0464E50000000000B3 +:0464E60000000000B2 +:0464E70000000000B1 +:0464E80000000000B0 +:0464E90000000000AF +:0464EA0000000000AE +:0464EB0000000000AD +:0464EC0000000000AC +:0464ED0000000000AB +:0464EE0000000000AA +:0464EF0000000000A9 +:0464F00000000000A8 +:0464F10000000000A7 +:0464F20000000000A6 +:0464F30000000000A5 +:0464F40000000000A4 +:0464F50000000000A3 +:0464F60000000000A2 +:0464F70000000000A1 +:0464F80000000000A0 +:0464F900000000009F +:0464FA00000000009E +:0464FB00000000009D +:0464FC00000000009C +:0464FD00000000009B +:0464FE00000000009A +:0464FF000000000099 +:046500000000000097 +:046501000000000096 +:046502000000000095 +:046503000000000094 +:046504000000000093 +:046505000000000092 +:046506000000000091 +:046507000000000090 +:04650800000000008F +:04650900000000008E +:04650A00000000008D +:04650B00000000008C +:04650C00000000008B +:04650D00000000008A +:04650E000000000089 +:04650F000000000088 +:046510000000000087 +:046511000000000086 +:046512000000000085 +:046513000000000084 +:046514000000000083 +:046515000000000082 +:046516000000000081 +:046517000000000080 +:04651800000000007F +:04651900000000007E +:04651A00000000007D +:04651B00000000007C +:04651C00000000007B +:04651D00000000007A +:04651E000000000079 +:04651F000000000078 +:046520000000000077 +:046521000000000076 +:046522000000000075 +:046523000000000074 +:046524000000000073 +:046525000000000072 +:046526000000000071 +:046527000000000070 +:04652800000000006F +:04652900000000006E +:04652A00000000006D +:04652B00000000006C +:04652C00000000006B +:04652D00000000006A +:04652E000000000069 +:04652F000000000068 +:046530000000000067 +:046531000000000066 +:046532000000000065 +:046533000000000064 +:046534000000000063 +:046535000000000062 +:046536000000000061 +:046537000000000060 +:04653800000000005F +:04653900000000005E +:04653A00000000005D +:04653B00000000005C +:04653C00000000005B +:04653D00000000005A +:04653E000000000059 +:04653F000000000058 +:046540000000000057 +:046541000000000056 +:046542000000000055 +:046543000000000054 +:046544000000000053 +:046545000000000052 +:046546000000000051 +:046547000000000050 +:04654800000000004F +:04654900000000004E +:04654A00000000004D +:04654B00000000004C +:04654C00000000004B +:04654D00000000004A +:04654E000000000049 +:04654F000000000048 +:046550000000000047 +:046551000000000046 +:046552000000000045 +:046553000000000044 +:046554000000000043 +:046555000000000042 +:046556000000000041 +:046557000000000040 +:04655800000000003F +:04655900000000003E +:04655A00000000003D +:04655B00000000003C +:04655C00000000003B +:04655D00000000003A +:04655E000000000039 +:04655F000000000038 +:046560000000000037 +:046561000000000036 +:046562000000000035 +:046563000000000034 +:046564000000000033 +:046565000000000032 +:046566000000000031 +:046567000000000030 +:04656800000000002F +:04656900000000002E +:04656A00000000002D +:04656B00000000002C +:04656C00000000002B +:04656D00000000002A +:04656E000000000029 +:04656F000000000028 +:046570000000000027 +:046571000000000026 +:046572000000000025 +:046573000000000024 +:046574000000000023 +:046575000000000022 +:046576000000000021 +:046577000000000020 +:04657800000000001F +:04657900000000001E +:04657A00000000001D +:04657B00000000001C +:04657C00000000001B +:04657D00000000001A +:04657E000000000019 +:04657F000000000018 +:046580000000000017 +:046581000000000016 +:046582000000000015 +:046583000000000014 +:046584000000000013 +:046585000000000012 +:046586000000000011 +:046587000000000010 +:04658800000000000F +:04658900000000000E +:04658A00000000000D +:04658B00000000000C +:04658C00000000000B +:04658D00000000000A +:04658E000000000009 +:04658F000000000008 +:046590000000000007 +:046591000000000006 +:046592000000000005 +:046593000000000004 +:046594000000000003 +:046595000000000002 +:046596000000000001 +:046597000000000000 +:0465980000000000FF +:0465990000000000FE +:04659A0000000000FD +:04659B0000000000FC +:04659C0000000000FB +:04659D0000000000FA +:04659E0000000000F9 +:04659F0000000000F8 +:0465A00000000000F7 +:0465A10000000000F6 +:0465A20000000000F5 +:0465A30000000000F4 +:0465A40000000000F3 +:0465A50000000000F2 +:0465A60000000000F1 +:0465A70000000000F0 +:0465A80000000000EF +:0465A90000000000EE +:0465AA0000000000ED +:0465AB0000000000EC +:0465AC0000000000EB +:0465AD0000000000EA +:0465AE0000000000E9 +:0465AF0000000000E8 +:0465B00000000000E7 +:0465B10000000000E6 +:0465B20000000000E5 +:0465B30000000000E4 +:0465B40000000000E3 +:0465B50000000000E2 +:0465B60000000000E1 +:0465B70000000000E0 +:0465B80000000000DF +:0465B90000000000DE +:0465BA0000000000DD +:0465BB0000000000DC +:0465BC0000000000DB +:0465BD0000000000DA +:0465BE0000000000D9 +:0465BF0000000000D8 +:0465C00000000000D7 +:0465C10000000000D6 +:0465C20000000000D5 +:0465C30000000000D4 +:0465C40000000000D3 +:0465C50000000000D2 +:0465C60000000000D1 +:0465C70000000000D0 +:0465C80000000000CF +:0465C90000000000CE +:0465CA0000000000CD +:0465CB0000000000CC +:0465CC0000000000CB +:0465CD0000000000CA +:0465CE0000000000C9 +:0465CF0000000000C8 +:0465D00000000000C7 +:0465D10000000000C6 +:0465D20000000000C5 +:0465D30000000000C4 +:0465D40000000000C3 +:0465D50000000000C2 +:0465D60000000000C1 +:0465D70000000000C0 +:0465D80000000000BF +:0465D90000000000BE +:0465DA0000000000BD +:0465DB0000000000BC +:0465DC0000000000BB +:0465DD0000000000BA +:0465DE0000000000B9 +:0465DF0000000000B8 +:0465E00000000000B7 +:0465E10000000000B6 +:0465E20000000000B5 +:0465E30000000000B4 +:0465E40000000000B3 +:0465E50000000000B2 +:0465E60000000000B1 +:0465E70000000000B0 +:0465E80000000000AF +:0465E90000000000AE +:0465EA0000000000AD +:0465EB0000000000AC +:0465EC0000000000AB +:0465ED0000000000AA +:0465EE0000000000A9 +:0465EF0000000000A8 +:0465F00000000000A7 +:0465F10000000000A6 +:0465F20000000000A5 +:0465F30000000000A4 +:0465F40000000000A3 +:0465F50000000000A2 +:0465F60000000000A1 +:0465F70000000000A0 +:0465F800000000009F +:0465F900000000009E +:0465FA00000000009D +:0465FB00000000009C +:0465FC00000000009B +:0465FD00000000009A +:0465FE000000000099 +:0465FF000000000098 +:046600000000000096 +:046601000000000095 +:046602000000000094 +:046603000000000093 +:046604000000000092 +:046605000000000091 +:046606000000000090 +:04660700000000008F +:04660800000000008E +:04660900000000008D +:04660A00000000008C +:04660B00000000008B +:04660C00000000008A +:04660D000000000089 +:04660E000000000088 +:04660F000000000087 +:046610000000000086 +:046611000000000085 +:046612000000000084 +:046613000000000083 +:046614000000000082 +:046615000000000081 +:046616000000000080 +:04661700000000007F +:04661800000000007E +:04661900000000007D +:04661A00000000007C +:04661B00000000007B +:04661C00000000007A +:04661D000000000079 +:04661E000000000078 +:04661F000000000077 +:046620000000000076 +:046621000000000075 +:046622000000000074 +:046623000000000073 +:046624000000000072 +:046625000000000071 +:046626000000000070 +:04662700000000006F +:04662800000000006E +:04662900000000006D +:04662A00000000006C +:04662B00000000006B +:04662C00000000006A +:04662D000000000069 +:04662E000000000068 +:04662F000000000067 +:046630000000000066 +:046631000000000065 +:046632000000000064 +:046633000000000063 +:046634000000000062 +:046635000000000061 +:046636000000000060 +:04663700000000005F +:04663800000000005E +:04663900000000005D +:04663A00000000005C +:04663B00000000005B +:04663C00000000005A +:04663D000000000059 +:04663E000000000058 +:04663F000000000057 +:046640000000000056 +:046641000000000055 +:046642000000000054 +:046643000000000053 +:046644000000000052 +:046645000000000051 +:046646000000000050 +:04664700000000004F +:04664800000000004E +:04664900000000004D +:04664A00000000004C +:04664B00000000004B +:04664C00000000004A +:04664D000000000049 +:04664E000000000048 +:04664F000000000047 +:046650000000000046 +:046651000000000045 +:046652000000000044 +:046653000000000043 +:046654000000000042 +:046655000000000041 +:046656000000000040 +:04665700000000003F +:04665800000000003E +:04665900000000003D +:04665A00000000003C +:04665B00000000003B +:04665C00000000003A +:04665D000000000039 +:04665E000000000038 +:04665F000000000037 +:046660000000000036 +:046661000000000035 +:046662000000000034 +:046663000000000033 +:046664000000000032 +:046665000000000031 +:046666000000000030 +:04666700000000002F +:04666800000000002E +:04666900000000002D +:04666A00000000002C +:04666B00000000002B +:04666C00000000002A +:04666D000000000029 +:04666E000000000028 +:04666F000000000027 +:046670000000000026 +:046671000000000025 +:046672000000000024 +:046673000000000023 +:046674000000000022 +:046675000000000021 +:046676000000000020 +:04667700000000001F +:04667800000000001E +:04667900000000001D +:04667A00000000001C +:04667B00000000001B +:04667C00000000001A +:04667D000000000019 +:04667E000000000018 +:04667F000000000017 +:046680000000000016 +:046681000000000015 +:046682000000000014 +:046683000000000013 +:046684000000000012 +:046685000000000011 +:046686000000000010 +:04668700000000000F +:04668800000000000E +:04668900000000000D +:04668A00000000000C +:04668B00000000000B +:04668C00000000000A +:04668D000000000009 +:04668E000000000008 +:04668F000000000007 +:046690000000000006 +:046691000000000005 +:046692000000000004 +:046693000000000003 +:046694000000000002 +:046695000000000001 +:046696000000000000 +:0466970000000000FF +:0466980000000000FE +:0466990000000000FD +:04669A0000000000FC +:04669B0000000000FB +:04669C0000000000FA +:04669D0000000000F9 +:04669E0000000000F8 +:04669F0000000000F7 +:0466A00000000000F6 +:0466A10000000000F5 +:0466A20000000000F4 +:0466A30000000000F3 +:0466A40000000000F2 +:0466A50000000000F1 +:0466A60000000000F0 +:0466A70000000000EF +:0466A80000000000EE +:0466A90000000000ED +:0466AA0000000000EC +:0466AB0000000000EB +:0466AC0000000000EA +:0466AD0000000000E9 +:0466AE0000000000E8 +:0466AF0000000000E7 +:0466B00000000000E6 +:0466B10000000000E5 +:0466B20000000000E4 +:0466B30000000000E3 +:0466B40000000000E2 +:0466B50000000000E1 +:0466B60000000000E0 +:0466B70000000000DF +:0466B80000000000DE +:0466B90000000000DD +:0466BA0000000000DC +:0466BB0000000000DB +:0466BC0000000000DA +:0466BD0000000000D9 +:0466BE0000000000D8 +:0466BF0000000000D7 +:0466C00000000000D6 +:0466C10000000000D5 +:0466C20000000000D4 +:0466C30000000000D3 +:0466C40000000000D2 +:0466C50000000000D1 +:0466C60000000000D0 +:0466C70000000000CF +:0466C80000000000CE +:0466C90000000000CD +:0466CA0000000000CC +:0466CB0000000000CB +:0466CC0000000000CA +:0466CD0000000000C9 +:0466CE0000000000C8 +:0466CF0000000000C7 +:0466D00000000000C6 +:0466D10000000000C5 +:0466D20000000000C4 +:0466D30000000000C3 +:0466D40000000000C2 +:0466D50000000000C1 +:0466D60000000000C0 +:0466D70000000000BF +:0466D80000000000BE +:0466D90000000000BD +:0466DA0000000000BC +:0466DB0000000000BB +:0466DC0000000000BA +:0466DD0000000000B9 +:0466DE0000000000B8 +:0466DF0000000000B7 +:0466E00000000000B6 +:0466E10000000000B5 +:0466E20000000000B4 +:0466E30000000000B3 +:0466E40000000000B2 +:0466E50000000000B1 +:0466E60000000000B0 +:0466E70000000000AF +:0466E80000000000AE +:0466E90000000000AD +:0466EA0000000000AC +:0466EB0000000000AB +:0466EC0000000000AA +:0466ED0000000000A9 +:0466EE0000000000A8 +:0466EF0000000000A7 +:0466F00000000000A6 +:0466F10000000000A5 +:0466F20000000000A4 +:0466F30000000000A3 +:0466F40000000000A2 +:0466F50000000000A1 +:0466F60000000000A0 +:0466F700000000009F +:0466F800000000009E +:0466F900000000009D +:0466FA00000000009C +:0466FB00000000009B +:0466FC00000000009A +:0466FD000000000099 +:0466FE000000000098 +:0466FF000000000097 +:046700000000000095 +:046701000000000094 +:046702000000000093 +:046703000000000092 +:046704000000000091 +:046705000000000090 +:04670600000000008F +:04670700000000008E +:04670800000000008D +:04670900000000008C +:04670A00000000008B +:04670B00000000008A +:04670C000000000089 +:04670D000000000088 +:04670E000000000087 +:04670F000000000086 +:046710000000000085 +:046711000000000084 +:046712000000000083 +:046713000000000082 +:046714000000000081 +:046715000000000080 +:04671600000000007F +:04671700000000007E +:04671800000000007D +:04671900000000007C +:04671A00000000007B +:04671B00000000007A +:04671C000000000079 +:04671D000000000078 +:04671E000000000077 +:04671F000000000076 +:046720000000000075 +:046721000000000074 +:046722000000000073 +:046723000000000072 +:046724000000000071 +:046725000000000070 +:04672600000000006F +:04672700000000006E +:04672800000000006D +:04672900000000006C +:04672A00000000006B +:04672B00000000006A +:04672C000000000069 +:04672D000000000068 +:04672E000000000067 +:04672F000000000066 +:046730000000000065 +:046731000000000064 +:046732000000000063 +:046733000000000062 +:046734000000000061 +:046735000000000060 +:04673600000000005F +:04673700000000005E +:04673800000000005D +:04673900000000005C +:04673A00000000005B +:04673B00000000005A +:04673C000000000059 +:04673D000000000058 +:04673E000000000057 +:04673F000000000056 +:046740000000000055 +:046741000000000054 +:046742000000000053 +:046743000000000052 +:046744000000000051 +:046745000000000050 +:04674600000000004F +:04674700000000004E +:04674800000000004D +:04674900000000004C +:04674A00000000004B +:04674B00000000004A +:04674C000000000049 +:04674D000000000048 +:04674E000000000047 +:04674F000000000046 +:046750000000000045 +:046751000000000044 +:046752000000000043 +:046753000000000042 +:046754000000000041 +:046755000000000040 +:04675600000000003F +:04675700000000003E +:04675800000000003D +:04675900000000003C +:04675A00000000003B +:04675B00000000003A +:04675C000000000039 +:04675D000000000038 +:04675E000000000037 +:04675F000000000036 +:046760000000000035 +:046761000000000034 +:046762000000000033 +:046763000000000032 +:046764000000000031 +:046765000000000030 +:04676600000000002F +:04676700000000002E +:04676800000000002D +:04676900000000002C +:04676A00000000002B +:04676B00000000002A +:04676C000000000029 +:04676D000000000028 +:04676E000000000027 +:04676F000000000026 +:046770000000000025 +:046771000000000024 +:046772000000000023 +:046773000000000022 +:046774000000000021 +:046775000000000020 +:04677600000000001F +:04677700000000001E +:04677800000000001D +:04677900000000001C +:04677A00000000001B +:04677B00000000001A +:04677C000000000019 +:04677D000000000018 +:04677E000000000017 +:04677F000000000016 +:046780000000000015 +:046781000000000014 +:046782000000000013 +:046783000000000012 +:046784000000000011 +:046785000000000010 +:04678600000000000F +:04678700000000000E +:04678800000000000D +:04678900000000000C +:04678A00000000000B +:04678B00000000000A +:04678C000000000009 +:04678D000000000008 +:04678E000000000007 +:04678F000000000006 +:046790000000000005 +:046791000000000004 +:046792000000000003 +:046793000000000002 +:046794000000000001 +:046795000000000000 +:0467960000000000FF +:0467970000000000FE +:0467980000000000FD +:0467990000000000FC +:04679A0000000000FB +:04679B0000000000FA +:04679C0000000000F9 +:04679D0000000000F8 +:04679E0000000000F7 +:04679F0000000000F6 +:0467A00000000000F5 +:0467A10000000000F4 +:0467A20000000000F3 +:0467A30000000000F2 +:0467A40000000000F1 +:0467A50000000000F0 +:0467A60000000000EF +:0467A70000000000EE +:0467A80000000000ED +:0467A90000000000EC +:0467AA0000000000EB +:0467AB0000000000EA +:0467AC0000000000E9 +:0467AD0000000000E8 +:0467AE0000000000E7 +:0467AF0000000000E6 +:0467B00000000000E5 +:0467B10000000000E4 +:0467B20000000000E3 +:0467B30000000000E2 +:0467B40000000000E1 +:0467B50000000000E0 +:0467B60000000000DF +:0467B70000000000DE +:0467B80000000000DD +:0467B90000000000DC +:0467BA0000000000DB +:0467BB0000000000DA +:0467BC0000000000D9 +:0467BD0000000000D8 +:0467BE0000000000D7 +:0467BF0000000000D6 +:0467C00000000000D5 +:0467C10000000000D4 +:0467C20000000000D3 +:0467C30000000000D2 +:0467C40000000000D1 +:0467C50000000000D0 +:0467C60000000000CF +:0467C70000000000CE +:0467C80000000000CD +:0467C90000000000CC +:0467CA0000000000CB +:0467CB0000000000CA +:0467CC0000000000C9 +:0467CD0000000000C8 +:0467CE0000000000C7 +:0467CF0000000000C6 +:0467D00000000000C5 +:0467D10000000000C4 +:0467D20000000000C3 +:0467D30000000000C2 +:0467D40000000000C1 +:0467D50000000000C0 +:0467D60000000000BF +:0467D70000000000BE +:0467D80000000000BD +:0467D90000000000BC +:0467DA0000000000BB +:0467DB0000000000BA +:0467DC0000000000B9 +:0467DD0000000000B8 +:0467DE0000000000B7 +:0467DF0000000000B6 +:0467E00000000000B5 +:0467E10000000000B4 +:0467E20000000000B3 +:0467E30000000000B2 +:0467E40000000000B1 +:0467E50000000000B0 +:0467E60000000000AF +:0467E70000000000AE +:0467E80000000000AD +:0467E90000000000AC +:0467EA0000000000AB +:0467EB0000000000AA +:0467EC0000000000A9 +:0467ED0000000000A8 +:0467EE0000000000A7 +:0467EF0000000000A6 +:0467F00000000000A5 +:0467F10000000000A4 +:0467F20000000000A3 +:0467F30000000000A2 +:0467F40000000000A1 +:0467F50000000000A0 +:0467F600000000009F +:0467F700000000009E +:0467F800000000009D +:0467F900000000009C +:0467FA00000000009B +:0467FB00000000009A +:0467FC000000000099 +:0467FD000000000098 +:0467FE000000000097 +:0467FF000000000096 +:046800000000000094 +:046801000000000093 +:046802000000000092 +:046803000000000091 +:046804000000000090 +:04680500000000008F +:04680600000000008E +:04680700000000008D +:04680800000000008C +:04680900000000008B +:04680A00000000008A +:04680B000000000089 +:04680C000000000088 +:04680D000000000087 +:04680E000000000086 +:04680F000000000085 +:046810000000000084 +:046811000000000083 +:046812000000000082 +:046813000000000081 +:046814000000000080 +:04681500000000007F +:04681600000000007E +:04681700000000007D +:04681800000000007C +:04681900000000007B +:04681A00000000007A +:04681B000000000079 +:04681C000000000078 +:04681D000000000077 +:04681E000000000076 +:04681F000000000075 +:046820000000000074 +:046821000000000073 +:046822000000000072 +:046823000000000071 +:046824000000000070 +:04682500000000006F +:04682600000000006E +:04682700000000006D +:04682800000000006C +:04682900000000006B +:04682A00000000006A +:04682B000000000069 +:04682C000000000068 +:04682D000000000067 +:04682E000000000066 +:04682F000000000065 +:046830000000000064 +:046831000000000063 +:046832000000000062 +:046833000000000061 +:046834000000000060 +:04683500000000005F +:04683600000000005E +:04683700000000005D +:04683800000000005C +:04683900000000005B +:04683A00000000005A +:04683B000000000059 +:04683C000000000058 +:04683D000000000057 +:04683E000000000056 +:04683F000000000055 +:046840000000000054 +:046841000000000053 +:046842000000000052 +:046843000000000051 +:046844000000000050 +:04684500000000004F +:04684600000000004E +:04684700000000004D +:04684800000000004C +:04684900000000004B +:04684A00000000004A +:04684B000000000049 +:04684C000000000048 +:04684D000000000047 +:04684E000000000046 +:04684F000000000045 +:046850000000000044 +:046851000000000043 +:046852000000000042 +:046853000000000041 +:046854000000000040 +:04685500000000003F +:04685600000000003E +:04685700000000003D +:04685800000000003C +:04685900000000003B +:04685A00000000003A +:04685B000000000039 +:04685C000000000038 +:04685D000000000037 +:04685E000000000036 +:04685F000000000035 +:046860000000000034 +:046861000000000033 +:046862000000000032 +:046863000000000031 +:046864000000000030 +:04686500000000002F +:04686600000000002E +:04686700000000002D +:04686800000000002C +:04686900000000002B +:04686A00000000002A +:04686B000000000029 +:04686C000000000028 +:04686D000000000027 +:04686E000000000026 +:04686F000000000025 +:046870000000000024 +:046871000000000023 +:046872000000000022 +:046873000000000021 +:046874000000000020 +:04687500000000001F +:04687600000000001E +:04687700000000001D +:04687800000000001C +:04687900000000001B +:04687A00000000001A +:04687B000000000019 +:04687C000000000018 +:04687D000000000017 +:04687E000000000016 +:04687F000000000015 +:046880000000000014 +:046881000000000013 +:046882000000000012 +:046883000000000011 +:046884000000000010 +:04688500000000000F +:04688600000000000E +:04688700000000000D +:04688800000000000C +:04688900000000000B +:04688A00000000000A +:04688B000000000009 +:04688C000000000008 +:04688D000000000007 +:04688E000000000006 +:04688F000000000005 +:046890000000000004 +:046891000000000003 +:046892000000000002 +:046893000000000001 +:046894000000000000 +:0468950000000000FF +:0468960000000000FE +:0468970000000000FD +:0468980000000000FC +:0468990000000000FB +:04689A0000000000FA +:04689B0000000000F9 +:04689C0000000000F8 +:04689D0000000000F7 +:04689E0000000000F6 +:04689F0000000000F5 +:0468A00000000000F4 +:0468A10000000000F3 +:0468A20000000000F2 +:0468A30000000000F1 +:0468A40000000000F0 +:0468A50000000000EF +:0468A60000000000EE +:0468A70000000000ED +:0468A80000000000EC +:0468A90000000000EB +:0468AA0000000000EA +:0468AB0000000000E9 +:0468AC0000000000E8 +:0468AD0000000000E7 +:0468AE0000000000E6 +:0468AF0000000000E5 +:0468B00000000000E4 +:0468B10000000000E3 +:0468B20000000000E2 +:0468B30000000000E1 +:0468B40000000000E0 +:0468B50000000000DF +:0468B60000000000DE +:0468B70000000000DD +:0468B80000000000DC +:0468B90000000000DB +:0468BA0000000000DA +:0468BB0000000000D9 +:0468BC0000000000D8 +:0468BD0000000000D7 +:0468BE0000000000D6 +:0468BF0000000000D5 +:0468C00000000000D4 +:0468C10000000000D3 +:0468C20000000000D2 +:0468C30000000000D1 +:0468C40000000000D0 +:0468C50000000000CF +:0468C60000000000CE +:0468C70000000000CD +:0468C80000000000CC +:0468C90000000000CB +:0468CA0000000000CA +:0468CB0000000000C9 +:0468CC0000000000C8 +:0468CD0000000000C7 +:0468CE0000000000C6 +:0468CF0000000000C5 +:0468D00000000000C4 +:0468D10000000000C3 +:0468D20000000000C2 +:0468D30000000000C1 +:0468D40000000000C0 +:0468D50000000000BF +:0468D60000000000BE +:0468D70000000000BD +:0468D80000000000BC +:0468D90000000000BB +:0468DA0000000000BA +:0468DB0000000000B9 +:0468DC0000000000B8 +:0468DD0000000000B7 +:0468DE0000000000B6 +:0468DF0000000000B5 +:0468E00000000000B4 +:0468E10000000000B3 +:0468E20000000000B2 +:0468E30000000000B1 +:0468E40000000000B0 +:0468E50000000000AF +:0468E60000000000AE +:0468E70000000000AD +:0468E80000000000AC +:0468E90000000000AB +:0468EA0000000000AA +:0468EB0000000000A9 +:0468EC0000000000A8 +:0468ED0000000000A7 +:0468EE0000000000A6 +:0468EF0000000000A5 +:0468F00000000000A4 +:0468F10000000000A3 +:0468F20000000000A2 +:0468F30000000000A1 +:0468F40000000000A0 +:0468F500000000009F +:0468F600000000009E +:0468F700000000009D +:0468F800000000009C +:0468F900000000009B +:0468FA00000000009A +:0468FB000000000099 +:0468FC000000000098 +:0468FD000000000097 +:0468FE000000000096 +:0468FF000000000095 +:046900000000000093 +:046901000000000092 +:046902000000000091 +:046903000000000090 +:04690400000000008F +:04690500000000008E +:04690600000000008D +:04690700000000008C +:04690800000000008B +:04690900000000008A +:04690A000000000089 +:04690B000000000088 +:04690C000000000087 +:04690D000000000086 +:04690E000000000085 +:04690F000000000084 +:046910000000000083 +:046911000000000082 +:046912000000000081 +:046913000000000080 +:04691400000000007F +:04691500000000007E +:04691600000000007D +:04691700000000007C +:04691800000000007B +:04691900000000007A +:04691A000000000079 +:04691B000000000078 +:04691C000000000077 +:04691D000000000076 +:04691E000000000075 +:04691F000000000074 +:046920000000000073 +:046921000000000072 +:046922000000000071 +:046923000000000070 +:04692400000000006F +:04692500000000006E +:04692600000000006D +:04692700000000006C +:04692800000000006B +:04692900000000006A +:04692A000000000069 +:04692B000000000068 +:04692C000000000067 +:04692D000000000066 +:04692E000000000065 +:04692F000000000064 +:046930000000000063 +:046931000000000062 +:046932000000000061 +:046933000000000060 +:04693400000000005F +:04693500000000005E +:04693600000000005D +:04693700000000005C +:04693800000000005B +:04693900000000005A +:04693A000000000059 +:04693B000000000058 +:04693C000000000057 +:04693D000000000056 +:04693E000000000055 +:04693F000000000054 +:046940000000000053 +:046941000000000052 +:046942000000000051 +:046943000000000050 +:04694400000000004F +:04694500000000004E +:04694600000000004D +:04694700000000004C +:04694800000000004B +:04694900000000004A +:04694A000000000049 +:04694B000000000048 +:04694C000000000047 +:04694D000000000046 +:04694E000000000045 +:04694F000000000044 +:046950000000000043 +:046951000000000042 +:046952000000000041 +:046953000000000040 +:04695400000000003F +:04695500000000003E +:04695600000000003D +:04695700000000003C +:04695800000000003B +:04695900000000003A +:04695A000000000039 +:04695B000000000038 +:04695C000000000037 +:04695D000000000036 +:04695E000000000035 +:04695F000000000034 +:046960000000000033 +:046961000000000032 +:046962000000000031 +:046963000000000030 +:04696400000000002F +:04696500000000002E +:04696600000000002D +:04696700000000002C +:04696800000000002B +:04696900000000002A +:04696A000000000029 +:04696B000000000028 +:04696C000000000027 +:04696D000000000026 +:04696E000000000025 +:04696F000000000024 +:046970000000000023 +:046971000000000022 +:046972000000000021 +:046973000000000020 +:04697400000000001F +:04697500000000001E +:04697600000000001D +:04697700000000001C +:04697800000000001B +:04697900000000001A +:04697A000000000019 +:04697B000000000018 +:04697C000000000017 +:04697D000000000016 +:04697E000000000015 +:04697F000000000014 +:046980000000000013 +:046981000000000012 +:046982000000000011 +:046983000000000010 +:04698400000000000F +:04698500000000000E +:04698600000000000D +:04698700000000000C +:04698800000000000B +:04698900000000000A +:04698A000000000009 +:04698B000000000008 +:04698C000000000007 +:04698D000000000006 +:04698E000000000005 +:04698F000000000004 +:046990000000000003 +:046991000000000002 +:046992000000000001 +:046993000000000000 +:0469940000000000FF +:0469950000000000FE +:0469960000000000FD +:0469970000000000FC +:0469980000000000FB +:0469990000000000FA +:04699A0000000000F9 +:04699B0000000000F8 +:04699C0000000000F7 +:04699D0000000000F6 +:04699E0000000000F5 +:04699F0000000000F4 +:0469A00000000000F3 +:0469A10000000000F2 +:0469A20000000000F1 +:0469A30000000000F0 +:0469A40000000000EF +:0469A50000000000EE +:0469A60000000000ED +:0469A70000000000EC +:0469A80000000000EB +:0469A90000000000EA +:0469AA0000000000E9 +:0469AB0000000000E8 +:0469AC0000000000E7 +:0469AD0000000000E6 +:0469AE0000000000E5 +:0469AF0000000000E4 +:0469B00000000000E3 +:0469B10000000000E2 +:0469B20000000000E1 +:0469B30000000000E0 +:0469B40000000000DF +:0469B50000000000DE +:0469B60000000000DD +:0469B70000000000DC +:0469B80000000000DB +:0469B90000000000DA +:0469BA0000000000D9 +:0469BB0000000000D8 +:0469BC0000000000D7 +:0469BD0000000000D6 +:0469BE0000000000D5 +:0469BF0000000000D4 +:0469C00000000000D3 +:0469C10000000000D2 +:0469C20000000000D1 +:0469C30000000000D0 +:0469C40000000000CF +:0469C50000000000CE +:0469C60000000000CD +:0469C70000000000CC +:0469C80000000000CB +:0469C90000000000CA +:0469CA0000000000C9 +:0469CB0000000000C8 +:0469CC0000000000C7 +:0469CD0000000000C6 +:0469CE0000000000C5 +:0469CF0000000000C4 +:0469D00000000000C3 +:0469D10000000000C2 +:0469D20000000000C1 +:0469D30000000000C0 +:0469D40000000000BF +:0469D50000000000BE +:0469D60000000000BD +:0469D70000000000BC +:0469D80000000000BB +:0469D90000000000BA +:0469DA0000000000B9 +:0469DB0000000000B8 +:0469DC0000000000B7 +:0469DD0000000000B6 +:0469DE0000000000B5 +:0469DF0000000000B4 +:0469E00000000000B3 +:0469E10000000000B2 +:0469E20000000000B1 +:0469E30000000000B0 +:0469E40000000000AF +:0469E50000000000AE +:0469E60000000000AD +:0469E70000000000AC +:0469E80000000000AB +:0469E90000000000AA +:0469EA0000000000A9 +:0469EB0000000000A8 +:0469EC0000000000A7 +:0469ED0000000000A6 +:0469EE0000000000A5 +:0469EF0000000000A4 +:0469F00000000000A3 +:0469F10000000000A2 +:0469F20000000000A1 +:0469F30000000000A0 +:0469F400000000009F +:0469F500000000009E +:0469F600000000009D +:0469F700000000009C +:0469F800000000009B +:0469F900000000009A +:0469FA000000000099 +:0469FB000000000098 +:0469FC000000000097 +:0469FD000000000096 +:0469FE000000000095 +:0469FF000000000094 +:046A00000000000092 +:046A01000000000091 +:046A02000000000090 +:046A0300000000008F +:046A0400000000008E +:046A0500000000008D +:046A0600000000008C +:046A0700000000008B +:046A0800000000008A +:046A09000000000089 +:046A0A000000000088 +:046A0B000000000087 +:046A0C000000000086 +:046A0D000000000085 +:046A0E000000000084 +:046A0F000000000083 +:046A10000000000082 +:046A11000000000081 +:046A12000000000080 +:046A1300000000007F +:046A1400000000007E +:046A1500000000007D +:046A1600000000007C +:046A1700000000007B +:046A1800000000007A +:046A19000000000079 +:046A1A000000000078 +:046A1B000000000077 +:046A1C000000000076 +:046A1D000000000075 +:046A1E000000000074 +:046A1F000000000073 +:046A20000000000072 +:046A21000000000071 +:046A22000000000070 +:046A2300000000006F +:046A2400000000006E +:046A2500000000006D +:046A2600000000006C +:046A2700000000006B +:046A2800000000006A +:046A29000000000069 +:046A2A000000000068 +:046A2B000000000067 +:046A2C000000000066 +:046A2D000000000065 +:046A2E000000000064 +:046A2F000000000063 +:046A30000000000062 +:046A31000000000061 +:046A32000000000060 +:046A3300000000005F +:046A3400000000005E +:046A3500000000005D +:046A3600000000005C +:046A3700000000005B +:046A3800000000005A +:046A39000000000059 +:046A3A000000000058 +:046A3B000000000057 +:046A3C000000000056 +:046A3D000000000055 +:046A3E000000000054 +:046A3F000000000053 +:046A40000000000052 +:046A41000000000051 +:046A42000000000050 +:046A4300000000004F +:046A4400000000004E +:046A4500000000004D +:046A4600000000004C +:046A4700000000004B +:046A4800000000004A +:046A49000000000049 +:046A4A000000000048 +:046A4B000000000047 +:046A4C000000000046 +:046A4D000000000045 +:046A4E000000000044 +:046A4F000000000043 +:046A50000000000042 +:046A51000000000041 +:046A52000000000040 +:046A5300000000003F +:046A5400000000003E +:046A5500000000003D +:046A5600000000003C +:046A5700000000003B +:046A5800000000003A +:046A59000000000039 +:046A5A000000000038 +:046A5B000000000037 +:046A5C000000000036 +:046A5D000000000035 +:046A5E000000000034 +:046A5F000000000033 +:046A60000000000032 +:046A61000000000031 +:046A62000000000030 +:046A6300000000002F +:046A6400000000002E +:046A6500000000002D +:046A6600000000002C +:046A6700000000002B +:046A6800000000002A +:046A69000000000029 +:046A6A000000000028 +:046A6B000000000027 +:046A6C000000000026 +:046A6D000000000025 +:046A6E000000000024 +:046A6F000000000023 +:046A70000000000022 +:046A71000000000021 +:046A72000000000020 +:046A7300000000001F +:046A7400000000001E +:046A7500000000001D +:046A7600000000001C +:046A7700000000001B +:046A7800000000001A +:046A79000000000019 +:046A7A000000000018 +:046A7B000000000017 +:046A7C000000000016 +:046A7D000000000015 +:046A7E000000000014 +:046A7F000000000013 +:046A80000000000012 +:046A81000000000011 +:046A82000000000010 +:046A8300000000000F +:046A8400000000000E +:046A8500000000000D +:046A8600000000000C +:046A8700000000000B +:046A8800000000000A +:046A89000000000009 +:046A8A000000000008 +:046A8B000000000007 +:046A8C000000000006 +:046A8D000000000005 +:046A8E000000000004 +:046A8F000000000003 +:046A90000000000002 +:046A91000000000001 +:046A92000000000000 +:046A930000000000FF +:046A940000000000FE +:046A950000000000FD +:046A960000000000FC +:046A970000000000FB +:046A980000000000FA +:046A990000000000F9 +:046A9A0000000000F8 +:046A9B0000000000F7 +:046A9C0000000000F6 +:046A9D0000000000F5 +:046A9E0000000000F4 +:046A9F0000000000F3 +:046AA00000000000F2 +:046AA10000000000F1 +:046AA20000000000F0 +:046AA30000000000EF +:046AA40000000000EE +:046AA50000000000ED +:046AA60000000000EC +:046AA70000000000EB +:046AA80000000000EA +:046AA90000000000E9 +:046AAA0000000000E8 +:046AAB0000000000E7 +:046AAC0000000000E6 +:046AAD0000000000E5 +:046AAE0000000000E4 +:046AAF0000000000E3 +:046AB00000000000E2 +:046AB10000000000E1 +:046AB20000000000E0 +:046AB30000000000DF +:046AB40000000000DE +:046AB50000000000DD +:046AB60000000000DC +:046AB70000000000DB +:046AB80000000000DA +:046AB90000000000D9 +:046ABA0000000000D8 +:046ABB0000000000D7 +:046ABC0000000000D6 +:046ABD0000000000D5 +:046ABE0000000000D4 +:046ABF0000000000D3 +:046AC00000000000D2 +:046AC10000000000D1 +:046AC20000000000D0 +:046AC30000000000CF +:046AC40000000000CE +:046AC50000000000CD +:046AC60000000000CC +:046AC70000000000CB +:046AC80000000000CA +:046AC90000000000C9 +:046ACA0000000000C8 +:046ACB0000000000C7 +:046ACC0000000000C6 +:046ACD0000000000C5 +:046ACE0000000000C4 +:046ACF0000000000C3 +:046AD00000000000C2 +:046AD10000000000C1 +:046AD20000000000C0 +:046AD30000000000BF +:046AD40000000000BE +:046AD50000000000BD +:046AD60000000000BC +:046AD70000000000BB +:046AD80000000000BA +:046AD90000000000B9 +:046ADA0000000000B8 +:046ADB0000000000B7 +:046ADC0000000000B6 +:046ADD0000000000B5 +:046ADE0000000000B4 +:046ADF0000000000B3 +:046AE00000000000B2 +:046AE10000000000B1 +:046AE20000000000B0 +:046AE30000000000AF +:046AE40000000000AE +:046AE50000000000AD +:046AE60000000000AC +:046AE70000000000AB +:046AE80000000000AA +:046AE90000000000A9 +:046AEA0000000000A8 +:046AEB0000000000A7 +:046AEC0000000000A6 +:046AED0000000000A5 +:046AEE0000000000A4 +:046AEF0000000000A3 +:046AF00000000000A2 +:046AF10000000000A1 +:046AF20000000000A0 +:046AF300000000009F +:046AF400000000009E +:046AF500000000009D +:046AF600000000009C +:046AF700000000009B +:046AF800000000009A +:046AF9000000000099 +:046AFA000000000098 +:046AFB000000000097 +:046AFC000000000096 +:046AFD000000000095 +:046AFE000000000094 +:046AFF000000000093 +:046B00000000000091 +:046B01000000000090 +:046B0200000000008F +:046B0300000000008E +:046B0400000000008D +:046B0500000000008C +:046B0600000000008B +:046B0700000000008A +:046B08000000000089 +:046B09000000000088 +:046B0A000000000087 +:046B0B000000000086 +:046B0C000000000085 +:046B0D000000000084 +:046B0E000000000083 +:046B0F000000000082 +:046B10000000000081 +:046B11000000000080 +:046B1200000000007F +:046B1300000000007E +:046B1400000000007D +:046B1500000000007C +:046B1600000000007B +:046B1700000000007A +:046B18000000000079 +:046B19000000000078 +:046B1A000000000077 +:046B1B000000000076 +:046B1C000000000075 +:046B1D000000000074 +:046B1E000000000073 +:046B1F000000000072 +:046B20000000000071 +:046B21000000000070 +:046B2200000000006F +:046B2300000000006E +:046B2400000000006D +:046B2500000000006C +:046B2600000000006B +:046B2700000000006A +:046B28000000000069 +:046B29000000000068 +:046B2A000000000067 +:046B2B000000000066 +:046B2C000000000065 +:046B2D000000000064 +:046B2E000000000063 +:046B2F000000000062 +:046B30000000000061 +:046B31000000000060 +:046B3200000000005F +:046B3300000000005E +:046B3400000000005D +:046B3500000000005C +:046B3600000000005B +:046B3700000000005A +:046B38000000000059 +:046B39000000000058 +:046B3A000000000057 +:046B3B000000000056 +:046B3C000000000055 +:046B3D000000000054 +:046B3E000000000053 +:046B3F000000000052 +:046B40000000000051 +:046B41000000000050 +:046B4200000000004F +:046B4300000000004E +:046B4400000000004D +:046B4500000000004C +:046B4600000000004B +:046B4700000000004A +:046B48000000000049 +:046B49000000000048 +:046B4A000000000047 +:046B4B000000000046 +:046B4C000000000045 +:046B4D000000000044 +:046B4E000000000043 +:046B4F000000000042 +:046B50000000000041 +:046B51000000000040 +:046B5200000000003F +:046B5300000000003E +:046B5400000000003D +:046B5500000000003C +:046B5600000000003B +:046B5700000000003A +:046B58000000000039 +:046B59000000000038 +:046B5A000000000037 +:046B5B000000000036 +:046B5C000000000035 +:046B5D000000000034 +:046B5E000000000033 +:046B5F000000000032 +:046B60000000000031 +:046B61000000000030 +:046B6200000000002F +:046B6300000000002E +:046B6400000000002D +:046B6500000000002C +:046B6600000000002B +:046B6700000000002A +:046B68000000000029 +:046B69000000000028 +:046B6A000000000027 +:046B6B000000000026 +:046B6C000000000025 +:046B6D000000000024 +:046B6E000000000023 +:046B6F000000000022 +:046B70000000000021 +:046B71000000000020 +:046B7200000000001F +:046B7300000000001E +:046B7400000000001D +:046B7500000000001C +:046B7600000000001B +:046B7700000000001A +:046B78000000000019 +:046B79000000000018 +:046B7A000000000017 +:046B7B000000000016 +:046B7C000000000015 +:046B7D000000000014 +:046B7E000000000013 +:046B7F000000000012 +:046B80000000000011 +:046B81000000000010 +:046B8200000000000F +:046B8300000000000E +:046B8400000000000D +:046B8500000000000C +:046B8600000000000B +:046B8700000000000A +:046B88000000000009 +:046B89000000000008 +:046B8A000000000007 +:046B8B000000000006 +:046B8C000000000005 +:046B8D000000000004 +:046B8E000000000003 +:046B8F000000000002 +:046B90000000000001 +:046B91000000000000 +:046B920000000000FF +:046B930000000000FE +:046B940000000000FD +:046B950000000000FC +:046B960000000000FB +:046B970000000000FA +:046B980000000000F9 +:046B990000000000F8 +:046B9A0000000000F7 +:046B9B0000000000F6 +:046B9C0000000000F5 +:046B9D0000000000F4 +:046B9E0000000000F3 +:046B9F0000000000F2 +:046BA00000000000F1 +:046BA10000000000F0 +:046BA20000000000EF +:046BA30000000000EE +:046BA40000000000ED +:046BA50000000000EC +:046BA60000000000EB +:046BA70000000000EA +:046BA80000000000E9 +:046BA90000000000E8 +:046BAA0000000000E7 +:046BAB0000000000E6 +:046BAC0000000000E5 +:046BAD0000000000E4 +:046BAE0000000000E3 +:046BAF0000000000E2 +:046BB00000000000E1 +:046BB10000000000E0 +:046BB20000000000DF +:046BB30000000000DE +:046BB40000000000DD +:046BB50000000000DC +:046BB60000000000DB +:046BB70000000000DA +:046BB80000000000D9 +:046BB90000000000D8 +:046BBA0000000000D7 +:046BBB0000000000D6 +:046BBC0000000000D5 +:046BBD0000000000D4 +:046BBE0000000000D3 +:046BBF0000000000D2 +:046BC00000000000D1 +:046BC10000000000D0 +:046BC20000000000CF +:046BC30000000000CE +:046BC40000000000CD +:046BC50000000000CC +:046BC60000000000CB +:046BC70000000000CA +:046BC80000000000C9 +:046BC90000000000C8 +:046BCA0000000000C7 +:046BCB0000000000C6 +:046BCC0000000000C5 +:046BCD0000000000C4 +:046BCE0000000000C3 +:046BCF0000000000C2 +:046BD00000000000C1 +:046BD10000000000C0 +:046BD20000000000BF +:046BD30000000000BE +:046BD40000000000BD +:046BD50000000000BC +:046BD60000000000BB +:046BD70000000000BA +:046BD80000000000B9 +:046BD90000000000B8 +:046BDA0000000000B7 +:046BDB0000000000B6 +:046BDC0000000000B5 +:046BDD0000000000B4 +:046BDE0000000000B3 +:046BDF0000000000B2 +:046BE00000000000B1 +:046BE10000000000B0 +:046BE20000000000AF +:046BE30000000000AE +:046BE40000000000AD +:046BE50000000000AC +:046BE60000000000AB +:046BE70000000000AA +:046BE80000000000A9 +:046BE90000000000A8 +:046BEA0000000000A7 +:046BEB0000000000A6 +:046BEC0000000000A5 +:046BED0000000000A4 +:046BEE0000000000A3 +:046BEF0000000000A2 +:046BF00000000000A1 +:046BF10000000000A0 +:046BF200000000009F +:046BF300000000009E +:046BF400000000009D +:046BF500000000009C +:046BF600000000009B +:046BF700000000009A +:046BF8000000000099 +:046BF9000000000098 +:046BFA000000000097 +:046BFB000000000096 +:046BFC000000000095 +:046BFD000000000094 +:046BFE000000000093 +:046BFF000000000092 +:046C00000000000090 +:046C0100000000008F +:046C0200000000008E +:046C0300000000008D +:046C0400000000008C +:046C0500000000008B +:046C0600000000008A +:046C07000000000089 +:046C08000000000088 +:046C09000000000087 +:046C0A000000000086 +:046C0B000000000085 +:046C0C000000000084 +:046C0D000000000083 +:046C0E000000000082 +:046C0F000000000081 +:046C10000000000080 +:046C1100000000007F +:046C1200000000007E +:046C1300000000007D +:046C1400000000007C +:046C1500000000007B +:046C1600000000007A +:046C17000000000079 +:046C18000000000078 +:046C19000000000077 +:046C1A000000000076 +:046C1B000000000075 +:046C1C000000000074 +:046C1D000000000073 +:046C1E000000000072 +:046C1F000000000071 +:046C20000000000070 +:046C2100000000006F +:046C2200000000006E +:046C2300000000006D +:046C2400000000006C +:046C2500000000006B +:046C2600000000006A +:046C27000000000069 +:046C28000000000068 +:046C29000000000067 +:046C2A000000000066 +:046C2B000000000065 +:046C2C000000000064 +:046C2D000000000063 +:046C2E000000000062 +:046C2F000000000061 +:046C30000000000060 +:046C3100000000005F +:046C3200000000005E +:046C3300000000005D +:046C3400000000005C +:046C3500000000005B +:046C3600000000005A +:046C37000000000059 +:046C38000000000058 +:046C39000000000057 +:046C3A000000000056 +:046C3B000000000055 +:046C3C000000000054 +:046C3D000000000053 +:046C3E000000000052 +:046C3F000000000051 +:046C40000000000050 +:046C4100000000004F +:046C4200000000004E +:046C4300000000004D +:046C4400000000004C +:046C4500000000004B +:046C4600000000004A +:046C47000000000049 +:046C48000000000048 +:046C49000000000047 +:046C4A000000000046 +:046C4B000000000045 +:046C4C000000000044 +:046C4D000000000043 +:046C4E000000000042 +:046C4F000000000041 +:046C50000000000040 +:046C5100000000003F +:046C5200000000003E +:046C5300000000003D +:046C5400000000003C +:046C5500000000003B +:046C5600000000003A +:046C57000000000039 +:046C58000000000038 +:046C59000000000037 +:046C5A000000000036 +:046C5B000000000035 +:046C5C000000000034 +:046C5D000000000033 +:046C5E000000000032 +:046C5F000000000031 +:046C60000000000030 +:046C6100000000002F +:046C6200000000002E +:046C6300000000002D +:046C6400000000002C +:046C6500000000002B +:046C6600000000002A +:046C67000000000029 +:046C68000000000028 +:046C69000000000027 +:046C6A000000000026 +:046C6B000000000025 +:046C6C000000000024 +:046C6D000000000023 +:046C6E000000000022 +:046C6F000000000021 +:046C70000000000020 +:046C7100000000001F +:046C7200000000001E +:046C7300000000001D +:046C7400000000001C +:046C7500000000001B +:046C7600000000001A +:046C77000000000019 +:046C78000000000018 +:046C79000000000017 +:046C7A000000000016 +:046C7B000000000015 +:046C7C000000000014 +:046C7D000000000013 +:046C7E000000000012 +:046C7F000000000011 +:046C80000000000010 +:046C8100000000000F +:046C8200000000000E +:046C8300000000000D +:046C8400000000000C +:046C8500000000000B +:046C8600000000000A +:046C87000000000009 +:046C88000000000008 +:046C89000000000007 +:046C8A000000000006 +:046C8B000000000005 +:046C8C000000000004 +:046C8D000000000003 +:046C8E000000000002 +:046C8F000000000001 +:046C90000000000000 +:046C910000000000FF +:046C920000000000FE +:046C930000000000FD +:046C940000000000FC +:046C950000000000FB +:046C960000000000FA +:046C970000000000F9 +:046C980000000000F8 +:046C990000000000F7 +:046C9A0000000000F6 +:046C9B0000000000F5 +:046C9C0000000000F4 +:046C9D0000000000F3 +:046C9E0000000000F2 +:046C9F0000000000F1 +:046CA00000000000F0 +:046CA10000000000EF +:046CA20000000000EE +:046CA30000000000ED +:046CA40000000000EC +:046CA50000000000EB +:046CA60000000000EA +:046CA70000000000E9 +:046CA80000000000E8 +:046CA90000000000E7 +:046CAA0000000000E6 +:046CAB0000000000E5 +:046CAC0000000000E4 +:046CAD0000000000E3 +:046CAE0000000000E2 +:046CAF0000000000E1 +:046CB00000000000E0 +:046CB10000000000DF +:046CB20000000000DE +:046CB30000000000DD +:046CB40000000000DC +:046CB50000000000DB +:046CB60000000000DA +:046CB70000000000D9 +:046CB80000000000D8 +:046CB90000000000D7 +:046CBA0000000000D6 +:046CBB0000000000D5 +:046CBC0000000000D4 +:046CBD0000000000D3 +:046CBE0000000000D2 +:046CBF0000000000D1 +:046CC00000000000D0 +:046CC10000000000CF +:046CC20000000000CE +:046CC30000000000CD +:046CC40000000000CC +:046CC50000000000CB +:046CC60000000000CA +:046CC70000000000C9 +:046CC80000000000C8 +:046CC90000000000C7 +:046CCA0000000000C6 +:046CCB0000000000C5 +:046CCC0000000000C4 +:046CCD0000000000C3 +:046CCE0000000000C2 +:046CCF0000000000C1 +:046CD00000000000C0 +:046CD10000000000BF +:046CD20000000000BE +:046CD30000000000BD +:046CD40000000000BC +:046CD50000000000BB +:046CD60000000000BA +:046CD70000000000B9 +:046CD80000000000B8 +:046CD90000000000B7 +:046CDA0000000000B6 +:046CDB0000000000B5 +:046CDC0000000000B4 +:046CDD0000000000B3 +:046CDE0000000000B2 +:046CDF0000000000B1 +:046CE00000000000B0 +:046CE10000000000AF +:046CE20000000000AE +:046CE30000000000AD +:046CE40000000000AC +:046CE50000000000AB +:046CE60000000000AA +:046CE70000000000A9 +:046CE80000000000A8 +:046CE90000000000A7 +:046CEA0000000000A6 +:046CEB0000000000A5 +:046CEC0000000000A4 +:046CED0000000000A3 +:046CEE0000000000A2 +:046CEF0000000000A1 +:046CF00000000000A0 +:046CF100000000009F +:046CF200000000009E +:046CF300000000009D +:046CF400000000009C +:046CF500000000009B +:046CF600000000009A +:046CF7000000000099 +:046CF8000000000098 +:046CF9000000000097 +:046CFA000000000096 +:046CFB000000000095 +:046CFC000000000094 +:046CFD000000000093 +:046CFE000000000092 +:046CFF000000000091 +:046D0000000000008F +:046D0100000000008E +:046D0200000000008D +:046D0300000000008C +:046D0400000000008B +:046D0500000000008A +:046D06000000000089 +:046D07000000000088 +:046D08000000000087 +:046D09000000000086 +:046D0A000000000085 +:046D0B000000000084 +:046D0C000000000083 +:046D0D000000000082 +:046D0E000000000081 +:046D0F000000000080 +:046D1000000000007F +:046D1100000000007E +:046D1200000000007D +:046D1300000000007C +:046D1400000000007B +:046D1500000000007A +:046D16000000000079 +:046D17000000000078 +:046D18000000000077 +:046D19000000000076 +:046D1A000000000075 +:046D1B000000000074 +:046D1C000000000073 +:046D1D000000000072 +:046D1E000000000071 +:046D1F000000000070 +:046D2000000000006F +:046D2100000000006E +:046D2200000000006D +:046D2300000000006C +:046D2400000000006B +:046D2500000000006A +:046D26000000000069 +:046D27000000000068 +:046D28000000000067 +:046D29000000000066 +:046D2A000000000065 +:046D2B000000000064 +:046D2C000000000063 +:046D2D000000000062 +:046D2E000000000061 +:046D2F000000000060 +:046D3000000000005F +:046D3100000000005E +:046D3200000000005D +:046D3300000000005C +:046D3400000000005B +:046D3500000000005A +:046D36000000000059 +:046D37000000000058 +:046D38000000000057 +:046D39000000000056 +:046D3A000000000055 +:046D3B000000000054 +:046D3C000000000053 +:046D3D000000000052 +:046D3E000000000051 +:046D3F000000000050 +:046D4000000000004F +:046D4100000000004E +:046D4200000000004D +:046D4300000000004C +:046D4400000000004B +:046D4500000000004A +:046D46000000000049 +:046D47000000000048 +:046D48000000000047 +:046D49000000000046 +:046D4A000000000045 +:046D4B000000000044 +:046D4C000000000043 +:046D4D000000000042 +:046D4E000000000041 +:046D4F000000000040 +:046D5000000000003F +:046D5100000000003E +:046D5200000000003D +:046D5300000000003C +:046D5400000000003B +:046D5500000000003A +:046D56000000000039 +:046D57000000000038 +:046D58000000000037 +:046D59000000000036 +:046D5A000000000035 +:046D5B000000000034 +:046D5C000000000033 +:046D5D000000000032 +:046D5E000000000031 +:046D5F000000000030 +:046D6000000000002F +:046D6100000000002E +:046D6200000000002D +:046D6300000000002C +:046D6400000000002B +:046D6500000000002A +:046D66000000000029 +:046D67000000000028 +:046D68000000000027 +:046D69000000000026 +:046D6A000000000025 +:046D6B000000000024 +:046D6C000000000023 +:046D6D000000000022 +:046D6E000000000021 +:046D6F000000000020 +:046D7000000000001F +:046D7100000000001E +:046D7200000000001D +:046D7300000000001C +:046D7400000000001B +:046D7500000000001A +:046D76000000000019 +:046D77000000000018 +:046D78000000000017 +:046D79000000000016 +:046D7A000000000015 +:046D7B000000000014 +:046D7C000000000013 +:046D7D000000000012 +:046D7E000000000011 +:046D7F000000000010 +:046D8000000000000F +:046D8100000000000E +:046D8200000000000D +:046D8300000000000C +:046D8400000000000B +:046D8500000000000A +:046D86000000000009 +:046D87000000000008 +:046D88000000000007 +:046D89000000000006 +:046D8A000000000005 +:046D8B000000000004 +:046D8C000000000003 +:046D8D000000000002 +:046D8E000000000001 +:046D8F000000000000 +:046D900000000000FF +:046D910000000000FE +:046D920000000000FD +:046D930000000000FC +:046D940000000000FB +:046D950000000000FA +:046D960000000000F9 +:046D970000000000F8 +:046D980000000000F7 +:046D990000000000F6 +:046D9A0000000000F5 +:046D9B0000000000F4 +:046D9C0000000000F3 +:046D9D0000000000F2 +:046D9E0000000000F1 +:046D9F0000000000F0 +:046DA00000000000EF +:046DA10000000000EE +:046DA20000000000ED +:046DA30000000000EC +:046DA40000000000EB +:046DA50000000000EA +:046DA60000000000E9 +:046DA70000000000E8 +:046DA80000000000E7 +:046DA90000000000E6 +:046DAA0000000000E5 +:046DAB0000000000E4 +:046DAC0000000000E3 +:046DAD0000000000E2 +:046DAE0000000000E1 +:046DAF0000000000E0 +:046DB00000000000DF +:046DB10000000000DE +:046DB20000000000DD +:046DB30000000000DC +:046DB40000000000DB +:046DB50000000000DA +:046DB60000000000D9 +:046DB70000000000D8 +:046DB80000000000D7 +:046DB90000000000D6 +:046DBA0000000000D5 +:046DBB0000000000D4 +:046DBC0000000000D3 +:046DBD0000000000D2 +:046DBE0000000000D1 +:046DBF0000000000D0 +:046DC00000000000CF +:046DC10000000000CE +:046DC20000000000CD +:046DC30000000000CC +:046DC40000000000CB +:046DC50000000000CA +:046DC60000000000C9 +:046DC70000000000C8 +:046DC80000000000C7 +:046DC90000000000C6 +:046DCA0000000000C5 +:046DCB0000000000C4 +:046DCC0000000000C3 +:046DCD0000000000C2 +:046DCE0000000000C1 +:046DCF0000000000C0 +:046DD00000000000BF +:046DD10000000000BE +:046DD20000000000BD +:046DD30000000000BC +:046DD40000000000BB +:046DD50000000000BA +:046DD60000000000B9 +:046DD70000000000B8 +:046DD80000000000B7 +:046DD90000000000B6 +:046DDA0000000000B5 +:046DDB0000000000B4 +:046DDC0000000000B3 +:046DDD0000000000B2 +:046DDE0000000000B1 +:046DDF0000000000B0 +:046DE00000000000AF +:046DE10000000000AE +:046DE20000000000AD +:046DE30000000000AC +:046DE40000000000AB +:046DE50000000000AA +:046DE60000000000A9 +:046DE70000000000A8 +:046DE80000000000A7 +:046DE90000000000A6 +:046DEA0000000000A5 +:046DEB0000000000A4 +:046DEC0000000000A3 +:046DED0000000000A2 +:046DEE0000000000A1 +:046DEF0000000000A0 +:046DF000000000009F +:046DF100000000009E +:046DF200000000009D +:046DF300000000009C +:046DF400000000009B +:046DF500000000009A +:046DF6000000000099 +:046DF7000000000098 +:046DF8000000000097 +:046DF9000000000096 +:046DFA000000000095 +:046DFB000000000094 +:046DFC000000000093 +:046DFD000000000092 +:046DFE000000000091 +:046DFF000000000090 +:046E0000000000008E +:046E0100000000008D +:046E0200000000008C +:046E0300000000008B +:046E0400000000008A +:046E05000000000089 +:046E06000000000088 +:046E07000000000087 +:046E08000000000086 +:046E09000000000085 +:046E0A000000000084 +:046E0B000000000083 +:046E0C000000000082 +:046E0D000000000081 +:046E0E000000000080 +:046E0F00000000007F +:046E1000000000007E +:046E1100000000007D +:046E1200000000007C +:046E1300000000007B +:046E1400000000007A +:046E15000000000079 +:046E16000000000078 +:046E17000000000077 +:046E18000000000076 +:046E19000000000075 +:046E1A000000000074 +:046E1B000000000073 +:046E1C000000000072 +:046E1D000000000071 +:046E1E000000000070 +:046E1F00000000006F +:046E2000000000006E +:046E2100000000006D +:046E2200000000006C +:046E2300000000006B +:046E2400000000006A +:046E25000000000069 +:046E26000000000068 +:046E27000000000067 +:046E28000000000066 +:046E29000000000065 +:046E2A000000000064 +:046E2B000000000063 +:046E2C000000000062 +:046E2D000000000061 +:046E2E000000000060 +:046E2F00000000005F +:046E3000000000005E +:046E3100000000005D +:046E3200000000005C +:046E3300000000005B +:046E3400000000005A +:046E35000000000059 +:046E36000000000058 +:046E37000000000057 +:046E38000000000056 +:046E39000000000055 +:046E3A000000000054 +:046E3B000000000053 +:046E3C000000000052 +:046E3D000000000051 +:046E3E000000000050 +:046E3F00000000004F +:046E4000000000004E +:046E4100000000004D +:046E4200000000004C +:046E4300000000004B +:046E4400000000004A +:046E45000000000049 +:046E46000000000048 +:046E47000000000047 +:046E48000000000046 +:046E49000000000045 +:046E4A000000000044 +:046E4B000000000043 +:046E4C000000000042 +:046E4D000000000041 +:046E4E000000000040 +:046E4F00000000003F +:046E5000000000003E +:046E5100000000003D +:046E5200000000003C +:046E5300000000003B +:046E5400000000003A +:046E55000000000039 +:046E56000000000038 +:046E57000000000037 +:046E58000000000036 +:046E59000000000035 +:046E5A000000000034 +:046E5B000000000033 +:046E5C000000000032 +:046E5D000000000031 +:046E5E000000000030 +:046E5F00000000002F +:046E6000000000002E +:046E6100000000002D +:046E6200000000002C +:046E6300000000002B +:046E6400000000002A +:046E65000000000029 +:046E66000000000028 +:046E67000000000027 +:046E68000000000026 +:046E69000000000025 +:046E6A000000000024 +:046E6B000000000023 +:046E6C000000000022 +:046E6D000000000021 +:046E6E000000000020 +:046E6F00000000001F +:046E7000000000001E +:046E7100000000001D +:046E7200000000001C +:046E7300000000001B +:046E7400000000001A +:046E75000000000019 +:046E76000000000018 +:046E77000000000017 +:046E78000000000016 +:046E79000000000015 +:046E7A000000000014 +:046E7B000000000013 +:046E7C000000000012 +:046E7D000000000011 +:046E7E000000000010 +:046E7F00000000000F +:046E8000000000000E +:046E8100000000000D +:046E8200000000000C +:046E8300000000000B +:046E8400000000000A +:046E85000000000009 +:046E86000000000008 +:046E87000000000007 +:046E88000000000006 +:046E89000000000005 +:046E8A000000000004 +:046E8B000000000003 +:046E8C000000000002 +:046E8D000000000001 +:046E8E000000000000 +:046E8F0000000000FF +:046E900000000000FE +:046E910000000000FD +:046E920000000000FC +:046E930000000000FB +:046E940000000000FA +:046E950000000000F9 +:046E960000000000F8 +:046E970000000000F7 +:046E980000000000F6 +:046E990000000000F5 +:046E9A0000000000F4 +:046E9B0000000000F3 +:046E9C0000000000F2 +:046E9D0000000000F1 +:046E9E0000000000F0 +:046E9F0000000000EF +:046EA00000000000EE +:046EA10000000000ED +:046EA20000000000EC +:046EA30000000000EB +:046EA40000000000EA +:046EA50000000000E9 +:046EA60000000000E8 +:046EA70000000000E7 +:046EA80000000000E6 +:046EA90000000000E5 +:046EAA0000000000E4 +:046EAB0000000000E3 +:046EAC0000000000E2 +:046EAD0000000000E1 +:046EAE0000000000E0 +:046EAF0000000000DF +:046EB00000000000DE +:046EB10000000000DD +:046EB20000000000DC +:046EB30000000000DB +:046EB40000000000DA +:046EB50000000000D9 +:046EB60000000000D8 +:046EB70000000000D7 +:046EB80000000000D6 +:046EB90000000000D5 +:046EBA0000000000D4 +:046EBB0000000000D3 +:046EBC0000000000D2 +:046EBD0000000000D1 +:046EBE0000000000D0 +:046EBF0000000000CF +:046EC00000000000CE +:046EC10000000000CD +:046EC20000000000CC +:046EC30000000000CB +:046EC40000000000CA +:046EC50000000000C9 +:046EC60000000000C8 +:046EC70000000000C7 +:046EC80000000000C6 +:046EC90000000000C5 +:046ECA0000000000C4 +:046ECB0000000000C3 +:046ECC0000000000C2 +:046ECD0000000000C1 +:046ECE0000000000C0 +:046ECF0000000000BF +:046ED00000000000BE +:046ED10000000000BD +:046ED20000000000BC +:046ED30000000000BB +:046ED40000000000BA +:046ED50000000000B9 +:046ED60000000000B8 +:046ED70000000000B7 +:046ED80000000000B6 +:046ED90000000000B5 +:046EDA0000000000B4 +:046EDB0000000000B3 +:046EDC0000000000B2 +:046EDD0000000000B1 +:046EDE0000000000B0 +:046EDF0000000000AF +:046EE00000000000AE +:046EE10000000000AD +:046EE20000000000AC +:046EE30000000000AB +:046EE40000000000AA +:046EE50000000000A9 +:046EE60000000000A8 +:046EE70000000000A7 +:046EE80000000000A6 +:046EE90000000000A5 +:046EEA0000000000A4 +:046EEB0000000000A3 +:046EEC0000000000A2 +:046EED0000000000A1 +:046EEE0000000000A0 +:046EEF00000000009F +:046EF000000000009E +:046EF100000000009D +:046EF200000000009C +:046EF300000000009B +:046EF400000000009A +:046EF5000000000099 +:046EF6000000000098 +:046EF7000000000097 +:046EF8000000000096 +:046EF9000000000095 +:046EFA000000000094 +:046EFB000000000093 +:046EFC000000000092 +:046EFD000000000091 +:046EFE000000000090 +:046EFF00000000008F +:046F0000000000008D +:046F0100000000008C +:046F0200000000008B +:046F0300000000008A +:046F04000000000089 +:046F05000000000088 +:046F06000000000087 +:046F07000000000086 +:046F08000000000085 +:046F09000000000084 +:046F0A000000000083 +:046F0B000000000082 +:046F0C000000000081 +:046F0D000000000080 +:046F0E00000000007F +:046F0F00000000007E +:046F1000000000007D +:046F1100000000007C +:046F1200000000007B +:046F1300000000007A +:046F14000000000079 +:046F15000000000078 +:046F16000000000077 +:046F17000000000076 +:046F18000000000075 +:046F19000000000074 +:046F1A000000000073 +:046F1B000000000072 +:046F1C000000000071 +:046F1D000000000070 +:046F1E00000000006F +:046F1F00000000006E +:046F2000000000006D +:046F2100000000006C +:046F2200000000006B +:046F2300000000006A +:046F24000000000069 +:046F25000000000068 +:046F26000000000067 +:046F27000000000066 +:046F28000000000065 +:046F29000000000064 +:046F2A000000000063 +:046F2B000000000062 +:046F2C000000000061 +:046F2D000000000060 +:046F2E00000000005F +:046F2F00000000005E +:046F3000000000005D +:046F3100000000005C +:046F3200000000005B +:046F3300000000005A +:046F34000000000059 +:046F35000000000058 +:046F36000000000057 +:046F37000000000056 +:046F38000000000055 +:046F39000000000054 +:046F3A000000000053 +:046F3B000000000052 +:046F3C000000000051 +:046F3D000000000050 +:046F3E00000000004F +:046F3F00000000004E +:046F4000000000004D +:046F4100000000004C +:046F4200000000004B +:046F4300000000004A +:046F44000000000049 +:046F45000000000048 +:046F46000000000047 +:046F47000000000046 +:046F48000000000045 +:046F49000000000044 +:046F4A000000000043 +:046F4B000000000042 +:046F4C000000000041 +:046F4D000000000040 +:046F4E00000000003F +:046F4F00000000003E +:046F5000000000003D +:046F5100000000003C +:046F5200000000003B +:046F5300000000003A +:046F54000000000039 +:046F55000000000038 +:046F56000000000037 +:046F57000000000036 +:046F58000000000035 +:046F59000000000034 +:046F5A000000000033 +:046F5B000000000032 +:046F5C000000000031 +:046F5D000000000030 +:046F5E00000000002F +:046F5F00000000002E +:046F6000000000002D +:046F6100000000002C +:046F6200000000002B +:046F6300000000002A +:046F64000000000029 +:046F65000000000028 +:046F66000000000027 +:046F67000000000026 +:046F68000000000025 +:046F69000000000024 +:046F6A000000000023 +:046F6B000000000022 +:046F6C000000000021 +:046F6D000000000020 +:046F6E00000000001F +:046F6F00000000001E +:046F7000000000001D +:046F7100000000001C +:046F7200000000001B +:046F7300000000001A +:046F74000000000019 +:046F75000000000018 +:046F76000000000017 +:046F77000000000016 +:046F78000000000015 +:046F79000000000014 +:046F7A000000000013 +:046F7B000000000012 +:046F7C000000000011 +:046F7D000000000010 +:046F7E00000000000F +:046F7F00000000000E +:046F8000000000000D +:046F8100000000000C +:046F8200000000000B +:046F8300000000000A +:046F84000000000009 +:046F85000000000008 +:046F86000000000007 +:046F87000000000006 +:046F88000000000005 +:046F89000000000004 +:046F8A000000000003 +:046F8B000000000002 +:046F8C000000000001 +:046F8D000000000000 +:046F8E0000000000FF +:046F8F0000000000FE +:046F900000000000FD +:046F910000000000FC +:046F920000000000FB +:046F930000000000FA +:046F940000000000F9 +:046F950000000000F8 +:046F960000000000F7 +:046F970000000000F6 +:046F980000000000F5 +:046F990000000000F4 +:046F9A0000000000F3 +:046F9B0000000000F2 +:046F9C0000000000F1 +:046F9D0000000000F0 +:046F9E0000000000EF +:046F9F0000000000EE +:046FA00000000000ED +:046FA10000000000EC +:046FA20000000000EB +:046FA30000000000EA +:046FA40000000000E9 +:046FA50000000000E8 +:046FA60000000000E7 +:046FA70000000000E6 +:046FA80000000000E5 +:046FA90000000000E4 +:046FAA0000000000E3 +:046FAB0000000000E2 +:046FAC0000000000E1 +:046FAD0000000000E0 +:046FAE0000000000DF +:046FAF0000000000DE +:046FB00000000000DD +:046FB10000000000DC +:046FB20000000000DB +:046FB30000000000DA +:046FB40000000000D9 +:046FB50000000000D8 +:046FB60000000000D7 +:046FB70000000000D6 +:046FB80000000000D5 +:046FB90000000000D4 +:046FBA0000000000D3 +:046FBB0000000000D2 +:046FBC0000000000D1 +:046FBD0000000000D0 +:046FBE0000000000CF +:046FBF0000000000CE +:046FC00000000000CD +:046FC10000000000CC +:046FC20000000000CB +:046FC30000000000CA +:046FC40000000000C9 +:046FC50000000000C8 +:046FC60000000000C7 +:046FC70000000000C6 +:046FC80000000000C5 +:046FC90000000000C4 +:046FCA0000000000C3 +:046FCB0000000000C2 +:046FCC0000000000C1 +:046FCD0000000000C0 +:046FCE0000000000BF +:046FCF0000000000BE +:046FD00000000000BD +:046FD10000000000BC +:046FD20000000000BB +:046FD30000000000BA +:046FD40000000000B9 +:046FD50000000000B8 +:046FD60000000000B7 +:046FD70000000000B6 +:046FD80000000000B5 +:046FD90000000000B4 +:046FDA0000000000B3 +:046FDB0000000000B2 +:046FDC0000000000B1 +:046FDD0000000000B0 +:046FDE0000000000AF +:046FDF0000000000AE +:046FE00000000000AD +:046FE10000000000AC +:046FE20000000000AB +:046FE30000000000AA +:046FE40000000000A9 +:046FE50000000000A8 +:046FE60000000000A7 +:046FE70000000000A6 +:046FE80000000000A5 +:046FE90000000000A4 +:046FEA0000000000A3 +:046FEB0000000000A2 +:046FEC0000000000A1 +:046FED0000000000A0 +:046FEE00000000009F +:046FEF00000000009E +:046FF000000000009D +:046FF100000000009C +:046FF200000000009B +:046FF300000000009A +:046FF4000000000099 +:046FF5000000000098 +:046FF6000000000097 +:046FF7000000000096 +:046FF8000000000095 +:046FF9000000000094 +:046FFA000000000093 +:046FFB000000000092 +:046FFC000000000091 +:046FFD000000000090 +:046FFE00000000008F +:046FFF00000000008E +:04700000000000008C +:04700100000000008B +:04700200000000008A +:047003000000000089 +:047004000000000088 +:047005000000000087 +:047006000000000086 +:047007000000000085 +:047008000000000084 +:047009000000000083 +:04700A000000000082 +:04700B000000000081 +:04700C000000000080 +:04700D00000000007F +:04700E00000000007E +:04700F00000000007D +:04701000000000007C +:04701100000000007B +:04701200000000007A +:047013000000000079 +:047014000000000078 +:047015000000000077 +:047016000000000076 +:047017000000000075 +:047018000000000074 +:047019000000000073 +:04701A000000000072 +:04701B000000000071 +:04701C000000000070 +:04701D00000000006F +:04701E00000000006E +:04701F00000000006D +:04702000000000006C +:04702100000000006B +:04702200000000006A +:047023000000000069 +:047024000000000068 +:047025000000000067 +:047026000000000066 +:047027000000000065 +:047028000000000064 +:047029000000000063 +:04702A000000000062 +:04702B000000000061 +:04702C000000000060 +:04702D00000000005F +:04702E00000000005E +:04702F00000000005D +:04703000000000005C +:04703100000000005B +:04703200000000005A +:047033000000000059 +:047034000000000058 +:047035000000000057 +:047036000000000056 +:047037000000000055 +:047038000000000054 +:047039000000000053 +:04703A000000000052 +:04703B000000000051 +:04703C000000000050 +:04703D00000000004F +:04703E00000000004E +:04703F00000000004D +:04704000000000004C +:04704100000000004B +:04704200000000004A +:047043000000000049 +:047044000000000048 +:047045000000000047 +:047046000000000046 +:047047000000000045 +:047048000000000044 +:047049000000000043 +:04704A000000000042 +:04704B000000000041 +:04704C000000000040 +:04704D00000000003F +:04704E00000000003E +:04704F00000000003D +:04705000000000003C +:04705100000000003B +:04705200000000003A +:047053000000000039 +:047054000000000038 +:047055000000000037 +:047056000000000036 +:047057000000000035 +:047058000000000034 +:047059000000000033 +:04705A000000000032 +:04705B000000000031 +:04705C000000000030 +:04705D00000000002F +:04705E00000000002E +:04705F00000000002D +:04706000000000002C +:04706100000000002B +:04706200000000002A +:047063000000000029 +:047064000000000028 +:047065000000000027 +:047066000000000026 +:047067000000000025 +:047068000000000024 +:047069000000000023 +:04706A000000000022 +:04706B000000000021 +:04706C000000000020 +:04706D00000000001F +:04706E00000000001E +:04706F00000000001D +:04707000000000001C +:04707100000000001B +:04707200000000001A +:047073000000000019 +:047074000000000018 +:047075000000000017 +:047076000000000016 +:047077000000000015 +:047078000000000014 +:047079000000000013 +:04707A000000000012 +:04707B000000000011 +:04707C000000000010 +:04707D00000000000F +:04707E00000000000E +:04707F00000000000D +:04708000000000000C +:04708100000000000B +:04708200000000000A +:047083000000000009 +:047084000000000008 +:047085000000000007 +:047086000000000006 +:047087000000000005 +:047088000000000004 +:047089000000000003 +:04708A000000000002 +:04708B000000000001 +:04708C000000000000 +:04708D0000000000FF +:04708E0000000000FE +:04708F0000000000FD +:0470900000000000FC +:0470910000000000FB +:0470920000000000FA +:0470930000000000F9 +:0470940000000000F8 +:0470950000000000F7 +:0470960000000000F6 +:0470970000000000F5 +:0470980000000000F4 +:0470990000000000F3 +:04709A0000000000F2 +:04709B0000000000F1 +:04709C0000000000F0 +:04709D0000000000EF +:04709E0000000000EE +:04709F0000000000ED +:0470A00000000000EC +:0470A10000000000EB +:0470A20000000000EA +:0470A30000000000E9 +:0470A40000000000E8 +:0470A50000000000E7 +:0470A60000000000E6 +:0470A70000000000E5 +:0470A80000000000E4 +:0470A90000000000E3 +:0470AA0000000000E2 +:0470AB0000000000E1 +:0470AC0000000000E0 +:0470AD0000000000DF +:0470AE0000000000DE +:0470AF0000000000DD +:0470B00000000000DC +:0470B10000000000DB +:0470B20000000000DA +:0470B30000000000D9 +:0470B40000000000D8 +:0470B50000000000D7 +:0470B60000000000D6 +:0470B70000000000D5 +:0470B80000000000D4 +:0470B90000000000D3 +:0470BA0000000000D2 +:0470BB0000000000D1 +:0470BC0000000000D0 +:0470BD0000000000CF +:0470BE0000000000CE +:0470BF0000000000CD +:0470C00000000000CC +:0470C10000000000CB +:0470C20000000000CA +:0470C30000000000C9 +:0470C40000000000C8 +:0470C50000000000C7 +:0470C60000000000C6 +:0470C70000000000C5 +:0470C80000000000C4 +:0470C90000000000C3 +:0470CA0000000000C2 +:0470CB0000000000C1 +:0470CC0000000000C0 +:0470CD0000000000BF +:0470CE0000000000BE +:0470CF0000000000BD +:0470D00000000000BC +:0470D10000000000BB +:0470D20000000000BA +:0470D30000000000B9 +:0470D40000000000B8 +:0470D50000000000B7 +:0470D60000000000B6 +:0470D70000000000B5 +:0470D80000000000B4 +:0470D90000000000B3 +:0470DA0000000000B2 +:0470DB0000000000B1 +:0470DC0000000000B0 +:0470DD0000000000AF +:0470DE0000000000AE +:0470DF0000000000AD +:0470E00000000000AC +:0470E10000000000AB +:0470E20000000000AA +:0470E30000000000A9 +:0470E40000000000A8 +:0470E50000000000A7 +:0470E60000000000A6 +:0470E70000000000A5 +:0470E80000000000A4 +:0470E90000000000A3 +:0470EA0000000000A2 +:0470EB0000000000A1 +:0470EC0000000000A0 +:0470ED00000000009F +:0470EE00000000009E +:0470EF00000000009D +:0470F000000000009C +:0470F100000000009B +:0470F200000000009A +:0470F3000000000099 +:0470F4000000000098 +:0470F5000000000097 +:0470F6000000000096 +:0470F7000000000095 +:0470F8000000000094 +:0470F9000000000093 +:0470FA000000000092 +:0470FB000000000091 +:0470FC000000000090 +:0470FD00000000008F +:0470FE00000000008E +:0470FF00000000008D +:04710000000000008B +:04710100000000008A +:047102000000000089 +:047103000000000088 +:047104000000000087 +:047105000000000086 +:047106000000000085 +:047107000000000084 +:047108000000000083 +:047109000000000082 +:04710A000000000081 +:04710B000000000080 +:04710C00000000007F +:04710D00000000007E +:04710E00000000007D +:04710F00000000007C +:04711000000000007B +:04711100000000007A +:047112000000000079 +:047113000000000078 +:047114000000000077 +:047115000000000076 +:047116000000000075 +:047117000000000074 +:047118000000000073 +:047119000000000072 +:04711A000000000071 +:04711B000000000070 +:04711C00000000006F +:04711D00000000006E +:04711E00000000006D +:04711F00000000006C +:04712000000000006B +:04712100000000006A +:047122000000000069 +:047123000000000068 +:047124000000000067 +:047125000000000066 +:047126000000000065 +:047127000000000064 +:047128000000000063 +:047129000000000062 +:04712A000000000061 +:04712B000000000060 +:04712C00000000005F +:04712D00000000005E +:04712E00000000005D +:04712F00000000005C +:04713000000000005B +:04713100000000005A +:047132000000000059 +:047133000000000058 +:047134000000000057 +:047135000000000056 +:047136000000000055 +:047137000000000054 +:047138000000000053 +:047139000000000052 +:04713A000000000051 +:04713B000000000050 +:04713C00000000004F +:04713D00000000004E +:04713E00000000004D +:04713F00000000004C +:04714000000000004B +:04714100000000004A +:047142000000000049 +:047143000000000048 +:047144000000000047 +:047145000000000046 +:047146000000000045 +:047147000000000044 +:047148000000000043 +:047149000000000042 +:04714A000000000041 +:04714B000000000040 +:04714C00000000003F +:04714D00000000003E +:04714E00000000003D +:04714F00000000003C +:04715000000000003B +:04715100000000003A +:047152000000000039 +:047153000000000038 +:047154000000000037 +:047155000000000036 +:047156000000000035 +:047157000000000034 +:047158000000000033 +:047159000000000032 +:04715A000000000031 +:04715B000000000030 +:04715C00000000002F +:04715D00000000002E +:04715E00000000002D +:04715F00000000002C +:04716000000000002B +:04716100000000002A +:047162000000000029 +:047163000000000028 +:047164000000000027 +:047165000000000026 +:047166000000000025 +:047167000000000024 +:047168000000000023 +:047169000000000022 +:04716A000000000021 +:04716B000000000020 +:04716C00000000001F +:04716D00000000001E +:04716E00000000001D +:04716F00000000001C +:04717000000000001B +:04717100000000001A +:047172000000000019 +:047173000000000018 +:047174000000000017 +:047175000000000016 +:047176000000000015 +:047177000000000014 +:047178000000000013 +:047179000000000012 +:04717A000000000011 +:04717B000000000010 +:04717C00000000000F +:04717D00000000000E +:04717E00000000000D +:04717F00000000000C +:04718000000000000B +:04718100000000000A +:047182000000000009 +:047183000000000008 +:047184000000000007 +:047185000000000006 +:047186000000000005 +:047187000000000004 +:047188000000000003 +:047189000000000002 +:04718A000000000001 +:04718B000000000000 +:04718C0000000000FF +:04718D0000000000FE +:04718E0000000000FD +:04718F0000000000FC +:0471900000000000FB +:0471910000000000FA +:0471920000000000F9 +:0471930000000000F8 +:0471940000000000F7 +:0471950000000000F6 +:0471960000000000F5 +:0471970000000000F4 +:0471980000000000F3 +:0471990000000000F2 +:04719A0000000000F1 +:04719B0000000000F0 +:04719C0000000000EF +:04719D0000000000EE +:04719E0000000000ED +:04719F0000000000EC +:0471A00000000000EB +:0471A10000000000EA +:0471A20000000000E9 +:0471A30000000000E8 +:0471A40000000000E7 +:0471A50000000000E6 +:0471A60000000000E5 +:0471A70000000000E4 +:0471A80000000000E3 +:0471A90000000000E2 +:0471AA0000000000E1 +:0471AB0000000000E0 +:0471AC0000000000DF +:0471AD0000000000DE +:0471AE0000000000DD +:0471AF0000000000DC +:0471B00000000000DB +:0471B10000000000DA +:0471B20000000000D9 +:0471B30000000000D8 +:0471B40000000000D7 +:0471B50000000000D6 +:0471B60000000000D5 +:0471B70000000000D4 +:0471B80000000000D3 +:0471B90000000000D2 +:0471BA0000000000D1 +:0471BB0000000000D0 +:0471BC0000000000CF +:0471BD0000000000CE +:0471BE0000000000CD +:0471BF0000000000CC +:0471C00000000000CB +:0471C10000000000CA +:0471C20000000000C9 +:0471C30000000000C8 +:0471C40000000000C7 +:0471C50000000000C6 +:0471C60000000000C5 +:0471C70000000000C4 +:0471C80000000000C3 +:0471C90000000000C2 +:0471CA0000000000C1 +:0471CB0000000000C0 +:0471CC0000000000BF +:0471CD0000000000BE +:0471CE0000000000BD +:0471CF0000000000BC +:0471D00000000000BB +:0471D10000000000BA +:0471D20000000000B9 +:0471D30000000000B8 +:0471D40000000000B7 +:0471D50000000000B6 +:0471D60000000000B5 +:0471D70000000000B4 +:0471D80000000000B3 +:0471D90000000000B2 +:0471DA0000000000B1 +:0471DB0000000000B0 +:0471DC0000000000AF +:0471DD0000000000AE +:0471DE0000000000AD +:0471DF0000000000AC +:0471E00000000000AB +:0471E10000000000AA +:0471E20000000000A9 +:0471E30000000000A8 +:0471E40000000000A7 +:0471E50000000000A6 +:0471E60000000000A5 +:0471E70000000000A4 +:0471E80000000000A3 +:0471E90000000000A2 +:0471EA0000000000A1 +:0471EB0000000000A0 +:0471EC00000000009F +:0471ED00000000009E +:0471EE00000000009D +:0471EF00000000009C +:0471F000000000009B +:0471F100000000009A +:0471F2000000000099 +:0471F3000000000098 +:0471F4000000000097 +:0471F5000000000096 +:0471F6000000000095 +:0471F7000000000094 +:0471F8000000000093 +:0471F9000000000092 +:0471FA000000000091 +:0471FB000000000090 +:0471FC00000000008F +:0471FD00000000008E +:0471FE00000000008D +:0471FF00000000008C +:04720000000000008A +:047201000000000089 +:047202000000000088 +:047203000000000087 +:047204000000000086 +:047205000000000085 +:047206000000000084 +:047207000000000083 +:047208000000000082 +:047209000000000081 +:04720A000000000080 +:04720B00000000007F +:04720C00000000007E +:04720D00000000007D +:04720E00000000007C +:04720F00000000007B +:04721000000000007A +:047211000000000079 +:047212000000000078 +:047213000000000077 +:047214000000000076 +:047215000000000075 +:047216000000000074 +:047217000000000073 +:047218000000000072 +:047219000000000071 +:04721A000000000070 +:04721B00000000006F +:04721C00000000006E +:04721D00000000006D +:04721E00000000006C +:04721F00000000006B +:04722000000000006A +:047221000000000069 +:047222000000000068 +:047223000000000067 +:047224000000000066 +:047225000000000065 +:047226000000000064 +:047227000000000063 +:047228000000000062 +:047229000000000061 +:04722A000000000060 +:04722B00000000005F +:04722C00000000005E +:04722D00000000005D +:04722E00000000005C +:04722F00000000005B +:04723000000000005A +:047231000000000059 +:047232000000000058 +:047233000000000057 +:047234000000000056 +:047235000000000055 +:047236000000000054 +:047237000000000053 +:047238000000000052 +:047239000000000051 +:04723A000000000050 +:04723B00000000004F +:04723C00000000004E +:04723D00000000004D +:04723E00000000004C +:04723F00000000004B +:04724000000000004A +:047241000000000049 +:047242000000000048 +:047243000000000047 +:047244000000000046 +:047245000000000045 +:047246000000000044 +:047247000000000043 +:047248000000000042 +:047249000000000041 +:04724A000000000040 +:04724B00000000003F +:04724C00000000003E +:04724D00000000003D +:04724E00000000003C +:04724F00000000003B +:04725000000000003A +:047251000000000039 +:047252000000000038 +:047253000000000037 +:047254000000000036 +:047255000000000035 +:047256000000000034 +:047257000000000033 +:047258000000000032 +:047259000000000031 +:04725A000000000030 +:04725B00000000002F +:04725C00000000002E +:04725D00000000002D +:04725E00000000002C +:04725F00000000002B +:04726000000000002A +:047261000000000029 +:047262000000000028 +:047263000000000027 +:047264000000000026 +:047265000000000025 +:047266000000000024 +:047267000000000023 +:047268000000000022 +:047269000000000021 +:04726A000000000020 +:04726B00000000001F +:04726C00000000001E +:04726D00000000001D +:04726E00000000001C +:04726F00000000001B +:04727000000000001A +:047271000000000019 +:047272000000000018 +:047273000000000017 +:047274000000000016 +:047275000000000015 +:047276000000000014 +:047277000000000013 +:047278000000000012 +:047279000000000011 +:04727A000000000010 +:04727B00000000000F +:04727C00000000000E +:04727D00000000000D +:04727E00000000000C +:04727F00000000000B +:04728000000000000A +:047281000000000009 +:047282000000000008 +:047283000000000007 +:047284000000000006 +:047285000000000005 +:047286000000000004 +:047287000000000003 +:047288000000000002 +:047289000000000001 +:04728A000000000000 +:04728B0000000000FF +:04728C0000000000FE +:04728D0000000000FD +:04728E0000000000FC +:04728F0000000000FB +:0472900000000000FA +:0472910000000000F9 +:0472920000000000F8 +:0472930000000000F7 +:0472940000000000F6 +:0472950000000000F5 +:0472960000000000F4 +:0472970000000000F3 +:0472980000000000F2 +:0472990000000000F1 +:04729A0000000000F0 +:04729B0000000000EF +:04729C0000000000EE +:04729D0000000000ED +:04729E0000000000EC +:04729F0000000000EB +:0472A00000000000EA +:0472A10000000000E9 +:0472A20000000000E8 +:0472A30000000000E7 +:0472A40000000000E6 +:0472A50000000000E5 +:0472A60000000000E4 +:0472A70000000000E3 +:0472A80000000000E2 +:0472A90000000000E1 +:0472AA0000000000E0 +:0472AB0000000000DF +:0472AC0000000000DE +:0472AD0000000000DD +:0472AE0000000000DC +:0472AF0000000000DB +:0472B00000000000DA +:0472B10000000000D9 +:0472B20000000000D8 +:0472B30000000000D7 +:0472B40000000000D6 +:0472B50000000000D5 +:0472B60000000000D4 +:0472B70000000000D3 +:0472B80000000000D2 +:0472B90000000000D1 +:0472BA0000000000D0 +:0472BB0000000000CF +:0472BC0000000000CE +:0472BD0000000000CD +:0472BE0000000000CC +:0472BF0000000000CB +:0472C00000000000CA +:0472C10000000000C9 +:0472C20000000000C8 +:0472C30000000000C7 +:0472C40000000000C6 +:0472C50000000000C5 +:0472C60000000000C4 +:0472C70000000000C3 +:0472C80000000000C2 +:0472C90000000000C1 +:0472CA0000000000C0 +:0472CB0000000000BF +:0472CC0000000000BE +:0472CD0000000000BD +:0472CE0000000000BC +:0472CF0000000000BB +:0472D00000000000BA +:0472D10000000000B9 +:0472D20000000000B8 +:0472D30000000000B7 +:0472D40000000000B6 +:0472D50000000000B5 +:0472D60000000000B4 +:0472D70000000000B3 +:0472D80000000000B2 +:0472D90000000000B1 +:0472DA0000000000B0 +:0472DB0000000000AF +:0472DC0000000000AE +:0472DD0000000000AD +:0472DE0000000000AC +:0472DF0000000000AB +:0472E00000000000AA +:0472E10000000000A9 +:0472E20000000000A8 +:0472E30000000000A7 +:0472E40000000000A6 +:0472E50000000000A5 +:0472E60000000000A4 +:0472E70000000000A3 +:0472E80000000000A2 +:0472E90000000000A1 +:0472EA0000000000A0 +:0472EB00000000009F +:0472EC00000000009E +:0472ED00000000009D +:0472EE00000000009C +:0472EF00000000009B +:0472F000000000009A +:0472F1000000000099 +:0472F2000000000098 +:0472F3000000000097 +:0472F4000000000096 +:0472F5000000000095 +:0472F6000000000094 +:0472F7000000000093 +:0472F8000000000092 +:0472F9000000000091 +:0472FA000000000090 +:0472FB00000000008F +:0472FC00000000008E +:0472FD00000000008D +:0472FE00000000008C +:0472FF00000000008B +:047300000000000089 +:047301000000000088 +:047302000000000087 +:047303000000000086 +:047304000000000085 +:047305000000000084 +:047306000000000083 +:047307000000000082 +:047308000000000081 +:047309000000000080 +:04730A00000000007F +:04730B00000000007E +:04730C00000000007D +:04730D00000000007C +:04730E00000000007B +:04730F00000000007A +:047310000000000079 +:047311000000000078 +:047312000000000077 +:047313000000000076 +:047314000000000075 +:047315000000000074 +:047316000000000073 +:047317000000000072 +:047318000000000071 +:047319000000000070 +:04731A00000000006F +:04731B00000000006E +:04731C00000000006D +:04731D00000000006C +:04731E00000000006B +:04731F00000000006A +:047320000000000069 +:047321000000000068 +:047322000000000067 +:047323000000000066 +:047324000000000065 +:047325000000000064 +:047326000000000063 +:047327000000000062 +:047328000000000061 +:047329000000000060 +:04732A00000000005F +:04732B00000000005E +:04732C00000000005D +:04732D00000000005C +:04732E00000000005B +:04732F00000000005A +:047330000000000059 +:047331000000000058 +:047332000000000057 +:047333000000000056 +:047334000000000055 +:047335000000000054 +:047336000000000053 +:047337000000000052 +:047338000000000051 +:047339000000000050 +:04733A00000000004F +:04733B00000000004E +:04733C00000000004D +:04733D00000000004C +:04733E00000000004B +:04733F00000000004A +:047340000000000049 +:047341000000000048 +:047342000000000047 +:047343000000000046 +:047344000000000045 +:047345000000000044 +:047346000000000043 +:047347000000000042 +:047348000000000041 +:047349000000000040 +:04734A00000000003F +:04734B00000000003E +:04734C00000000003D +:04734D00000000003C +:04734E00000000003B +:04734F00000000003A +:047350000000000039 +:047351000000000038 +:047352000000000037 +:047353000000000036 +:047354000000000035 +:047355000000000034 +:047356000000000033 +:047357000000000032 +:047358000000000031 +:047359000000000030 +:04735A00000000002F +:04735B00000000002E +:04735C00000000002D +:04735D00000000002C +:04735E00000000002B +:04735F00000000002A +:047360000000000029 +:047361000000000028 +:047362000000000027 +:047363000000000026 +:047364000000000025 +:047365000000000024 +:047366000000000023 +:047367000000000022 +:047368000000000021 +:047369000000000020 +:04736A00000000001F +:04736B00000000001E +:04736C00000000001D +:04736D00000000001C +:04736E00000000001B +:04736F00000000001A +:047370000000000019 +:047371000000000018 +:047372000000000017 +:047373000000000016 +:047374000000000015 +:047375000000000014 +:047376000000000013 +:047377000000000012 +:047378000000000011 +:047379000000000010 +:04737A00000000000F +:04737B00000000000E +:04737C00000000000D +:04737D00000000000C +:04737E00000000000B +:04737F00000000000A +:047380000000000009 +:047381000000000008 +:047382000000000007 +:047383000000000006 +:047384000000000005 +:047385000000000004 +:047386000000000003 +:047387000000000002 +:047388000000000001 +:047389000000000000 +:04738A0000000000FF +:04738B0000000000FE +:04738C0000000000FD +:04738D0000000000FC +:04738E0000000000FB +:04738F0000000000FA +:0473900000000000F9 +:0473910000000000F8 +:0473920000000000F7 +:0473930000000000F6 +:0473940000000000F5 +:0473950000000000F4 +:0473960000000000F3 +:0473970000000000F2 +:0473980000000000F1 +:0473990000000000F0 +:04739A0000000000EF +:04739B0000000000EE +:04739C0000000000ED +:04739D0000000000EC +:04739E0000000000EB +:04739F0000000000EA +:0473A00000000000E9 +:0473A10000000000E8 +:0473A20000000000E7 +:0473A30000000000E6 +:0473A40000000000E5 +:0473A50000000000E4 +:0473A60000000000E3 +:0473A70000000000E2 +:0473A80000000000E1 +:0473A90000000000E0 +:0473AA0000000000DF +:0473AB0000000000DE +:0473AC0000000000DD +:0473AD0000000000DC +:0473AE0000000000DB +:0473AF0000000000DA +:0473B00000000000D9 +:0473B10000000000D8 +:0473B20000000000D7 +:0473B30000000000D6 +:0473B40000000000D5 +:0473B50000000000D4 +:0473B60000000000D3 +:0473B70000000000D2 +:0473B80000000000D1 +:0473B90000000000D0 +:0473BA0000000000CF +:0473BB0000000000CE +:0473BC0000000000CD +:0473BD0000000000CC +:0473BE0000000000CB +:0473BF0000000000CA +:0473C00000000000C9 +:0473C10000000000C8 +:0473C20000000000C7 +:0473C30000000000C6 +:0473C40000000000C5 +:0473C50000000000C4 +:0473C60000000000C3 +:0473C70000000000C2 +:0473C80000000000C1 +:0473C90000000000C0 +:0473CA0000000000BF +:0473CB0000000000BE +:0473CC0000000000BD +:0473CD0000000000BC +:0473CE0000000000BB +:0473CF0000000000BA +:0473D00000000000B9 +:0473D10000000000B8 +:0473D20000000000B7 +:0473D30000000000B6 +:0473D40000000000B5 +:0473D50000000000B4 +:0473D60000000000B3 +:0473D70000000000B2 +:0473D80000000000B1 +:0473D90000000000B0 +:0473DA0000000000AF +:0473DB0000000000AE +:0473DC0000000000AD +:0473DD0000000000AC +:0473DE0000000000AB +:0473DF0000000000AA +:0473E00000000000A9 +:0473E10000000000A8 +:0473E20000000000A7 +:0473E30000000000A6 +:0473E40000000000A5 +:0473E50000000000A4 +:0473E60000000000A3 +:0473E70000000000A2 +:0473E80000000000A1 +:0473E90000000000A0 +:0473EA00000000009F +:0473EB00000000009E +:0473EC00000000009D +:0473ED00000000009C +:0473EE00000000009B +:0473EF00000000009A +:0473F0000000000099 +:0473F1000000000098 +:0473F2000000000097 +:0473F3000000000096 +:0473F4000000000095 +:0473F5000000000094 +:0473F6000000000093 +:0473F7000000000092 +:0473F8000000000091 +:0473F9000000000090 +:0473FA00000000008F +:0473FB00000000008E +:0473FC00000000008D +:0473FD00000000008C +:0473FE00000000008B +:0473FF00000000008A +:047400000000000088 +:047401000000000087 +:047402000000000086 +:047403000000000085 +:047404000000000084 +:047405000000000083 +:047406000000000082 +:047407000000000081 +:047408000000000080 +:04740900000000007F +:04740A00000000007E +:04740B00000000007D +:04740C00000000007C +:04740D00000000007B +:04740E00000000007A +:04740F000000000079 +:047410000000000078 +:047411000000000077 +:047412000000000076 +:047413000000000075 +:047414000000000074 +:047415000000000073 +:047416000000000072 +:047417000000000071 +:047418000000000070 +:04741900000000006F +:04741A00000000006E +:04741B00000000006D +:04741C00000000006C +:04741D00000000006B +:04741E00000000006A +:04741F000000000069 +:047420000000000068 +:047421000000000067 +:047422000000000066 +:047423000000000065 +:047424000000000064 +:047425000000000063 +:047426000000000062 +:047427000000000061 +:047428000000000060 +:04742900000000005F +:04742A00000000005E +:04742B00000000005D +:04742C00000000005C +:04742D00000000005B +:04742E00000000005A +:04742F000000000059 +:047430000000000058 +:047431000000000057 +:047432000000000056 +:047433000000000055 +:047434000000000054 +:047435000000000053 +:047436000000000052 +:047437000000000051 +:047438000000000050 +:04743900000000004F +:04743A00000000004E +:04743B00000000004D +:04743C00000000004C +:04743D00000000004B +:04743E00000000004A +:04743F000000000049 +:047440000000000048 +:047441000000000047 +:047442000000000046 +:047443000000000045 +:047444000000000044 +:047445000000000043 +:047446000000000042 +:047447000000000041 +:047448000000000040 +:04744900000000003F +:04744A00000000003E +:04744B00000000003D +:04744C00000000003C +:04744D00000000003B +:04744E00000000003A +:04744F000000000039 +:047450000000000038 +:047451000000000037 +:047452000000000036 +:047453000000000035 +:047454000000000034 +:047455000000000033 +:047456000000000032 +:047457000000000031 +:047458000000000030 +:04745900000000002F +:04745A00000000002E +:04745B00000000002D +:04745C00000000002C +:04745D00000000002B +:04745E00000000002A +:04745F000000000029 +:047460000000000028 +:047461000000000027 +:047462000000000026 +:047463000000000025 +:047464000000000024 +:047465000000000023 +:047466000000000022 +:047467000000000021 +:047468000000000020 +:04746900000000001F +:04746A00000000001E +:04746B00000000001D +:04746C00000000001C +:04746D00000000001B +:04746E00000000001A +:04746F000000000019 +:047470000000000018 +:047471000000000017 +:047472000000000016 +:047473000000000015 +:047474000000000014 +:047475000000000013 +:047476000000000012 +:047477000000000011 +:047478000000000010 +:04747900000000000F +:04747A00000000000E +:04747B00000000000D +:04747C00000000000C +:04747D00000000000B +:04747E00000000000A +:04747F000000000009 +:047480000000000008 +:047481000000000007 +:047482000000000006 +:047483000000000005 +:047484000000000004 +:047485000000000003 +:047486000000000002 +:047487000000000001 +:047488000000000000 +:0474890000000000FF +:04748A0000000000FE +:04748B0000000000FD +:04748C0000000000FC +:04748D0000000000FB +:04748E0000000000FA +:04748F0000000000F9 +:0474900000000000F8 +:0474910000000000F7 +:0474920000000000F6 +:0474930000000000F5 +:0474940000000000F4 +:0474950000000000F3 +:0474960000000000F2 +:0474970000000000F1 +:0474980000000000F0 +:0474990000000000EF +:04749A0000000000EE +:04749B0000000000ED +:04749C0000000000EC +:04749D0000000000EB +:04749E0000000000EA +:04749F0000000000E9 +:0474A00000000000E8 +:0474A10000000000E7 +:0474A20000000000E6 +:0474A30000000000E5 +:0474A40000000000E4 +:0474A50000000000E3 +:0474A60000000000E2 +:0474A70000000000E1 +:0474A80000000000E0 +:0474A90000000000DF +:0474AA0000000000DE +:0474AB0000000000DD +:0474AC0000000000DC +:0474AD0000000000DB +:0474AE0000000000DA +:0474AF0000000000D9 +:0474B00000000000D8 +:0474B10000000000D7 +:0474B20000000000D6 +:0474B30000000000D5 +:0474B40000000000D4 +:0474B50000000000D3 +:0474B60000000000D2 +:0474B70000000000D1 +:0474B80000000000D0 +:0474B90000000000CF +:0474BA0000000000CE +:0474BB0000000000CD +:0474BC0000000000CC +:0474BD0000000000CB +:0474BE0000000000CA +:0474BF0000000000C9 +:0474C00000000000C8 +:0474C10000000000C7 +:0474C20000000000C6 +:0474C30000000000C5 +:0474C40000000000C4 +:0474C50000000000C3 +:0474C60000000000C2 +:0474C70000000000C1 +:0474C80000000000C0 +:0474C90000000000BF +:0474CA0000000000BE +:0474CB0000000000BD +:0474CC0000000000BC +:0474CD0000000000BB +:0474CE0000000000BA +:0474CF0000000000B9 +:0474D00000000000B8 +:0474D10000000000B7 +:0474D20000000000B6 +:0474D30000000000B5 +:0474D40000000000B4 +:0474D50000000000B3 +:0474D60000000000B2 +:0474D70000000000B1 +:0474D80000000000B0 +:0474D90000000000AF +:0474DA0000000000AE +:0474DB0000000000AD +:0474DC0000000000AC +:0474DD0000000000AB +:0474DE0000000000AA +:0474DF0000000000A9 +:0474E00000000000A8 +:0474E10000000000A7 +:0474E20000000000A6 +:0474E30000000000A5 +:0474E40000000000A4 +:0474E50000000000A3 +:0474E60000000000A2 +:0474E70000000000A1 +:0474E80000000000A0 +:0474E900000000009F +:0474EA00000000009E +:0474EB00000000009D +:0474EC00000000009C +:0474ED00000000009B +:0474EE00000000009A +:0474EF000000000099 +:0474F0000000000098 +:0474F1000000000097 +:0474F2000000000096 +:0474F3000000000095 +:0474F4000000000094 +:0474F5000000000093 +:0474F6000000000092 +:0474F7000000000091 +:0474F8000000000090 +:0474F900000000008F +:0474FA00000000008E +:0474FB00000000008D +:0474FC00000000008C +:0474FD00000000008B +:0474FE00000000008A +:0474FF000000000089 +:047500000000000087 +:047501000000000086 +:047502000000000085 +:047503000000000084 +:047504000000000083 +:047505000000000082 +:047506000000000081 +:047507000000000080 +:04750800000000007F +:04750900000000007E +:04750A00000000007D +:04750B00000000007C +:04750C00000000007B +:04750D00000000007A +:04750E000000000079 +:04750F000000000078 +:047510000000000077 +:047511000000000076 +:047512000000000075 +:047513000000000074 +:047514000000000073 +:047515000000000072 +:047516000000000071 +:047517000000000070 +:04751800000000006F +:04751900000000006E +:04751A00000000006D +:04751B00000000006C +:04751C00000000006B +:04751D00000000006A +:04751E000000000069 +:04751F000000000068 +:047520000000000067 +:047521000000000066 +:047522000000000065 +:047523000000000064 +:047524000000000063 +:047525000000000062 +:047526000000000061 +:047527000000000060 +:04752800000000005F +:04752900000000005E +:04752A00000000005D +:04752B00000000005C +:04752C00000000005B +:04752D00000000005A +:04752E000000000059 +:04752F000000000058 +:047530000000000057 +:047531000000000056 +:047532000000000055 +:047533000000000054 +:047534000000000053 +:047535000000000052 +:047536000000000051 +:047537000000000050 +:04753800000000004F +:04753900000000004E +:04753A00000000004D +:04753B00000000004C +:04753C00000000004B +:04753D00000000004A +:04753E000000000049 +:04753F000000000048 +:047540000000000047 +:047541000000000046 +:047542000000000045 +:047543000000000044 +:047544000000000043 +:047545000000000042 +:047546000000000041 +:047547000000000040 +:04754800000000003F +:04754900000000003E +:04754A00000000003D +:04754B00000000003C +:04754C00000000003B +:04754D00000000003A +:04754E000000000039 +:04754F000000000038 +:047550000000000037 +:047551000000000036 +:047552000000000035 +:047553000000000034 +:047554000000000033 +:047555000000000032 +:047556000000000031 +:047557000000000030 +:04755800000000002F +:04755900000000002E +:04755A00000000002D +:04755B00000000002C +:04755C00000000002B +:04755D00000000002A +:04755E000000000029 +:04755F000000000028 +:047560000000000027 +:047561000000000026 +:047562000000000025 +:047563000000000024 +:047564000000000023 +:047565000000000022 +:047566000000000021 +:047567000000000020 +:04756800000000001F +:04756900000000001E +:04756A00000000001D +:04756B00000000001C +:04756C00000000001B +:04756D00000000001A +:04756E000000000019 +:04756F000000000018 +:047570000000000017 +:047571000000000016 +:047572000000000015 +:047573000000000014 +:047574000000000013 +:047575000000000012 +:047576000000000011 +:047577000000000010 +:04757800000000000F +:04757900000000000E +:04757A00000000000D +:04757B00000000000C +:04757C00000000000B +:04757D00000000000A +:04757E000000000009 +:04757F000000000008 +:047580000000000007 +:047581000000000006 +:047582000000000005 +:047583000000000004 +:047584000000000003 +:047585000000000002 +:047586000000000001 +:047587000000000000 +:0475880000000000FF +:0475890000000000FE +:04758A0000000000FD +:04758B0000000000FC +:04758C0000000000FB +:04758D0000000000FA +:04758E0000000000F9 +:04758F0000000000F8 +:0475900000000000F7 +:0475910000000000F6 +:0475920000000000F5 +:0475930000000000F4 +:0475940000000000F3 +:0475950000000000F2 +:0475960000000000F1 +:0475970000000000F0 +:0475980000000000EF +:0475990000000000EE +:04759A0000000000ED +:04759B0000000000EC +:04759C0000000000EB +:04759D0000000000EA +:04759E0000000000E9 +:04759F0000000000E8 +:0475A00000000000E7 +:0475A10000000000E6 +:0475A20000000000E5 +:0475A30000000000E4 +:0475A40000000000E3 +:0475A50000000000E2 +:0475A60000000000E1 +:0475A70000000000E0 +:0475A80000000000DF +:0475A90000000000DE +:0475AA0000000000DD +:0475AB0000000000DC +:0475AC0000000000DB +:0475AD0000000000DA +:0475AE0000000000D9 +:0475AF0000000000D8 +:0475B00000000000D7 +:0475B10000000000D6 +:0475B20000000000D5 +:0475B30000000000D4 +:0475B40000000000D3 +:0475B50000000000D2 +:0475B60000000000D1 +:0475B70000000000D0 +:0475B80000000000CF +:0475B90000000000CE +:0475BA0000000000CD +:0475BB0000000000CC +:0475BC0000000000CB +:0475BD0000000000CA +:0475BE0000000000C9 +:0475BF0000000000C8 +:0475C00000000000C7 +:0475C10000000000C6 +:0475C20000000000C5 +:0475C30000000000C4 +:0475C40000000000C3 +:0475C50000000000C2 +:0475C60000000000C1 +:0475C70000000000C0 +:0475C80000000000BF +:0475C90000000000BE +:0475CA0000000000BD +:0475CB0000000000BC +:0475CC0000000000BB +:0475CD0000000000BA +:0475CE0000000000B9 +:0475CF0000000000B8 +:0475D00000000000B7 +:0475D10000000000B6 +:0475D20000000000B5 +:0475D30000000000B4 +:0475D40000000000B3 +:0475D50000000000B2 +:0475D60000000000B1 +:0475D70000000000B0 +:0475D80000000000AF +:0475D90000000000AE +:0475DA0000000000AD +:0475DB0000000000AC +:0475DC0000000000AB +:0475DD0000000000AA +:0475DE0000000000A9 +:0475DF0000000000A8 +:0475E00000000000A7 +:0475E10000000000A6 +:0475E20000000000A5 +:0475E30000000000A4 +:0475E40000000000A3 +:0475E50000000000A2 +:0475E60000000000A1 +:0475E70000000000A0 +:0475E800000000009F +:0475E900000000009E +:0475EA00000000009D +:0475EB00000000009C +:0475EC00000000009B +:0475ED00000000009A +:0475EE000000000099 +:0475EF000000000098 +:0475F0000000000097 +:0475F1000000000096 +:0475F2000000000095 +:0475F3000000000094 +:0475F4000000000093 +:0475F5000000000092 +:0475F6000000000091 +:0475F7000000000090 +:0475F800000000008F +:0475F900000000008E +:0475FA00000000008D +:0475FB00000000008C +:0475FC00000000008B +:0475FD00000000008A +:0475FE000000000089 +:0475FF000000000088 +:047600000000000086 +:047601000000000085 +:047602000000000084 +:047603000000000083 +:047604000000000082 +:047605000000000081 +:047606000000000080 +:04760700000000007F +:04760800000000007E +:04760900000000007D +:04760A00000000007C +:04760B00000000007B +:04760C00000000007A +:04760D000000000079 +:04760E000000000078 +:04760F000000000077 +:047610000000000076 +:047611000000000075 +:047612000000000074 +:047613000000000073 +:047614000000000072 +:047615000000000071 +:047616000000000070 +:04761700000000006F +:04761800000000006E +:04761900000000006D +:04761A00000000006C +:04761B00000000006B +:04761C00000000006A +:04761D000000000069 +:04761E000000000068 +:04761F000000000067 +:047620000000000066 +:047621000000000065 +:047622000000000064 +:047623000000000063 +:047624000000000062 +:047625000000000061 +:047626000000000060 +:04762700000000005F +:04762800000000005E +:04762900000000005D +:04762A00000000005C +:04762B00000000005B +:04762C00000000005A +:04762D000000000059 +:04762E000000000058 +:04762F000000000057 +:047630000000000056 +:047631000000000055 +:047632000000000054 +:047633000000000053 +:047634000000000052 +:047635000000000051 +:047636000000000050 +:04763700000000004F +:04763800000000004E +:04763900000000004D +:04763A00000000004C +:04763B00000000004B +:04763C00000000004A +:04763D000000000049 +:04763E000000000048 +:04763F000000000047 +:047640000000000046 +:047641000000000045 +:047642000000000044 +:047643000000000043 +:047644000000000042 +:047645000000000041 +:047646000000000040 +:04764700000000003F +:04764800000000003E +:04764900000000003D +:04764A00000000003C +:04764B00000000003B +:04764C00000000003A +:04764D000000000039 +:04764E000000000038 +:04764F000000000037 +:047650000000000036 +:047651000000000035 +:047652000000000034 +:047653000000000033 +:047654000000000032 +:047655000000000031 +:047656000000000030 +:04765700000000002F +:04765800000000002E +:04765900000000002D +:04765A00000000002C +:04765B00000000002B +:04765C00000000002A +:04765D000000000029 +:04765E000000000028 +:04765F000000000027 +:047660000000000026 +:047661000000000025 +:047662000000000024 +:047663000000000023 +:047664000000000022 +:047665000000000021 +:047666000000000020 +:04766700000000001F +:04766800000000001E +:04766900000000001D +:04766A00000000001C +:04766B00000000001B +:04766C00000000001A +:04766D000000000019 +:04766E000000000018 +:04766F000000000017 +:047670000000000016 +:047671000000000015 +:047672000000000014 +:047673000000000013 +:047674000000000012 +:047675000000000011 +:047676000000000010 +:04767700000000000F +:04767800000000000E +:04767900000000000D +:04767A00000000000C +:04767B00000000000B +:04767C00000000000A +:04767D000000000009 +:04767E000000000008 +:04767F000000000007 +:047680000000000006 +:047681000000000005 +:047682000000000004 +:047683000000000003 +:047684000000000002 +:047685000000000001 +:047686000000000000 +:0476870000000000FF +:0476880000000000FE +:0476890000000000FD +:04768A0000000000FC +:04768B0000000000FB +:04768C0000000000FA +:04768D0000000000F9 +:04768E0000000000F8 +:04768F0000000000F7 +:0476900000000000F6 +:0476910000000000F5 +:0476920000000000F4 +:0476930000000000F3 +:0476940000000000F2 +:0476950000000000F1 +:0476960000000000F0 +:0476970000000000EF +:0476980000000000EE +:0476990000000000ED +:04769A0000000000EC +:04769B0000000000EB +:04769C0000000000EA +:04769D0000000000E9 +:04769E0000000000E8 +:04769F0000000000E7 +:0476A00000000000E6 +:0476A10000000000E5 +:0476A20000000000E4 +:0476A30000000000E3 +:0476A40000000000E2 +:0476A50000000000E1 +:0476A60000000000E0 +:0476A70000000000DF +:0476A80000000000DE +:0476A90000000000DD +:0476AA0000000000DC +:0476AB0000000000DB +:0476AC0000000000DA +:0476AD0000000000D9 +:0476AE0000000000D8 +:0476AF0000000000D7 +:0476B00000000000D6 +:0476B10000000000D5 +:0476B20000000000D4 +:0476B30000000000D3 +:0476B40000000000D2 +:0476B50000000000D1 +:0476B60000000000D0 +:0476B70000000000CF +:0476B80000000000CE +:0476B90000000000CD +:0476BA0000000000CC +:0476BB0000000000CB +:0476BC0000000000CA +:0476BD0000000000C9 +:0476BE0000000000C8 +:0476BF0000000000C7 +:0476C00000000000C6 +:0476C10000000000C5 +:0476C20000000000C4 +:0476C30000000000C3 +:0476C40000000000C2 +:0476C50000000000C1 +:0476C60000000000C0 +:0476C70000000000BF +:0476C80000000000BE +:0476C90000000000BD +:0476CA0000000000BC +:0476CB0000000000BB +:0476CC0000000000BA +:0476CD0000000000B9 +:0476CE0000000000B8 +:0476CF0000000000B7 +:0476D00000000000B6 +:0476D10000000000B5 +:0476D20000000000B4 +:0476D30000000000B3 +:0476D40000000000B2 +:0476D50000000000B1 +:0476D60000000000B0 +:0476D70000000000AF +:0476D80000000000AE +:0476D90000000000AD +:0476DA0000000000AC +:0476DB0000000000AB +:0476DC0000000000AA +:0476DD0000000000A9 +:0476DE0000000000A8 +:0476DF0000000000A7 +:0476E00000000000A6 +:0476E10000000000A5 +:0476E20000000000A4 +:0476E30000000000A3 +:0476E40000000000A2 +:0476E50000000000A1 +:0476E60000000000A0 +:0476E700000000009F +:0476E800000000009E +:0476E900000000009D +:0476EA00000000009C +:0476EB00000000009B +:0476EC00000000009A +:0476ED000000000099 +:0476EE000000000098 +:0476EF000000000097 +:0476F0000000000096 +:0476F1000000000095 +:0476F2000000000094 +:0476F3000000000093 +:0476F4000000000092 +:0476F5000000000091 +:0476F6000000000090 +:0476F700000000008F +:0476F800000000008E +:0476F900000000008D +:0476FA00000000008C +:0476FB00000000008B +:0476FC00000000008A +:0476FD000000000089 +:0476FE000000000088 +:0476FF000000000087 +:047700000000000085 +:047701000000000084 +:047702000000000083 +:047703000000000082 +:047704000000000081 +:047705000000000080 +:04770600000000007F +:04770700000000007E +:04770800000000007D +:04770900000000007C +:04770A00000000007B +:04770B00000000007A +:04770C000000000079 +:04770D000000000078 +:04770E000000000077 +:04770F000000000076 +:047710000000000075 +:047711000000000074 +:047712000000000073 +:047713000000000072 +:047714000000000071 +:047715000000000070 +:04771600000000006F +:04771700000000006E +:04771800000000006D +:04771900000000006C +:04771A00000000006B +:04771B00000000006A +:04771C000000000069 +:04771D000000000068 +:04771E000000000067 +:04771F000000000066 +:047720000000000065 +:047721000000000064 +:047722000000000063 +:047723000000000062 +:047724000000000061 +:047725000000000060 +:04772600000000005F +:04772700000000005E +:04772800000000005D +:04772900000000005C +:04772A00000000005B +:04772B00000000005A +:04772C000000000059 +:04772D000000000058 +:04772E000000000057 +:04772F000000000056 +:047730000000000055 +:047731000000000054 +:047732000000000053 +:047733000000000052 +:047734000000000051 +:047735000000000050 +:04773600000000004F +:04773700000000004E +:04773800000000004D +:04773900000000004C +:04773A00000000004B +:04773B00000000004A +:04773C000000000049 +:04773D000000000048 +:04773E000000000047 +:04773F000000000046 +:047740000000000045 +:047741000000000044 +:047742000000000043 +:047743000000000042 +:047744000000000041 +:047745000000000040 +:04774600000000003F +:04774700000000003E +:04774800000000003D +:04774900000000003C +:04774A00000000003B +:04774B00000000003A +:04774C000000000039 +:04774D000000000038 +:04774E000000000037 +:04774F000000000036 +:047750000000000035 +:047751000000000034 +:047752000000000033 +:047753000000000032 +:047754000000000031 +:047755000000000030 +:04775600000000002F +:04775700000000002E +:04775800000000002D +:04775900000000002C +:04775A00000000002B +:04775B00000000002A +:04775C000000000029 +:04775D000000000028 +:04775E000000000027 +:04775F000000000026 +:047760000000000025 +:047761000000000024 +:047762000000000023 +:047763000000000022 +:047764000000000021 +:047765000000000020 +:04776600000000001F +:04776700000000001E +:04776800000000001D +:04776900000000001C +:04776A00000000001B +:04776B00000000001A +:04776C000000000019 +:04776D000000000018 +:04776E000000000017 +:04776F000000000016 +:047770000000000015 +:047771000000000014 +:047772000000000013 +:047773000000000012 +:047774000000000011 +:047775000000000010 +:04777600000000000F +:04777700000000000E +:04777800000000000D +:04777900000000000C +:04777A00000000000B +:04777B00000000000A +:04777C000000000009 +:04777D000000000008 +:04777E000000000007 +:04777F000000000006 +:047780000000000005 +:047781000000000004 +:047782000000000003 +:047783000000000002 +:047784000000000001 +:047785000000000000 +:0477860000000000FF +:0477870000000000FE +:0477880000000000FD +:0477890000000000FC +:04778A0000000000FB +:04778B0000000000FA +:04778C0000000000F9 +:04778D0000000000F8 +:04778E0000000000F7 +:04778F0000000000F6 +:0477900000000000F5 +:0477910000000000F4 +:0477920000000000F3 +:0477930000000000F2 +:0477940000000000F1 +:0477950000000000F0 +:0477960000000000EF +:0477970000000000EE +:0477980000000000ED +:0477990000000000EC +:04779A0000000000EB +:04779B0000000000EA +:04779C0000000000E9 +:04779D0000000000E8 +:04779E0000000000E7 +:04779F0000000000E6 +:0477A00000000000E5 +:0477A10000000000E4 +:0477A20000000000E3 +:0477A30000000000E2 +:0477A40000000000E1 +:0477A50000000000E0 +:0477A60000000000DF +:0477A70000000000DE +:0477A80000000000DD +:0477A90000000000DC +:0477AA0000000000DB +:0477AB0000000000DA +:0477AC0000000000D9 +:0477AD0000000000D8 +:0477AE0000000000D7 +:0477AF0000000000D6 +:0477B00000000000D5 +:0477B10000000000D4 +:0477B20000000000D3 +:0477B30000000000D2 +:0477B40000000000D1 +:0477B50000000000D0 +:0477B60000000000CF +:0477B70000000000CE +:0477B80000000000CD +:0477B90000000000CC +:0477BA0000000000CB +:0477BB0000000000CA +:0477BC0000000000C9 +:0477BD0000000000C8 +:0477BE0000000000C7 +:0477BF0000000000C6 +:0477C00000000000C5 +:0477C10000000000C4 +:0477C20000000000C3 +:0477C30000000000C2 +:0477C40000000000C1 +:0477C50000000000C0 +:0477C60000000000BF +:0477C70000000000BE +:0477C80000000000BD +:0477C90000000000BC +:0477CA0000000000BB +:0477CB0000000000BA +:0477CC0000000000B9 +:0477CD0000000000B8 +:0477CE0000000000B7 +:0477CF0000000000B6 +:0477D00000000000B5 +:0477D10000000000B4 +:0477D20000000000B3 +:0477D30000000000B2 +:0477D40000000000B1 +:0477D50000000000B0 +:0477D60000000000AF +:0477D70000000000AE +:0477D80000000000AD +:0477D90000000000AC +:0477DA0000000000AB +:0477DB0000000000AA +:0477DC0000000000A9 +:0477DD0000000000A8 +:0477DE0000000000A7 +:0477DF0000000000A6 +:0477E00000000000A5 +:0477E10000000000A4 +:0477E20000000000A3 +:0477E30000000000A2 +:0477E40000000000A1 +:0477E50000000000A0 +:0477E600000000009F +:0477E700000000009E +:0477E800000000009D +:0477E900000000009C +:0477EA00000000009B +:0477EB00000000009A +:0477EC000000000099 +:0477ED000000000098 +:0477EE000000000097 +:0477EF000000000096 +:0477F0000000000095 +:0477F1000000000094 +:0477F2000000000093 +:0477F3000000000092 +:0477F4000000000091 +:0477F5000000000090 +:0477F600000000008F +:0477F700000000008E +:0477F800000000008D +:0477F900000000008C +:0477FA00000000008B +:0477FB00000000008A +:0477FC000000000089 +:0477FD000000000088 +:0477FE000000000087 +:0477FF000000000086 +:047800000000000084 +:047801000000000083 +:047802000000000082 +:047803000000000081 +:047804000000000080 +:04780500000000007F +:04780600000000007E +:04780700000000007D +:04780800000000007C +:04780900000000007B +:04780A00000000007A +:04780B000000000079 +:04780C000000000078 +:04780D000000000077 +:04780E000000000076 +:04780F000000000075 +:047810000000000074 +:047811000000000073 +:047812000000000072 +:047813000000000071 +:047814000000000070 +:04781500000000006F +:04781600000000006E +:04781700000000006D +:04781800000000006C +:04781900000000006B +:04781A00000000006A +:04781B000000000069 +:04781C000000000068 +:04781D000000000067 +:04781E000000000066 +:04781F000000000065 +:047820000000000064 +:047821000000000063 +:047822000000000062 +:047823000000000061 +:047824000000000060 +:04782500000000005F +:04782600000000005E +:04782700000000005D +:04782800000000005C +:04782900000000005B +:04782A00000000005A +:04782B000000000059 +:04782C000000000058 +:04782D000000000057 +:04782E000000000056 +:04782F000000000055 +:047830000000000054 +:047831000000000053 +:047832000000000052 +:047833000000000051 +:047834000000000050 +:04783500000000004F +:04783600000000004E +:04783700000000004D +:04783800000000004C +:04783900000000004B +:04783A00000000004A +:04783B000000000049 +:04783C000000000048 +:04783D000000000047 +:04783E000000000046 +:04783F000000000045 +:047840000000000044 +:047841000000000043 +:047842000000000042 +:047843000000000041 +:047844000000000040 +:04784500000000003F +:04784600000000003E +:04784700000000003D +:04784800000000003C +:04784900000000003B +:04784A00000000003A +:04784B000000000039 +:04784C000000000038 +:04784D000000000037 +:04784E000000000036 +:04784F000000000035 +:047850000000000034 +:047851000000000033 +:047852000000000032 +:047853000000000031 +:047854000000000030 +:04785500000000002F +:04785600000000002E +:04785700000000002D +:04785800000000002C +:04785900000000002B +:04785A00000000002A +:04785B000000000029 +:04785C000000000028 +:04785D000000000027 +:04785E000000000026 +:04785F000000000025 +:047860000000000024 +:047861000000000023 +:047862000000000022 +:047863000000000021 +:047864000000000020 +:04786500000000001F +:04786600000000001E +:04786700000000001D +:04786800000000001C +:04786900000000001B +:04786A00000000001A +:04786B000000000019 +:04786C000000000018 +:04786D000000000017 +:04786E000000000016 +:04786F000000000015 +:047870000000000014 +:047871000000000013 +:047872000000000012 +:047873000000000011 +:047874000000000010 +:04787500000000000F +:04787600000000000E +:04787700000000000D +:04787800000000000C +:04787900000000000B +:04787A00000000000A +:04787B000000000009 +:04787C000000000008 +:04787D000000000007 +:04787E000000000006 +:04787F000000000005 +:047880000000000004 +:047881000000000003 +:047882000000000002 +:047883000000000001 +:047884000000000000 +:0478850000000000FF +:0478860000000000FE +:0478870000000000FD +:0478880000000000FC +:0478890000000000FB +:04788A0000000000FA +:04788B0000000000F9 +:04788C0000000000F8 +:04788D0000000000F7 +:04788E0000000000F6 +:04788F0000000000F5 +:0478900000000000F4 +:0478910000000000F3 +:0478920000000000F2 +:0478930000000000F1 +:0478940000000000F0 +:0478950000000000EF +:0478960000000000EE +:0478970000000000ED +:0478980000000000EC +:0478990000000000EB +:04789A0000000000EA +:04789B0000000000E9 +:04789C0000000000E8 +:04789D0000000000E7 +:04789E0000000000E6 +:04789F0000000000E5 +:0478A00000000000E4 +:0478A10000000000E3 +:0478A20000000000E2 +:0478A30000000000E1 +:0478A40000000000E0 +:0478A50000000000DF +:0478A60000000000DE +:0478A70000000000DD +:0478A80000000000DC +:0478A90000000000DB +:0478AA0000000000DA +:0478AB0000000000D9 +:0478AC0000000000D8 +:0478AD0000000000D7 +:0478AE0000000000D6 +:0478AF0000000000D5 +:0478B00000000000D4 +:0478B10000000000D3 +:0478B20000000000D2 +:0478B30000000000D1 +:0478B40000000000D0 +:0478B50000000000CF +:0478B60000000000CE +:0478B70000000000CD +:0478B80000000000CC +:0478B90000000000CB +:0478BA0000000000CA +:0478BB0000000000C9 +:0478BC0000000000C8 +:0478BD0000000000C7 +:0478BE0000000000C6 +:0478BF0000000000C5 +:0478C00000000000C4 +:0478C10000000000C3 +:0478C20000000000C2 +:0478C30000000000C1 +:0478C40000000000C0 +:0478C50000000000BF +:0478C60000000000BE +:0478C70000000000BD +:0478C80000000000BC +:0478C90000000000BB +:0478CA0000000000BA +:0478CB0000000000B9 +:0478CC0000000000B8 +:0478CD0000000000B7 +:0478CE0000000000B6 +:0478CF0000000000B5 +:0478D00000000000B4 +:0478D10000000000B3 +:0478D20000000000B2 +:0478D30000000000B1 +:0478D40000000000B0 +:0478D50000000000AF +:0478D60000000000AE +:0478D70000000000AD +:0478D80000000000AC +:0478D90000000000AB +:0478DA0000000000AA +:0478DB0000000000A9 +:0478DC0000000000A8 +:0478DD0000000000A7 +:0478DE0000000000A6 +:0478DF0000000000A5 +:0478E00000000000A4 +:0478E10000000000A3 +:0478E20000000000A2 +:0478E30000000000A1 +:0478E40000000000A0 +:0478E500000000009F +:0478E600000000009E +:0478E700000000009D +:0478E800000000009C +:0478E900000000009B +:0478EA00000000009A +:0478EB000000000099 +:0478EC000000000098 +:0478ED000000000097 +:0478EE000000000096 +:0478EF000000000095 +:0478F0000000000094 +:0478F1000000000093 +:0478F2000000000092 +:0478F3000000000091 +:0478F4000000000090 +:0478F500000000008F +:0478F600000000008E +:0478F700000000008D +:0478F800000000008C +:0478F900000000008B +:0478FA00000000008A +:0478FB000000000089 +:0478FC000000000088 +:0478FD000000000087 +:0478FE000000000086 +:0478FF000000000085 +:047900000000000083 +:047901000000000082 +:047902000000000081 +:047903000000000080 +:04790400000000007F +:04790500000000007E +:04790600000000007D +:04790700000000007C +:04790800000000007B +:04790900000000007A +:04790A000000000079 +:04790B000000000078 +:04790C000000000077 +:04790D000000000076 +:04790E000000000075 +:04790F000000000074 +:047910000000000073 +:047911000000000072 +:047912000000000071 +:047913000000000070 +:04791400000000006F +:04791500000000006E +:04791600000000006D +:04791700000000006C +:04791800000000006B +:04791900000000006A +:04791A000000000069 +:04791B000000000068 +:04791C000000000067 +:04791D000000000066 +:04791E000000000065 +:04791F000000000064 +:047920000000000063 +:047921000000000062 +:047922000000000061 +:047923000000000060 +:04792400000000005F +:04792500000000005E +:04792600000000005D +:04792700000000005C +:04792800000000005B +:04792900000000005A +:04792A000000000059 +:04792B000000000058 +:04792C000000000057 +:04792D000000000056 +:04792E000000000055 +:04792F000000000054 +:047930000000000053 +:047931000000000052 +:047932000000000051 +:047933000000000050 +:04793400000000004F +:04793500000000004E +:04793600000000004D +:04793700000000004C +:04793800000000004B +:04793900000000004A +:04793A000000000049 +:04793B000000000048 +:04793C000000000047 +:04793D000000000046 +:04793E000000000045 +:04793F000000000044 +:047940000000000043 +:047941000000000042 +:047942000000000041 +:047943000000000040 +:04794400000000003F +:04794500000000003E +:04794600000000003D +:04794700000000003C +:04794800000000003B +:04794900000000003A +:04794A000000000039 +:04794B000000000038 +:04794C000000000037 +:04794D000000000036 +:04794E000000000035 +:04794F000000000034 +:047950000000000033 +:047951000000000032 +:047952000000000031 +:047953000000000030 +:04795400000000002F +:04795500000000002E +:04795600000000002D +:04795700000000002C +:04795800000000002B +:04795900000000002A +:04795A000000000029 +:04795B000000000028 +:04795C000000000027 +:04795D000000000026 +:04795E000000000025 +:04795F000000000024 +:047960000000000023 +:047961000000000022 +:047962000000000021 +:047963000000000020 +:04796400000000001F +:04796500000000001E +:04796600000000001D +:04796700000000001C +:04796800000000001B +:04796900000000001A +:04796A000000000019 +:04796B000000000018 +:04796C000000000017 +:04796D000000000016 +:04796E000000000015 +:04796F000000000014 +:047970000000000013 +:047971000000000012 +:047972000000000011 +:047973000000000010 +:04797400000000000F +:04797500000000000E +:04797600000000000D +:04797700000000000C +:04797800000000000B +:04797900000000000A +:04797A000000000009 +:04797B000000000008 +:04797C000000000007 +:04797D000000000006 +:04797E000000000005 +:04797F000000000004 +:047980000000000003 +:047981000000000002 +:047982000000000001 +:047983000000000000 +:0479840000000000FF +:0479850000000000FE +:0479860000000000FD +:0479870000000000FC +:0479880000000000FB +:0479890000000000FA +:04798A0000000000F9 +:04798B0000000000F8 +:04798C0000000000F7 +:04798D0000000000F6 +:04798E0000000000F5 +:04798F0000000000F4 +:0479900000000000F3 +:0479910000000000F2 +:0479920000000000F1 +:0479930000000000F0 +:0479940000000000EF +:0479950000000000EE +:0479960000000000ED +:0479970000000000EC +:0479980000000000EB +:0479990000000000EA +:04799A0000000000E9 +:04799B0000000000E8 +:04799C0000000000E7 +:04799D0000000000E6 +:04799E0000000000E5 +:04799F0000000000E4 +:0479A00000000000E3 +:0479A10000000000E2 +:0479A20000000000E1 +:0479A30000000000E0 +:0479A40000000000DF +:0479A50000000000DE +:0479A60000000000DD +:0479A70000000000DC +:0479A80000000000DB +:0479A90000000000DA +:0479AA0000000000D9 +:0479AB0000000000D8 +:0479AC0000000000D7 +:0479AD0000000000D6 +:0479AE0000000000D5 +:0479AF0000000000D4 +:0479B00000000000D3 +:0479B10000000000D2 +:0479B20000000000D1 +:0479B30000000000D0 +:0479B40000000000CF +:0479B50000000000CE +:0479B60000000000CD +:0479B70000000000CC +:0479B80000000000CB +:0479B90000000000CA +:0479BA0000000000C9 +:0479BB0000000000C8 +:0479BC0000000000C7 +:0479BD0000000000C6 +:0479BE0000000000C5 +:0479BF0000000000C4 +:0479C00000000000C3 +:0479C10000000000C2 +:0479C20000000000C1 +:0479C30000000000C0 +:0479C40000000000BF +:0479C50000000000BE +:0479C60000000000BD +:0479C70000000000BC +:0479C80000000000BB +:0479C90000000000BA +:0479CA0000000000B9 +:0479CB0000000000B8 +:0479CC0000000000B7 +:0479CD0000000000B6 +:0479CE0000000000B5 +:0479CF0000000000B4 +:0479D00000000000B3 +:0479D10000000000B2 +:0479D20000000000B1 +:0479D30000000000B0 +:0479D40000000000AF +:0479D50000000000AE +:0479D60000000000AD +:0479D70000000000AC +:0479D80000000000AB +:0479D90000000000AA +:0479DA0000000000A9 +:0479DB0000000000A8 +:0479DC0000000000A7 +:0479DD0000000000A6 +:0479DE0000000000A5 +:0479DF0000000000A4 +:0479E00000000000A3 +:0479E10000000000A2 +:0479E20000000000A1 +:0479E30000000000A0 +:0479E400000000009F +:0479E500000000009E +:0479E600000000009D +:0479E700000000009C +:0479E800000000009B +:0479E900000000009A +:0479EA000000000099 +:0479EB000000000098 +:0479EC000000000097 +:0479ED000000000096 +:0479EE000000000095 +:0479EF000000000094 +:0479F0000000000093 +:0479F1000000000092 +:0479F2000000000091 +:0479F3000000000090 +:0479F400000000008F +:0479F500000000008E +:0479F600000000008D +:0479F700000000008C +:0479F800000000008B +:0479F900000000008A +:0479FA000000000089 +:0479FB000000000088 +:0479FC000000000087 +:0479FD000000000086 +:0479FE000000000085 +:0479FF000000000084 +:047A00000000000082 +:047A01000000000081 +:047A02000000000080 +:047A0300000000007F +:047A0400000000007E +:047A0500000000007D +:047A0600000000007C +:047A0700000000007B +:047A0800000000007A +:047A09000000000079 +:047A0A000000000078 +:047A0B000000000077 +:047A0C000000000076 +:047A0D000000000075 +:047A0E000000000074 +:047A0F000000000073 +:047A10000000000072 +:047A11000000000071 +:047A12000000000070 +:047A1300000000006F +:047A1400000000006E +:047A1500000000006D +:047A1600000000006C +:047A1700000000006B +:047A1800000000006A +:047A19000000000069 +:047A1A000000000068 +:047A1B000000000067 +:047A1C000000000066 +:047A1D000000000065 +:047A1E000000000064 +:047A1F000000000063 +:047A20000000000062 +:047A21000000000061 +:047A22000000000060 +:047A2300000000005F +:047A2400000000005E +:047A2500000000005D +:047A2600000000005C +:047A2700000000005B +:047A2800000000005A +:047A29000000000059 +:047A2A000000000058 +:047A2B000000000057 +:047A2C000000000056 +:047A2D000000000055 +:047A2E000000000054 +:047A2F000000000053 +:047A30000000000052 +:047A31000000000051 +:047A32000000000050 +:047A3300000000004F +:047A3400000000004E +:047A3500000000004D +:047A3600000000004C +:047A3700000000004B +:047A3800000000004A +:047A39000000000049 +:047A3A000000000048 +:047A3B000000000047 +:047A3C000000000046 +:047A3D000000000045 +:047A3E000000000044 +:047A3F000000000043 +:047A40000000000042 +:047A41000000000041 +:047A42000000000040 +:047A4300000000003F +:047A4400000000003E +:047A4500000000003D +:047A4600000000003C +:047A4700000000003B +:047A4800000000003A +:047A49000000000039 +:047A4A000000000038 +:047A4B000000000037 +:047A4C000000000036 +:047A4D000000000035 +:047A4E000000000034 +:047A4F000000000033 +:047A50000000000032 +:047A51000000000031 +:047A52000000000030 +:047A5300000000002F +:047A5400000000002E +:047A5500000000002D +:047A5600000000002C +:047A5700000000002B +:047A5800000000002A +:047A59000000000029 +:047A5A000000000028 +:047A5B000000000027 +:047A5C000000000026 +:047A5D000000000025 +:047A5E000000000024 +:047A5F000000000023 +:047A60000000000022 +:047A61000000000021 +:047A62000000000020 +:047A6300000000001F +:047A6400000000001E +:047A6500000000001D +:047A6600000000001C +:047A6700000000001B +:047A6800000000001A +:047A69000000000019 +:047A6A000000000018 +:047A6B000000000017 +:047A6C000000000016 +:047A6D000000000015 +:047A6E000000000014 +:047A6F000000000013 +:047A70000000000012 +:047A71000000000011 +:047A72000000000010 +:047A7300000000000F +:047A7400000000000E +:047A7500000000000D +:047A7600000000000C +:047A7700000000000B +:047A7800000000000A +:047A79000000000009 +:047A7A000000000008 +:047A7B000000000007 +:047A7C000000000006 +:047A7D000000000005 +:047A7E000000000004 +:047A7F000000000003 +:047A80000000000002 +:047A81000000000001 +:047A82000000000000 +:047A830000000000FF +:047A840000000000FE +:047A850000000000FD +:047A860000000000FC +:047A870000000000FB +:047A880000000000FA +:047A890000000000F9 +:047A8A0000000000F8 +:047A8B0000000000F7 +:047A8C0000000000F6 +:047A8D0000000000F5 +:047A8E0000000000F4 +:047A8F0000000000F3 +:047A900000000000F2 +:047A910000000000F1 +:047A920000000000F0 +:047A930000000000EF +:047A940000000000EE +:047A950000000000ED +:047A960000000000EC +:047A970000000000EB +:047A980000000000EA +:047A990000000000E9 +:047A9A0000000000E8 +:047A9B0000000000E7 +:047A9C0000000000E6 +:047A9D0000000000E5 +:047A9E0000000000E4 +:047A9F0000000000E3 +:047AA00000000000E2 +:047AA10000000000E1 +:047AA20000000000E0 +:047AA30000000000DF +:047AA40000000000DE +:047AA50000000000DD +:047AA60000000000DC +:047AA70000000000DB +:047AA80000000000DA +:047AA90000000000D9 +:047AAA0000000000D8 +:047AAB0000000000D7 +:047AAC0000000000D6 +:047AAD0000000000D5 +:047AAE0000000000D4 +:047AAF0000000000D3 +:047AB00000000000D2 +:047AB10000000000D1 +:047AB20000000000D0 +:047AB30000000000CF +:047AB40000000000CE +:047AB50000000000CD +:047AB60000000000CC +:047AB70000000000CB +:047AB80000000000CA +:047AB90000000000C9 +:047ABA0000000000C8 +:047ABB0000000000C7 +:047ABC0000000000C6 +:047ABD0000000000C5 +:047ABE0000000000C4 +:047ABF0000000000C3 +:047AC00000000000C2 +:047AC10000000000C1 +:047AC20000000000C0 +:047AC30000000000BF +:047AC40000000000BE +:047AC50000000000BD +:047AC60000000000BC +:047AC70000000000BB +:047AC80000000000BA +:047AC90000000000B9 +:047ACA0000000000B8 +:047ACB0000000000B7 +:047ACC0000000000B6 +:047ACD0000000000B5 +:047ACE0000000000B4 +:047ACF0000000000B3 +:047AD00000000000B2 +:047AD10000000000B1 +:047AD20000000000B0 +:047AD30000000000AF +:047AD40000000000AE +:047AD50000000000AD +:047AD60000000000AC +:047AD70000000000AB +:047AD80000000000AA +:047AD90000000000A9 +:047ADA0000000000A8 +:047ADB0000000000A7 +:047ADC0000000000A6 +:047ADD0000000000A5 +:047ADE0000000000A4 +:047ADF0000000000A3 +:047AE00000000000A2 +:047AE10000000000A1 +:047AE20000000000A0 +:047AE300000000009F +:047AE400000000009E +:047AE500000000009D +:047AE600000000009C +:047AE700000000009B +:047AE800000000009A +:047AE9000000000099 +:047AEA000000000098 +:047AEB000000000097 +:047AEC000000000096 +:047AED000000000095 +:047AEE000000000094 +:047AEF000000000093 +:047AF0000000000092 +:047AF1000000000091 +:047AF2000000000090 +:047AF300000000008F +:047AF400000000008E +:047AF500000000008D +:047AF600000000008C +:047AF700000000008B +:047AF800000000008A +:047AF9000000000089 +:047AFA000000000088 +:047AFB000000000087 +:047AFC000000000086 +:047AFD000000000085 +:047AFE000000000084 +:047AFF000000000083 +:047B00000000000081 +:047B01000000000080 +:047B0200000000007F +:047B0300000000007E +:047B0400000000007D +:047B0500000000007C +:047B0600000000007B +:047B0700000000007A +:047B08000000000079 +:047B09000000000078 +:047B0A000000000077 +:047B0B000000000076 +:047B0C000000000075 +:047B0D000000000074 +:047B0E000000000073 +:047B0F000000000072 +:047B10000000000071 +:047B11000000000070 +:047B1200000000006F +:047B1300000000006E +:047B1400000000006D +:047B1500000000006C +:047B1600000000006B +:047B1700000000006A +:047B18000000000069 +:047B19000000000068 +:047B1A000000000067 +:047B1B000000000066 +:047B1C000000000065 +:047B1D000000000064 +:047B1E000000000063 +:047B1F000000000062 +:047B20000000000061 +:047B21000000000060 +:047B2200000000005F +:047B2300000000005E +:047B2400000000005D +:047B2500000000005C +:047B2600000000005B +:047B2700000000005A +:047B28000000000059 +:047B29000000000058 +:047B2A000000000057 +:047B2B000000000056 +:047B2C000000000055 +:047B2D000000000054 +:047B2E000000000053 +:047B2F000000000052 +:047B30000000000051 +:047B31000000000050 +:047B3200000000004F +:047B3300000000004E +:047B3400000000004D +:047B3500000000004C +:047B3600000000004B +:047B3700000000004A +:047B38000000000049 +:047B39000000000048 +:047B3A000000000047 +:047B3B000000000046 +:047B3C000000000045 +:047B3D000000000044 +:047B3E000000000043 +:047B3F000000000042 +:047B40000000000041 +:047B41000000000040 +:047B4200000000003F +:047B4300000000003E +:047B4400000000003D +:047B4500000000003C +:047B4600000000003B +:047B4700000000003A +:047B48000000000039 +:047B49000000000038 +:047B4A000000000037 +:047B4B000000000036 +:047B4C000000000035 +:047B4D000000000034 +:047B4E000000000033 +:047B4F000000000032 +:047B50000000000031 +:047B51000000000030 +:047B5200000000002F +:047B5300000000002E +:047B5400000000002D +:047B5500000000002C +:047B5600000000002B +:047B5700000000002A +:047B58000000000029 +:047B59000000000028 +:047B5A000000000027 +:047B5B000000000026 +:047B5C000000000025 +:047B5D000000000024 +:047B5E000000000023 +:047B5F000000000022 +:047B60000000000021 +:047B61000000000020 +:047B6200000000001F +:047B6300000000001E +:047B6400000000001D +:047B6500000000001C +:047B6600000000001B +:047B6700000000001A +:047B68000000000019 +:047B69000000000018 +:047B6A000000000017 +:047B6B000000000016 +:047B6C000000000015 +:047B6D000000000014 +:047B6E000000000013 +:047B6F000000000012 +:047B70000000000011 +:047B71000000000010 +:047B7200000000000F +:047B7300000000000E +:047B7400000000000D +:047B7500000000000C +:047B7600000000000B +:047B7700000000000A +:047B78000000000009 +:047B79000000000008 +:047B7A000000000007 +:047B7B000000000006 +:047B7C000000000005 +:047B7D000000000004 +:047B7E000000000003 +:047B7F000000000002 +:047B80000000000001 +:047B81000000000000 +:047B820000000000FF +:047B830000000000FE +:047B840000000000FD +:047B850000000000FC +:047B860000000000FB +:047B870000000000FA +:047B880000000000F9 +:047B890000000000F8 +:047B8A0000000000F7 +:047B8B0000000000F6 +:047B8C0000000000F5 +:047B8D0000000000F4 +:047B8E0000000000F3 +:047B8F0000000000F2 +:047B900000000000F1 +:047B910000000000F0 +:047B920000000000EF +:047B930000000000EE +:047B940000000000ED +:047B950000000000EC +:047B960000000000EB +:047B970000000000EA +:047B980000000000E9 +:047B990000000000E8 +:047B9A0000000000E7 +:047B9B0000000000E6 +:047B9C0000000000E5 +:047B9D0000000000E4 +:047B9E0000000000E3 +:047B9F0000000000E2 +:047BA00000000000E1 +:047BA10000000000E0 +:047BA20000000000DF +:047BA30000000000DE +:047BA40000000000DD +:047BA50000000000DC +:047BA60000000000DB +:047BA70000000000DA +:047BA80000000000D9 +:047BA90000000000D8 +:047BAA0000000000D7 +:047BAB0000000000D6 +:047BAC0000000000D5 +:047BAD0000000000D4 +:047BAE0000000000D3 +:047BAF0000000000D2 +:047BB00000000000D1 +:047BB10000000000D0 +:047BB20000000000CF +:047BB30000000000CE +:047BB40000000000CD +:047BB50000000000CC +:047BB60000000000CB +:047BB70000000000CA +:047BB80000000000C9 +:047BB90000000000C8 +:047BBA0000000000C7 +:047BBB0000000000C6 +:047BBC0000000000C5 +:047BBD0000000000C4 +:047BBE0000000000C3 +:047BBF0000000000C2 +:047BC00000000000C1 +:047BC10000000000C0 +:047BC20000000000BF +:047BC30000000000BE +:047BC40000000000BD +:047BC50000000000BC +:047BC60000000000BB +:047BC70000000000BA +:047BC80000000000B9 +:047BC90000000000B8 +:047BCA0000000000B7 +:047BCB0000000000B6 +:047BCC0000000000B5 +:047BCD0000000000B4 +:047BCE0000000000B3 +:047BCF0000000000B2 +:047BD00000000000B1 +:047BD10000000000B0 +:047BD20000000000AF +:047BD30000000000AE +:047BD40000000000AD +:047BD50000000000AC +:047BD60000000000AB +:047BD70000000000AA +:047BD80000000000A9 +:047BD90000000000A8 +:047BDA0000000000A7 +:047BDB0000000000A6 +:047BDC0000000000A5 +:047BDD0000000000A4 +:047BDE0000000000A3 +:047BDF0000000000A2 +:047BE00000000000A1 +:047BE10000000000A0 +:047BE200000000009F +:047BE300000000009E +:047BE400000000009D +:047BE500000000009C +:047BE600000000009B +:047BE700000000009A +:047BE8000000000099 +:047BE9000000000098 +:047BEA000000000097 +:047BEB000000000096 +:047BEC000000000095 +:047BED000000000094 +:047BEE000000000093 +:047BEF000000000092 +:047BF0000000000091 +:047BF1000000000090 +:047BF200000000008F +:047BF300000000008E +:047BF400000000008D +:047BF500000000008C +:047BF600000000008B +:047BF700000000008A +:047BF8000000000089 +:047BF9000000000088 +:047BFA000000000087 +:047BFB000000000086 +:047BFC000000000085 +:047BFD000000000084 +:047BFE000000000083 +:047BFF000000000082 +:047C00000000000080 +:047C0100000000007F +:047C0200000000007E +:047C0300000000007D +:047C0400000000007C +:047C0500000000007B +:047C0600000000007A +:047C07000000000079 +:047C08000000000078 +:047C09000000000077 +:047C0A000000000076 +:047C0B000000000075 +:047C0C000000000074 +:047C0D000000000073 +:047C0E000000000072 +:047C0F000000000071 +:047C10000000000070 +:047C1100000000006F +:047C1200000000006E +:047C1300000000006D +:047C1400000000006C +:047C1500000000006B +:047C1600000000006A +:047C17000000000069 +:047C18000000000068 +:047C19000000000067 +:047C1A000000000066 +:047C1B000000000065 +:047C1C000000000064 +:047C1D000000000063 +:047C1E000000000062 +:047C1F000000000061 +:047C20000000000060 +:047C2100000000005F +:047C2200000000005E +:047C2300000000005D +:047C2400000000005C +:047C2500000000005B +:047C2600000000005A +:047C27000000000059 +:047C28000000000058 +:047C29000000000057 +:047C2A000000000056 +:047C2B000000000055 +:047C2C000000000054 +:047C2D000000000053 +:047C2E000000000052 +:047C2F000000000051 +:047C30000000000050 +:047C3100000000004F +:047C3200000000004E +:047C3300000000004D +:047C3400000000004C +:047C3500000000004B +:047C3600000000004A +:047C37000000000049 +:047C38000000000048 +:047C39000000000047 +:047C3A000000000046 +:047C3B000000000045 +:047C3C000000000044 +:047C3D000000000043 +:047C3E000000000042 +:047C3F000000000041 +:047C40000000000040 +:047C4100000000003F +:047C4200000000003E +:047C4300000000003D +:047C4400000000003C +:047C4500000000003B +:047C4600000000003A +:047C47000000000039 +:047C48000000000038 +:047C49000000000037 +:047C4A000000000036 +:047C4B000000000035 +:047C4C000000000034 +:047C4D000000000033 +:047C4E000000000032 +:047C4F000000000031 +:047C50000000000030 +:047C5100000000002F +:047C5200000000002E +:047C5300000000002D +:047C5400000000002C +:047C5500000000002B +:047C5600000000002A +:047C57000000000029 +:047C58000000000028 +:047C59000000000027 +:047C5A000000000026 +:047C5B000000000025 +:047C5C000000000024 +:047C5D000000000023 +:047C5E000000000022 +:047C5F000000000021 +:047C60000000000020 +:047C6100000000001F +:047C6200000000001E +:047C6300000000001D +:047C6400000000001C +:047C6500000000001B +:047C6600000000001A +:047C67000000000019 +:047C68000000000018 +:047C69000000000017 +:047C6A000000000016 +:047C6B000000000015 +:047C6C000000000014 +:047C6D000000000013 +:047C6E000000000012 +:047C6F000000000011 +:047C70000000000010 +:047C7100000000000F +:047C7200000000000E +:047C7300000000000D +:047C7400000000000C +:047C7500000000000B +:047C7600000000000A +:047C77000000000009 +:047C78000000000008 +:047C79000000000007 +:047C7A000000000006 +:047C7B000000000005 +:047C7C000000000004 +:047C7D000000000003 +:047C7E000000000002 +:047C7F000000000001 +:047C80000000000000 +:047C810000000000FF +:047C820000000000FE +:047C830000000000FD +:047C840000000000FC +:047C850000000000FB +:047C860000000000FA +:047C870000000000F9 +:047C880000000000F8 +:047C890000000000F7 +:047C8A0000000000F6 +:047C8B0000000000F5 +:047C8C0000000000F4 +:047C8D0000000000F3 +:047C8E0000000000F2 +:047C8F0000000000F1 +:047C900000000000F0 +:047C910000000000EF +:047C920000000000EE +:047C930000000000ED +:047C940000000000EC +:047C950000000000EB +:047C960000000000EA +:047C970000000000E9 +:047C980000000000E8 +:047C990000000000E7 +:047C9A0000000000E6 +:047C9B0000000000E5 +:047C9C0000000000E4 +:047C9D0000000000E3 +:047C9E0000000000E2 +:047C9F0000000000E1 +:047CA00000000000E0 +:047CA10000000000DF +:047CA20000000000DE +:047CA30000000000DD +:047CA40000000000DC +:047CA50000000000DB +:047CA60000000000DA +:047CA70000000000D9 +:047CA80000000000D8 +:047CA90000000000D7 +:047CAA0000000000D6 +:047CAB0000000000D5 +:047CAC0000000000D4 +:047CAD0000000000D3 +:047CAE0000000000D2 +:047CAF0000000000D1 +:047CB00000000000D0 +:047CB10000000000CF +:047CB20000000000CE +:047CB30000000000CD +:047CB40000000000CC +:047CB50000000000CB +:047CB60000000000CA +:047CB70000000000C9 +:047CB80000000000C8 +:047CB90000000000C7 +:047CBA0000000000C6 +:047CBB0000000000C5 +:047CBC0000000000C4 +:047CBD0000000000C3 +:047CBE0000000000C2 +:047CBF0000000000C1 +:047CC00000000000C0 +:047CC10000000000BF +:047CC20000000000BE +:047CC30000000000BD +:047CC40000000000BC +:047CC50000000000BB +:047CC60000000000BA +:047CC70000000000B9 +:047CC80000000000B8 +:047CC90000000000B7 +:047CCA0000000000B6 +:047CCB0000000000B5 +:047CCC0000000000B4 +:047CCD0000000000B3 +:047CCE0000000000B2 +:047CCF0000000000B1 +:047CD00000000000B0 +:047CD10000000000AF +:047CD20000000000AE +:047CD30000000000AD +:047CD40000000000AC +:047CD50000000000AB +:047CD60000000000AA +:047CD70000000000A9 +:047CD80000000000A8 +:047CD90000000000A7 +:047CDA0000000000A6 +:047CDB0000000000A5 +:047CDC0000000000A4 +:047CDD0000000000A3 +:047CDE0000000000A2 +:047CDF0000000000A1 +:047CE00000000000A0 +:047CE100000000009F +:047CE200000000009E +:047CE300000000009D +:047CE400000000009C +:047CE500000000009B +:047CE600000000009A +:047CE7000000000099 +:047CE8000000000098 +:047CE9000000000097 +:047CEA000000000096 +:047CEB000000000095 +:047CEC000000000094 +:047CED000000000093 +:047CEE000000000092 +:047CEF000000000091 +:047CF0000000000090 +:047CF100000000008F +:047CF200000000008E +:047CF300000000008D +:047CF400000000008C +:047CF500000000008B +:047CF600000000008A +:047CF7000000000089 +:047CF8000000000088 +:047CF9000000000087 +:047CFA000000000086 +:047CFB000000000085 +:047CFC000000000084 +:047CFD000000000083 +:047CFE000000000082 +:047CFF000000000081 +:047D0000000000007F +:047D0100000000007E +:047D0200000000007D +:047D0300000000007C +:047D0400000000007B +:047D0500000000007A +:047D06000000000079 +:047D07000000000078 +:047D08000000000077 +:047D09000000000076 +:047D0A000000000075 +:047D0B000000000074 +:047D0C000000000073 +:047D0D000000000072 +:047D0E000000000071 +:047D0F000000000070 +:047D1000000000006F +:047D1100000000006E +:047D1200000000006D +:047D1300000000006C +:047D1400000000006B +:047D1500000000006A +:047D16000000000069 +:047D17000000000068 +:047D18000000000067 +:047D19000000000066 +:047D1A000000000065 +:047D1B000000000064 +:047D1C000000000063 +:047D1D000000000062 +:047D1E000000000061 +:047D1F000000000060 +:047D2000000000005F +:047D2100000000005E +:047D2200000000005D +:047D2300000000005C +:047D2400000000005B +:047D2500000000005A +:047D26000000000059 +:047D27000000000058 +:047D28000000000057 +:047D29000000000056 +:047D2A000000000055 +:047D2B000000000054 +:047D2C000000000053 +:047D2D000000000052 +:047D2E000000000051 +:047D2F000000000050 +:047D3000000000004F +:047D3100000000004E +:047D3200000000004D +:047D3300000000004C +:047D3400000000004B +:047D3500000000004A +:047D36000000000049 +:047D37000000000048 +:047D38000000000047 +:047D39000000000046 +:047D3A000000000045 +:047D3B000000000044 +:047D3C000000000043 +:047D3D000000000042 +:047D3E000000000041 +:047D3F000000000040 +:047D4000000000003F +:047D4100000000003E +:047D4200000000003D +:047D4300000000003C +:047D4400000000003B +:047D4500000000003A +:047D46000000000039 +:047D47000000000038 +:047D48000000000037 +:047D49000000000036 +:047D4A000000000035 +:047D4B000000000034 +:047D4C000000000033 +:047D4D000000000032 +:047D4E000000000031 +:047D4F000000000030 +:047D5000000000002F +:047D5100000000002E +:047D5200000000002D +:047D5300000000002C +:047D5400000000002B +:047D5500000000002A +:047D56000000000029 +:047D57000000000028 +:047D58000000000027 +:047D59000000000026 +:047D5A000000000025 +:047D5B000000000024 +:047D5C000000000023 +:047D5D000000000022 +:047D5E000000000021 +:047D5F000000000020 +:047D6000000000001F +:047D6100000000001E +:047D6200000000001D +:047D6300000000001C +:047D6400000000001B +:047D6500000000001A +:047D66000000000019 +:047D67000000000018 +:047D68000000000017 +:047D69000000000016 +:047D6A000000000015 +:047D6B000000000014 +:047D6C000000000013 +:047D6D000000000012 +:047D6E000000000011 +:047D6F000000000010 +:047D7000000000000F +:047D7100000000000E +:047D7200000000000D +:047D7300000000000C +:047D7400000000000B +:047D7500000000000A +:047D76000000000009 +:047D77000000000008 +:047D78000000000007 +:047D79000000000006 +:047D7A000000000005 +:047D7B000000000004 +:047D7C000000000003 +:047D7D000000000002 +:047D7E000000000001 +:047D7F000000000000 +:047D800000000000FF +:047D810000000000FE +:047D820000000000FD +:047D830000000000FC +:047D840000000000FB +:047D850000000000FA +:047D860000000000F9 +:047D870000000000F8 +:047D880000000000F7 +:047D890000000000F6 +:047D8A0000000000F5 +:047D8B0000000000F4 +:047D8C0000000000F3 +:047D8D0000000000F2 +:047D8E0000000000F1 +:047D8F0000000000F0 +:047D900000000000EF +:047D910000000000EE +:047D920000000000ED +:047D930000000000EC +:047D940000000000EB +:047D950000000000EA +:047D960000000000E9 +:047D970000000000E8 +:047D980000000000E7 +:047D990000000000E6 +:047D9A0000000000E5 +:047D9B0000000000E4 +:047D9C0000000000E3 +:047D9D0000000000E2 +:047D9E0000000000E1 +:047D9F0000000000E0 +:047DA00000000000DF +:047DA10000000000DE +:047DA20000000000DD +:047DA30000000000DC +:047DA40000000000DB +:047DA50000000000DA +:047DA60000000000D9 +:047DA70000000000D8 +:047DA80000000000D7 +:047DA90000000000D6 +:047DAA0000000000D5 +:047DAB0000000000D4 +:047DAC0000000000D3 +:047DAD0000000000D2 +:047DAE0000000000D1 +:047DAF0000000000D0 +:047DB00000000000CF +:047DB10000000000CE +:047DB20000000000CD +:047DB30000000000CC +:047DB40000000000CB +:047DB50000000000CA +:047DB60000000000C9 +:047DB70000000000C8 +:047DB80000000000C7 +:047DB90000000000C6 +:047DBA0000000000C5 +:047DBB0000000000C4 +:047DBC0000000000C3 +:047DBD0000000000C2 +:047DBE0000000000C1 +:047DBF0000000000C0 +:047DC00000000000BF +:047DC10000000000BE +:047DC20000000000BD +:047DC30000000000BC +:047DC40000000000BB +:047DC50000000000BA +:047DC60000000000B9 +:047DC70000000000B8 +:047DC80000000000B7 +:047DC90000000000B6 +:047DCA0000000000B5 +:047DCB0000000000B4 +:047DCC0000000000B3 +:047DCD0000000000B2 +:047DCE0000000000B1 +:047DCF0000000000B0 +:047DD00000000000AF +:047DD10000000000AE +:047DD20000000000AD +:047DD30000000000AC +:047DD40000000000AB +:047DD50000000000AA +:047DD60000000000A9 +:047DD70000000000A8 +:047DD80000000000A7 +:047DD90000000000A6 +:047DDA0000000000A5 +:047DDB0000000000A4 +:047DDC0000000000A3 +:047DDD0000000000A2 +:047DDE0000000000A1 +:047DDF0000000000A0 +:047DE000000000009F +:047DE100000000009E +:047DE200000000009D +:047DE300000000009C +:047DE400000000009B +:047DE500000000009A +:047DE6000000000099 +:047DE7000000000098 +:047DE8000000000097 +:047DE9000000000096 +:047DEA000000000095 +:047DEB000000000094 +:047DEC000000000093 +:047DED000000000092 +:047DEE000000000091 +:047DEF000000000090 +:047DF000000000008F +:047DF100000000008E +:047DF200000000008D +:047DF300000000008C +:047DF400000000008B +:047DF500000000008A +:047DF6000000000089 +:047DF7000000000088 +:047DF8000000000087 +:047DF9000000000086 +:047DFA000000000085 +:047DFB000000000084 +:047DFC000000000083 +:047DFD000000000082 +:047DFE000000000081 +:047DFF000000000080 +:047E0000000000007E +:047E0100000000007D +:047E0200000000007C +:047E0300000000007B +:047E0400000000007A +:047E05000000000079 +:047E06000000000078 +:047E07000000000077 +:047E08000000000076 +:047E09000000000075 +:047E0A000000000074 +:047E0B000000000073 +:047E0C000000000072 +:047E0D000000000071 +:047E0E000000000070 +:047E0F00000000006F +:047E1000000000006E +:047E1100000000006D +:047E1200000000006C +:047E1300000000006B +:047E1400000000006A +:047E15000000000069 +:047E16000000000068 +:047E17000000000067 +:047E18000000000066 +:047E19000000000065 +:047E1A000000000064 +:047E1B000000000063 +:047E1C000000000062 +:047E1D000000000061 +:047E1E000000000060 +:047E1F00000000005F +:047E2000000000005E +:047E2100000000005D +:047E2200000000005C +:047E2300000000005B +:047E2400000000005A +:047E25000000000059 +:047E26000000000058 +:047E27000000000057 +:047E28000000000056 +:047E29000000000055 +:047E2A000000000054 +:047E2B000000000053 +:047E2C000000000052 +:047E2D000000000051 +:047E2E000000000050 +:047E2F00000000004F +:047E3000000000004E +:047E3100000000004D +:047E3200000000004C +:047E3300000000004B +:047E3400000000004A +:047E35000000000049 +:047E36000000000048 +:047E37000000000047 +:047E38000000000046 +:047E39000000000045 +:047E3A000000000044 +:047E3B000000000043 +:047E3C000000000042 +:047E3D000000000041 +:047E3E000000000040 +:047E3F00000000003F +:047E4000000000003E +:047E4100000000003D +:047E4200000000003C +:047E4300000000003B +:047E4400000000003A +:047E45000000000039 +:047E46000000000038 +:047E47000000000037 +:047E48000000000036 +:047E49000000000035 +:047E4A000000000034 +:047E4B000000000033 +:047E4C000000000032 +:047E4D000000000031 +:047E4E000000000030 +:047E4F00000000002F +:047E5000000000002E +:047E5100000000002D +:047E5200000000002C +:047E5300000000002B +:047E5400000000002A +:047E55000000000029 +:047E56000000000028 +:047E57000000000027 +:047E58000000000026 +:047E59000000000025 +:047E5A000000000024 +:047E5B000000000023 +:047E5C000000000022 +:047E5D000000000021 +:047E5E000000000020 +:047E5F00000000001F +:047E6000000000001E +:047E6100000000001D +:047E6200000000001C +:047E6300000000001B +:047E6400000000001A +:047E65000000000019 +:047E66000000000018 +:047E67000000000017 +:047E68000000000016 +:047E69000000000015 +:047E6A000000000014 +:047E6B000000000013 +:047E6C000000000012 +:047E6D000000000011 +:047E6E000000000010 +:047E6F00000000000F +:047E7000000000000E +:047E7100000000000D +:047E7200000000000C +:047E7300000000000B +:047E7400000000000A +:047E75000000000009 +:047E76000000000008 +:047E77000000000007 +:047E78000000000006 +:047E79000000000005 +:047E7A000000000004 +:047E7B000000000003 +:047E7C000000000002 +:047E7D000000000001 +:047E7E000000000000 +:047E7F0000000000FF +:047E800000000000FE +:047E810000000000FD +:047E820000000000FC +:047E830000000000FB +:047E840000000000FA +:047E850000000000F9 +:047E860000000000F8 +:047E870000000000F7 +:047E880000000000F6 +:047E890000000000F5 +:047E8A0000000000F4 +:047E8B0000000000F3 +:047E8C0000000000F2 +:047E8D0000000000F1 +:047E8E0000000000F0 +:047E8F0000000000EF +:047E900000000000EE +:047E910000000000ED +:047E920000000000EC +:047E930000000000EB +:047E940000000000EA +:047E950000000000E9 +:047E960000000000E8 +:047E970000000000E7 +:047E980000000000E6 +:047E990000000000E5 +:047E9A0000000000E4 +:047E9B0000000000E3 +:047E9C0000000000E2 +:047E9D0000000000E1 +:047E9E0000000000E0 +:047E9F0000000000DF +:047EA00000000000DE +:047EA10000000000DD +:047EA20000000000DC +:047EA30000000000DB +:047EA40000000000DA +:047EA50000000000D9 +:047EA60000000000D8 +:047EA70000000000D7 +:047EA80000000000D6 +:047EA90000000000D5 +:047EAA0000000000D4 +:047EAB0000000000D3 +:047EAC0000000000D2 +:047EAD0000000000D1 +:047EAE0000000000D0 +:047EAF0000000000CF +:047EB00000000000CE +:047EB10000000000CD +:047EB20000000000CC +:047EB30000000000CB +:047EB40000000000CA +:047EB50000000000C9 +:047EB60000000000C8 +:047EB70000000000C7 +:047EB80000000000C6 +:047EB90000000000C5 +:047EBA0000000000C4 +:047EBB0000000000C3 +:047EBC0000000000C2 +:047EBD0000000000C1 +:047EBE0000000000C0 +:047EBF0000000000BF +:047EC00000000000BE +:047EC10000000000BD +:047EC20000000000BC +:047EC30000000000BB +:047EC40000000000BA +:047EC50000000000B9 +:047EC60000000000B8 +:047EC70000000000B7 +:047EC80000000000B6 +:047EC90000000000B5 +:047ECA0000000000B4 +:047ECB0000000000B3 +:047ECC0000000000B2 +:047ECD0000000000B1 +:047ECE0000000000B0 +:047ECF0000000000AF +:047ED00000000000AE +:047ED10000000000AD +:047ED20000000000AC +:047ED30000000000AB +:047ED40000000000AA +:047ED50000000000A9 +:047ED60000000000A8 +:047ED70000000000A7 +:047ED80000000000A6 +:047ED90000000000A5 +:047EDA0000000000A4 +:047EDB0000000000A3 +:047EDC0000000000A2 +:047EDD0000000000A1 +:047EDE0000000000A0 +:047EDF00000000009F +:047EE000000000009E +:047EE100000000009D +:047EE200000000009C +:047EE300000000009B +:047EE400000000009A +:047EE5000000000099 +:047EE6000000000098 +:047EE7000000000097 +:047EE8000000000096 +:047EE9000000000095 +:047EEA000000000094 +:047EEB000000000093 +:047EEC000000000092 +:047EED000000000091 +:047EEE000000000090 +:047EEF00000000008F +:047EF000000000008E +:047EF100000000008D +:047EF200000000008C +:047EF300000000008B +:047EF400000000008A +:047EF5000000000089 +:047EF6000000000088 +:047EF7000000000087 +:047EF8000000000086 +:047EF9000000000085 +:047EFA000000000084 +:047EFB000000000083 +:047EFC000000000082 +:047EFD000000000081 +:047EFE000000000080 +:047EFF00000000007F +:047F0000000000007D +:047F0100000000007C +:047F0200000000007B +:047F0300000000007A +:047F04000000000079 +:047F05000000000078 +:047F06000000000077 +:047F07000000000076 +:047F08000000000075 +:047F09000000000074 +:047F0A000000000073 +:047F0B000000000072 +:047F0C000000000071 +:047F0D000000000070 +:047F0E00000000006F +:047F0F00000000006E +:047F1000000000006D +:047F1100000000006C +:047F1200000000006B +:047F1300000000006A +:047F14000000000069 +:047F15000000000068 +:047F16000000000067 +:047F17000000000066 +:047F18000000000065 +:047F19000000000064 +:047F1A000000000063 +:047F1B000000000062 +:047F1C000000000061 +:047F1D000000000060 +:047F1E00000000005F +:047F1F00000000005E +:047F2000000000005D +:047F2100000000005C +:047F2200000000005B +:047F2300000000005A +:047F24000000000059 +:047F25000000000058 +:047F26000000000057 +:047F27000000000056 +:047F28000000000055 +:047F29000000000054 +:047F2A000000000053 +:047F2B000000000052 +:047F2C000000000051 +:047F2D000000000050 +:047F2E00000000004F +:047F2F00000000004E +:047F3000000000004D +:047F3100000000004C +:047F3200000000004B +:047F3300000000004A +:047F34000000000049 +:047F35000000000048 +:047F36000000000047 +:047F37000000000046 +:047F38000000000045 +:047F39000000000044 +:047F3A000000000043 +:047F3B000000000042 +:047F3C000000000041 +:047F3D000000000040 +:047F3E00000000003F +:047F3F00000000003E +:047F4000000000003D +:047F4100000000003C +:047F4200000000003B +:047F4300000000003A +:047F44000000000039 +:047F45000000000038 +:047F46000000000037 +:047F47000000000036 +:047F48000000000035 +:047F49000000000034 +:047F4A000000000033 +:047F4B000000000032 +:047F4C000000000031 +:047F4D000000000030 +:047F4E00000000002F +:047F4F00000000002E +:047F5000000000002D +:047F5100000000002C +:047F5200000000002B +:047F5300000000002A +:047F54000000000029 +:047F55000000000028 +:047F56000000000027 +:047F57000000000026 +:047F58000000000025 +:047F59000000000024 +:047F5A000000000023 +:047F5B000000000022 +:047F5C000000000021 +:047F5D000000000020 +:047F5E00000000001F +:047F5F00000000001E +:047F6000000000001D +:047F6100000000001C +:047F6200000000001B +:047F6300000000001A +:047F64000000000019 +:047F65000000000018 +:047F66000000000017 +:047F67000000000016 +:047F68000000000015 +:047F69000000000014 +:047F6A000000000013 +:047F6B000000000012 +:047F6C000000000011 +:047F6D000000000010 +:047F6E00000000000F +:047F6F00000000000E +:047F7000000000000D +:047F7100000000000C +:047F7200000000000B +:047F7300000000000A +:047F74000000000009 +:047F75000000000008 +:047F76000000000007 +:047F77000000000006 +:047F78000000000005 +:047F79000000000004 +:047F7A000000000003 +:047F7B000000000002 +:047F7C000000000001 +:047F7D000000000000 +:047F7E0000000000FF +:047F7F0000000000FE +:047F800000000000FD +:047F810000000000FC +:047F820000000000FB +:047F830000000000FA +:047F840000000000F9 +:047F850000000000F8 +:047F860000000000F7 +:047F870000000000F6 +:047F880000000000F5 +:047F890000000000F4 +:047F8A0000000000F3 +:047F8B0000000000F2 +:047F8C0000000000F1 +:047F8D0000000000F0 +:047F8E0000000000EF +:047F8F0000000000EE +:047F900000000000ED +:047F910000000000EC +:047F920000000000EB +:047F930000000000EA +:047F940000000000E9 +:047F950000000000E8 +:047F960000000000E7 +:047F970000000000E6 +:047F980000000000E5 +:047F990000000000E4 +:047F9A0000000000E3 +:047F9B0000000000E2 +:047F9C0000000000E1 +:047F9D0000000000E0 +:047F9E0000000000DF +:047F9F0000000000DE +:047FA00000000000DD +:047FA10000000000DC +:047FA20000000000DB +:047FA30000000000DA +:047FA40000000000D9 +:047FA50000000000D8 +:047FA60000000000D7 +:047FA70000000000D6 +:047FA80000000000D5 +:047FA90000000000D4 +:047FAA0000000000D3 +:047FAB0000000000D2 +:047FAC0000000000D1 +:047FAD0000000000D0 +:047FAE0000000000CF +:047FAF0000000000CE +:047FB00000000000CD +:047FB10000000000CC +:047FB20000000000CB +:047FB30000000000CA +:047FB40000000000C9 +:047FB50000000000C8 +:047FB60000000000C7 +:047FB70000000000C6 +:047FB80000000000C5 +:047FB90000000000C4 +:047FBA0000000000C3 +:047FBB0000000000C2 +:047FBC0000000000C1 +:047FBD0000000000C0 +:047FBE0000000000BF +:047FBF0000000000BE +:047FC00000000000BD +:047FC10000000000BC +:047FC20000000000BB +:047FC30000000000BA +:047FC40000000000B9 +:047FC50000000000B8 +:047FC60000000000B7 +:047FC70000000000B6 +:047FC80000000000B5 +:047FC90000000000B4 +:047FCA0000000000B3 +:047FCB0000000000B2 +:047FCC0000000000B1 +:047FCD0000000000B0 +:047FCE0000000000AF +:047FCF0000000000AE +:047FD00000000000AD +:047FD10000000000AC +:047FD20000000000AB +:047FD30000000000AA +:047FD40000000000A9 +:047FD50000000000A8 +:047FD60000000000A7 +:047FD70000000000A6 +:047FD80000000000A5 +:047FD90000000000A4 +:047FDA0000000000A3 +:047FDB0000000000A2 +:047FDC0000000000A1 +:047FDD0000000000A0 +:047FDE00000000009F +:047FDF00000000009E +:047FE000000000009D +:047FE100000000009C +:047FE200000000009B +:047FE300000000009A +:047FE4000000000099 +:047FE5000000000098 +:047FE6000000000097 +:047FE7000000000096 +:047FE8000000000095 +:047FE9000000000094 +:047FEA000000000093 +:047FEB000000000092 +:047FEC000000000091 +:047FED000000000090 +:047FEE00000000008F +:047FEF00000000008E +:047FF000000000008D +:047FF100000000008C +:047FF200000000008B +:047FF300000000008A +:047FF4000000000089 +:047FF5000000000088 +:047FF6000000000087 +:047FF7000000000086 +:047FF8000000000085 +:047FF9000000000084 +:047FFA000000000083 +:047FFB000000000082 +:047FFC000000000081 +:047FFD000000000080 +:047FFE00000000007F +:047FFF00000000007E +:04800000000000007C +:04800100000000007B +:04800200000000007A +:048003000000000079 +:048004000000000078 +:048005000000000077 +:048006000000000076 +:048007000000000075 +:048008000000000074 +:048009000000000073 +:04800A000000000072 +:04800B000000000071 +:04800C000000000070 +:04800D00000000006F +:04800E00000000006E +:04800F00000000006D +:04801000000000006C +:04801100000000006B +:04801200000000006A +:048013000000000069 +:048014000000000068 +:048015000000000067 +:048016000000000066 +:048017000000000065 +:048018000000000064 +:048019000000000063 +:04801A000000000062 +:04801B000000000061 +:04801C000000000060 +:04801D00000000005F +:04801E00000000005E +:04801F00000000005D +:04802000000000005C +:04802100000000005B +:04802200000000005A +:048023000000000059 +:048024000000000058 +:048025000000000057 +:048026000000000056 +:048027000000000055 +:048028000000000054 +:048029000000000053 +:04802A000000000052 +:04802B000000000051 +:04802C000000000050 +:04802D00000000004F +:04802E00000000004E +:04802F00000000004D +:04803000000000004C +:04803100000000004B +:04803200000000004A +:048033000000000049 +:048034000000000048 +:048035000000000047 +:048036000000000046 +:048037000000000045 +:048038000000000044 +:048039000000000043 +:04803A000000000042 +:04803B000000000041 +:04803C000000000040 +:04803D00000000003F +:04803E00000000003E +:04803F00000000003D +:04804000000000003C +:04804100000000003B +:04804200000000003A +:048043000000000039 +:048044000000000038 +:048045000000000037 +:048046000000000036 +:048047000000000035 +:048048000000000034 +:048049000000000033 +:04804A000000000032 +:04804B000000000031 +:04804C000000000030 +:04804D00000000002F +:04804E00000000002E +:04804F00000000002D +:04805000000000002C +:04805100000000002B +:04805200000000002A +:048053000000000029 +:048054000000000028 +:048055000000000027 +:048056000000000026 +:048057000000000025 +:048058000000000024 +:048059000000000023 +:04805A000000000022 +:04805B000000000021 +:04805C000000000020 +:04805D00000000001F +:04805E00000000001E +:04805F00000000001D +:04806000000000001C +:04806100000000001B +:04806200000000001A +:048063000000000019 +:048064000000000018 +:048065000000000017 +:048066000000000016 +:048067000000000015 +:048068000000000014 +:048069000000000013 +:04806A000000000012 +:04806B000000000011 +:04806C000000000010 +:04806D00000000000F +:04806E00000000000E +:04806F00000000000D +:04807000000000000C +:04807100000000000B +:04807200000000000A +:048073000000000009 +:048074000000000008 +:048075000000000007 +:048076000000000006 +:048077000000000005 +:048078000000000004 +:048079000000000003 +:04807A000000000002 +:04807B000000000001 +:04807C000000000000 +:04807D0000000000FF +:04807E0000000000FE +:04807F0000000000FD +:0480800000000000FC +:0480810000000000FB +:0480820000000000FA +:0480830000000000F9 +:0480840000000000F8 +:0480850000000000F7 +:0480860000000000F6 +:0480870000000000F5 +:0480880000000000F4 +:0480890000000000F3 +:04808A0000000000F2 +:04808B0000000000F1 +:04808C0000000000F0 +:04808D0000000000EF +:04808E0000000000EE +:04808F0000000000ED +:0480900000000000EC +:0480910000000000EB +:0480920000000000EA +:0480930000000000E9 +:0480940000000000E8 +:0480950000000000E7 +:0480960000000000E6 +:0480970000000000E5 +:0480980000000000E4 +:0480990000000000E3 +:04809A0000000000E2 +:04809B0000000000E1 +:04809C0000000000E0 +:04809D0000000000DF +:04809E0000000000DE +:04809F0000000000DD +:0480A00000000000DC +:0480A10000000000DB +:0480A20000000000DA +:0480A30000000000D9 +:0480A40000000000D8 +:0480A50000000000D7 +:0480A60000000000D6 +:0480A70000000000D5 +:0480A80000000000D4 +:0480A90000000000D3 +:0480AA0000000000D2 +:0480AB0000000000D1 +:0480AC0000000000D0 +:0480AD0000000000CF +:0480AE0000000000CE +:0480AF0000000000CD +:0480B00000000000CC +:0480B10000000000CB +:0480B20000000000CA +:0480B30000000000C9 +:0480B40000000000C8 +:0480B50000000000C7 +:0480B60000000000C6 +:0480B70000000000C5 +:0480B80000000000C4 +:0480B90000000000C3 +:0480BA0000000000C2 +:0480BB0000000000C1 +:0480BC0000000000C0 +:0480BD0000000000BF +:0480BE0000000000BE +:0480BF0000000000BD +:0480C00000000000BC +:0480C10000000000BB +:0480C20000000000BA +:0480C30000000000B9 +:0480C40000000000B8 +:0480C50000000000B7 +:0480C60000000000B6 +:0480C70000000000B5 +:0480C80000000000B4 +:0480C90000000000B3 +:0480CA0000000000B2 +:0480CB0000000000B1 +:0480CC0000000000B0 +:0480CD0000000000AF +:0480CE0000000000AE +:0480CF0000000000AD +:0480D00000000000AC +:0480D10000000000AB +:0480D20000000000AA +:0480D30000000000A9 +:0480D40000000000A8 +:0480D50000000000A7 +:0480D60000000000A6 +:0480D70000000000A5 +:0480D80000000000A4 +:0480D90000000000A3 +:0480DA0000000000A2 +:0480DB0000000000A1 +:0480DC0000000000A0 +:0480DD00000000009F +:0480DE00000000009E +:0480DF00000000009D +:0480E000000000009C +:0480E100000000009B +:0480E200000000009A +:0480E3000000000099 +:0480E4000000000098 +:0480E5000000000097 +:0480E6000000000096 +:0480E7000000000095 +:0480E8000000000094 +:0480E9000000000093 +:0480EA000000000092 +:0480EB000000000091 +:0480EC000000000090 +:0480ED00000000008F +:0480EE00000000008E +:0480EF00000000008D +:0480F000000000008C +:0480F100000000008B +:0480F200000000008A +:0480F3000000000089 +:0480F4000000000088 +:0480F5000000000087 +:0480F6000000000086 +:0480F7000000000085 +:0480F8000000000084 +:0480F9000000000083 +:0480FA000000000082 +:0480FB000000000081 +:0480FC000000000080 +:0480FD00000000007F +:0480FE00000000007E +:0480FF00000000007D +:04810000000000007B +:04810100000000007A +:048102000000000079 +:048103000000000078 +:048104000000000077 +:048105000000000076 +:048106000000000075 +:048107000000000074 +:048108000000000073 +:048109000000000072 +:04810A000000000071 +:04810B000000000070 +:04810C00000000006F +:04810D00000000006E +:04810E00000000006D +:04810F00000000006C +:04811000000000006B +:04811100000000006A +:048112000000000069 +:048113000000000068 +:048114000000000067 +:048115000000000066 +:048116000000000065 +:048117000000000064 +:048118000000000063 +:048119000000000062 +:04811A000000000061 +:04811B000000000060 +:04811C00000000005F +:04811D00000000005E +:04811E00000000005D +:04811F00000000005C +:04812000000000005B +:04812100000000005A +:048122000000000059 +:048123000000000058 +:048124000000000057 +:048125000000000056 +:048126000000000055 +:048127000000000054 +:048128000000000053 +:048129000000000052 +:04812A000000000051 +:04812B000000000050 +:04812C00000000004F +:04812D00000000004E +:04812E00000000004D +:04812F00000000004C +:04813000000000004B +:04813100000000004A +:048132000000000049 +:048133000000000048 +:048134000000000047 +:048135000000000046 +:048136000000000045 +:048137000000000044 +:048138000000000043 +:048139000000000042 +:04813A000000000041 +:04813B000000000040 +:04813C00000000003F +:04813D00000000003E +:04813E00000000003D +:04813F00000000003C +:04814000000000003B +:04814100000000003A +:048142000000000039 +:048143000000000038 +:048144000000000037 +:048145000000000036 +:048146000000000035 +:048147000000000034 +:048148000000000033 +:048149000000000032 +:04814A000000000031 +:04814B000000000030 +:04814C00000000002F +:04814D00000000002E +:04814E00000000002D +:04814F00000000002C +:04815000000000002B +:04815100000000002A +:048152000000000029 +:048153000000000028 +:048154000000000027 +:048155000000000026 +:048156000000000025 +:048157000000000024 +:048158000000000023 +:048159000000000022 +:04815A000000000021 +:04815B000000000020 +:04815C00000000001F +:04815D00000000001E +:04815E00000000001D +:04815F00000000001C +:04816000000000001B +:04816100000000001A +:048162000000000019 +:048163000000000018 +:048164000000000017 +:048165000000000016 +:048166000000000015 +:048167000000000014 +:048168000000000013 +:048169000000000012 +:04816A000000000011 +:04816B000000000010 +:04816C00000000000F +:04816D00000000000E +:04816E00000000000D +:04816F00000000000C +:04817000000000000B +:04817100000000000A +:048172000000000009 +:048173000000000008 +:048174000000000007 +:048175000000000006 +:048176000000000005 +:048177000000000004 +:048178000000000003 +:048179000000000002 +:04817A000000000001 +:04817B000000000000 +:04817C0000000000FF +:04817D0000000000FE +:04817E0000000000FD +:04817F0000000000FC +:0481800000000000FB +:0481810000000000FA +:0481820000000000F9 +:0481830000000000F8 +:0481840000000000F7 +:0481850000000000F6 +:0481860000000000F5 +:0481870000000000F4 +:0481880000000000F3 +:0481890000000000F2 +:04818A0000000000F1 +:04818B0000000000F0 +:04818C0000000000EF +:04818D0000000000EE +:04818E0000000000ED +:04818F0000000000EC +:0481900000000000EB +:0481910000000000EA +:0481920000000000E9 +:0481930000000000E8 +:0481940000000000E7 +:0481950000000000E6 +:0481960000000000E5 +:0481970000000000E4 +:0481980000000000E3 +:0481990000000000E2 +:04819A0000000000E1 +:04819B0000000000E0 +:04819C0000000000DF +:04819D0000000000DE +:04819E0000000000DD +:04819F0000000000DC +:0481A00000000000DB +:0481A10000000000DA +:0481A20000000000D9 +:0481A30000000000D8 +:0481A40000000000D7 +:0481A50000000000D6 +:0481A60000000000D5 +:0481A70000000000D4 +:0481A80000000000D3 +:0481A90000000000D2 +:0481AA0000000000D1 +:0481AB0000000000D0 +:0481AC0000000000CF +:0481AD0000000000CE +:0481AE0000000000CD +:0481AF0000000000CC +:0481B00000000000CB +:0481B10000000000CA +:0481B20000000000C9 +:0481B30000000000C8 +:0481B40000000000C7 +:0481B50000000000C6 +:0481B60000000000C5 +:0481B70000000000C4 +:0481B80000000000C3 +:0481B90000000000C2 +:0481BA0000000000C1 +:0481BB0000000000C0 +:0481BC0000000000BF +:0481BD0000000000BE +:0481BE0000000000BD +:0481BF0000000000BC +:0481C00000000000BB +:0481C10000000000BA +:0481C20000000000B9 +:0481C30000000000B8 +:0481C40000000000B7 +:0481C50000000000B6 +:0481C60000000000B5 +:0481C70000000000B4 +:0481C80000000000B3 +:0481C90000000000B2 +:0481CA0000000000B1 +:0481CB0000000000B0 +:0481CC0000000000AF +:0481CD0000000000AE +:0481CE0000000000AD +:0481CF0000000000AC +:0481D00000000000AB +:0481D10000000000AA +:0481D20000000000A9 +:0481D30000000000A8 +:0481D40000000000A7 +:0481D50000000000A6 +:0481D60000000000A5 +:0481D70000000000A4 +:0481D80000000000A3 +:0481D90000000000A2 +:0481DA0000000000A1 +:0481DB0000000000A0 +:0481DC00000000009F +:0481DD00000000009E +:0481DE00000000009D +:0481DF00000000009C +:0481E000000000009B +:0481E100000000009A +:0481E2000000000099 +:0481E3000000000098 +:0481E4000000000097 +:0481E5000000000096 +:0481E6000000000095 +:0481E7000000000094 +:0481E8000000000093 +:0481E9000000000092 +:0481EA000000000091 +:0481EB000000000090 +:0481EC00000000008F +:0481ED00000000008E +:0481EE00000000008D +:0481EF00000000008C +:0481F000000000008B +:0481F100000000008A +:0481F2000000000089 +:0481F3000000000088 +:0481F4000000000087 +:0481F5000000000086 +:0481F6000000000085 +:0481F7000000000084 +:0481F8000000000083 +:0481F9000000000082 +:0481FA000000000081 +:0481FB000000000080 +:0481FC00000000007F +:0481FD00000000007E +:0481FE00000000007D +:0481FF00000000007C +:04820000000000007A +:048201000000000079 +:048202000000000078 +:048203000000000077 +:048204000000000076 +:048205000000000075 +:048206000000000074 +:048207000000000073 +:048208000000000072 +:048209000000000071 +:04820A000000000070 +:04820B00000000006F +:04820C00000000006E +:04820D00000000006D +:04820E00000000006C +:04820F00000000006B +:04821000000000006A +:048211000000000069 +:048212000000000068 +:048213000000000067 +:048214000000000066 +:048215000000000065 +:048216000000000064 +:048217000000000063 +:048218000000000062 +:048219000000000061 +:04821A000000000060 +:04821B00000000005F +:04821C00000000005E +:04821D00000000005D +:04821E00000000005C +:04821F00000000005B +:04822000000000005A +:048221000000000059 +:048222000000000058 +:048223000000000057 +:048224000000000056 +:048225000000000055 +:048226000000000054 +:048227000000000053 +:048228000000000052 +:048229000000000051 +:04822A000000000050 +:04822B00000000004F +:04822C00000000004E +:04822D00000000004D +:04822E00000000004C +:04822F00000000004B +:04823000000000004A +:048231000000000049 +:048232000000000048 +:048233000000000047 +:048234000000000046 +:048235000000000045 +:048236000000000044 +:048237000000000043 +:048238000000000042 +:048239000000000041 +:04823A000000000040 +:04823B00000000003F +:04823C00000000003E +:04823D00000000003D +:04823E00000000003C +:04823F00000000003B +:04824000000000003A +:048241000000000039 +:048242000000000038 +:048243000000000037 +:048244000000000036 +:048245000000000035 +:048246000000000034 +:048247000000000033 +:048248000000000032 +:048249000000000031 +:04824A000000000030 +:04824B00000000002F +:04824C00000000002E +:04824D00000000002D +:04824E00000000002C +:04824F00000000002B +:04825000000000002A +:048251000000000029 +:048252000000000028 +:048253000000000027 +:048254000000000026 +:048255000000000025 +:048256000000000024 +:048257000000000023 +:048258000000000022 +:048259000000000021 +:04825A000000000020 +:04825B00000000001F +:04825C00000000001E +:04825D00000000001D +:04825E00000000001C +:04825F00000000001B +:04826000000000001A +:048261000000000019 +:048262000000000018 +:048263000000000017 +:048264000000000016 +:048265000000000015 +:048266000000000014 +:048267000000000013 +:048268000000000012 +:048269000000000011 +:04826A000000000010 +:04826B00000000000F +:04826C00000000000E +:04826D00000000000D +:04826E00000000000C +:04826F00000000000B +:04827000000000000A +:048271000000000009 +:048272000000000008 +:048273000000000007 +:048274000000000006 +:048275000000000005 +:048276000000000004 +:048277000000000003 +:048278000000000002 +:048279000000000001 +:04827A000000000000 +:04827B0000000000FF +:04827C0000000000FE +:04827D0000000000FD +:04827E0000000000FC +:04827F0000000000FB +:0482800000000000FA +:0482810000000000F9 +:0482820000000000F8 +:0482830000000000F7 +:0482840000000000F6 +:0482850000000000F5 +:0482860000000000F4 +:0482870000000000F3 +:0482880000000000F2 +:0482890000000000F1 +:04828A0000000000F0 +:04828B0000000000EF +:04828C0000000000EE +:04828D0000000000ED +:04828E0000000000EC +:04828F0000000000EB +:0482900000000000EA +:0482910000000000E9 +:0482920000000000E8 +:0482930000000000E7 +:0482940000000000E6 +:0482950000000000E5 +:0482960000000000E4 +:0482970000000000E3 +:0482980000000000E2 +:0482990000000000E1 +:04829A0000000000E0 +:04829B0000000000DF +:04829C0000000000DE +:04829D0000000000DD +:04829E0000000000DC +:04829F0000000000DB +:0482A00000000000DA +:0482A10000000000D9 +:0482A20000000000D8 +:0482A30000000000D7 +:0482A40000000000D6 +:0482A50000000000D5 +:0482A60000000000D4 +:0482A70000000000D3 +:0482A80000000000D2 +:0482A90000000000D1 +:0482AA0000000000D0 +:0482AB0000000000CF +:0482AC0000000000CE +:0482AD0000000000CD +:0482AE0000000000CC +:0482AF0000000000CB +:0482B00000000000CA +:0482B10000000000C9 +:0482B20000000000C8 +:0482B30000000000C7 +:0482B40000000000C6 +:0482B50000000000C5 +:0482B60000000000C4 +:0482B70000000000C3 +:0482B80000000000C2 +:0482B90000000000C1 +:0482BA0000000000C0 +:0482BB0000000000BF +:0482BC0000000000BE +:0482BD0000000000BD +:0482BE0000000000BC +:0482BF0000000000BB +:0482C00000000000BA +:0482C10000000000B9 +:0482C20000000000B8 +:0482C30000000000B7 +:0482C40000000000B6 +:0482C50000000000B5 +:0482C60000000000B4 +:0482C70000000000B3 +:0482C80000000000B2 +:0482C90000000000B1 +:0482CA0000000000B0 +:0482CB0000000000AF +:0482CC0000000000AE +:0482CD0000000000AD +:0482CE0000000000AC +:0482CF0000000000AB +:0482D00000000000AA +:0482D10000000000A9 +:0482D20000000000A8 +:0482D30000000000A7 +:0482D40000000000A6 +:0482D50000000000A5 +:0482D60000000000A4 +:0482D70000000000A3 +:0482D80000000000A2 +:0482D90000000000A1 +:0482DA0000000000A0 +:0482DB00000000009F +:0482DC00000000009E +:0482DD00000000009D +:0482DE00000000009C +:0482DF00000000009B +:0482E000000000009A +:0482E1000000000099 +:0482E2000000000098 +:0482E3000000000097 +:0482E4000000000096 +:0482E5000000000095 +:0482E6000000000094 +:0482E7000000000093 +:0482E8000000000092 +:0482E9000000000091 +:0482EA000000000090 +:0482EB00000000008F +:0482EC00000000008E +:0482ED00000000008D +:0482EE00000000008C +:0482EF00000000008B +:0482F000000000008A +:0482F1000000000089 +:0482F2000000000088 +:0482F3000000000087 +:0482F4000000000086 +:0482F5000000000085 +:0482F6000000000084 +:0482F7000000000083 +:0482F8000000000082 +:0482F9000000000081 +:0482FA000000000080 +:0482FB00000000007F +:0482FC00000000007E +:0482FD00000000007D +:0482FE00000000007C +:0482FF00000000007B +:048300000000000079 +:048301000000000078 +:048302000000000077 +:048303000000000076 +:048304000000000075 +:048305000000000074 +:048306000000000073 +:048307000000000072 +:048308000000000071 +:048309000000000070 +:04830A00000000006F +:04830B00000000006E +:04830C00000000006D +:04830D00000000006C +:04830E00000000006B +:04830F00000000006A +:048310000000000069 +:048311000000000068 +:048312000000000067 +:048313000000000066 +:048314000000000065 +:048315000000000064 +:048316000000000063 +:048317000000000062 +:048318000000000061 +:048319000000000060 +:04831A00000000005F +:04831B00000000005E +:04831C00000000005D +:04831D00000000005C +:04831E00000000005B +:04831F00000000005A +:048320000000000059 +:048321000000000058 +:048322000000000057 +:048323000000000056 +:048324000000000055 +:048325000000000054 +:048326000000000053 +:048327000000000052 +:048328000000000051 +:048329000000000050 +:04832A00000000004F +:04832B00000000004E +:04832C00000000004D +:04832D00000000004C +:04832E00000000004B +:04832F00000000004A +:048330000000000049 +:048331000000000048 +:048332000000000047 +:048333000000000046 +:048334000000000045 +:048335000000000044 +:048336000000000043 +:048337000000000042 +:048338000000000041 +:048339000000000040 +:04833A00000000003F +:04833B00000000003E +:04833C00000000003D +:04833D00000000003C +:04833E00000000003B +:04833F00000000003A +:048340000000000039 +:048341000000000038 +:048342000000000037 +:048343000000000036 +:048344000000000035 +:048345000000000034 +:048346000000000033 +:048347000000000032 +:048348000000000031 +:048349000000000030 +:04834A00000000002F +:04834B00000000002E +:04834C00000000002D +:04834D00000000002C +:04834E00000000002B +:04834F00000000002A +:048350000000000029 +:048351000000000028 +:048352000000000027 +:048353000000000026 +:048354000000000025 +:048355000000000024 +:048356000000000023 +:048357000000000022 +:048358000000000021 +:048359000000000020 +:04835A00000000001F +:04835B00000000001E +:04835C00000000001D +:04835D00000000001C +:04835E00000000001B +:04835F00000000001A +:048360000000000019 +:048361000000000018 +:048362000000000017 +:048363000000000016 +:048364000000000015 +:048365000000000014 +:048366000000000013 +:048367000000000012 +:048368000000000011 +:048369000000000010 +:04836A00000000000F +:04836B00000000000E +:04836C00000000000D +:04836D00000000000C +:04836E00000000000B +:04836F00000000000A +:048370000000000009 +:048371000000000008 +:048372000000000007 +:048373000000000006 +:048374000000000005 +:048375000000000004 +:048376000000000003 +:048377000000000002 +:048378000000000001 +:048379000000000000 +:04837A0000000000FF +:04837B0000000000FE +:04837C0000000000FD +:04837D0000000000FC +:04837E0000000000FB +:04837F0000000000FA +:0483800000000000F9 +:0483810000000000F8 +:0483820000000000F7 +:0483830000000000F6 +:0483840000000000F5 +:0483850000000000F4 +:0483860000000000F3 +:0483870000000000F2 +:0483880000000000F1 +:0483890000000000F0 +:04838A0000000000EF +:04838B0000000000EE +:04838C0000000000ED +:04838D0000000000EC +:04838E0000000000EB +:04838F0000000000EA +:0483900000000000E9 +:0483910000000000E8 +:0483920000000000E7 +:0483930000000000E6 +:0483940000000000E5 +:0483950000000000E4 +:0483960000000000E3 +:0483970000000000E2 +:0483980000000000E1 +:0483990000000000E0 +:04839A0000000000DF +:04839B0000000000DE +:04839C0000000000DD +:04839D0000000000DC +:04839E0000000000DB +:04839F0000000000DA +:0483A00000000000D9 +:0483A10000000000D8 +:0483A20000000000D7 +:0483A30000000000D6 +:0483A40000000000D5 +:0483A50000000000D4 +:0483A60000000000D3 +:0483A70000000000D2 +:0483A80000000000D1 +:0483A90000000000D0 +:0483AA0000000000CF +:0483AB0000000000CE +:0483AC0000000000CD +:0483AD0000000000CC +:0483AE0000000000CB +:0483AF0000000000CA +:0483B00000000000C9 +:0483B10000000000C8 +:0483B20000000000C7 +:0483B30000000000C6 +:0483B40000000000C5 +:0483B50000000000C4 +:0483B60000000000C3 +:0483B70000000000C2 +:0483B80000000000C1 +:0483B90000000000C0 +:0483BA0000000000BF +:0483BB0000000000BE +:0483BC0000000000BD +:0483BD0000000000BC +:0483BE0000000000BB +:0483BF0000000000BA +:0483C00000000000B9 +:0483C10000000000B8 +:0483C20000000000B7 +:0483C30000000000B6 +:0483C40000000000B5 +:0483C50000000000B4 +:0483C60000000000B3 +:0483C70000000000B2 +:0483C80000000000B1 +:0483C90000000000B0 +:0483CA0000000000AF +:0483CB0000000000AE +:0483CC0000000000AD +:0483CD0000000000AC +:0483CE0000000000AB +:0483CF0000000000AA +:0483D00000000000A9 +:0483D10000000000A8 +:0483D20000000000A7 +:0483D30000000000A6 +:0483D40000000000A5 +:0483D50000000000A4 +:0483D60000000000A3 +:0483D70000000000A2 +:0483D80000000000A1 +:0483D90000000000A0 +:0483DA00000000009F +:0483DB00000000009E +:0483DC00000000009D +:0483DD00000000009C +:0483DE00000000009B +:0483DF00000000009A +:0483E0000000000099 +:0483E1000000000098 +:0483E2000000000097 +:0483E3000000000096 +:0483E4000000000095 +:0483E5000000000094 +:0483E6000000000093 +:0483E7000000000092 +:0483E8000000000091 +:0483E9000000000090 +:0483EA00000000008F +:0483EB00000000008E +:0483EC00000000008D +:0483ED00000000008C +:0483EE00000000008B +:0483EF00000000008A +:0483F0000000000089 +:0483F1000000000088 +:0483F2000000000087 +:0483F3000000000086 +:0483F4000000000085 +:0483F5000000000084 +:0483F6000000000083 +:0483F7000000000082 +:0483F8000000000081 +:0483F9000000000080 +:0483FA00000000007F +:0483FB00000000007E +:0483FC00000000007D +:0483FD00000000007C +:0483FE00000000007B +:0483FF00000000007A +:048400000000000078 +:048401000000000077 +:048402000000000076 +:048403000000000075 +:048404000000000074 +:048405000000000073 +:048406000000000072 +:048407000000000071 +:048408000000000070 +:04840900000000006F +:04840A00000000006E +:04840B00000000006D +:04840C00000000006C +:04840D00000000006B +:04840E00000000006A +:04840F000000000069 +:048410000000000068 +:048411000000000067 +:048412000000000066 +:048413000000000065 +:048414000000000064 +:048415000000000063 +:048416000000000062 +:048417000000000061 +:048418000000000060 +:04841900000000005F +:04841A00000000005E +:04841B00000000005D +:04841C00000000005C +:04841D00000000005B +:04841E00000000005A +:04841F000000000059 +:048420000000000058 +:048421000000000057 +:048422000000000056 +:048423000000000055 +:048424000000000054 +:048425000000000053 +:048426000000000052 +:048427000000000051 +:048428000000000050 +:04842900000000004F +:04842A00000000004E +:04842B00000000004D +:04842C00000000004C +:04842D00000000004B +:04842E00000000004A +:04842F000000000049 +:048430000000000048 +:048431000000000047 +:048432000000000046 +:048433000000000045 +:048434000000000044 +:048435000000000043 +:048436000000000042 +:048437000000000041 +:048438000000000040 +:04843900000000003F +:04843A00000000003E +:04843B00000000003D +:04843C00000000003C +:04843D00000000003B +:04843E00000000003A +:04843F000000000039 +:048440000000000038 +:048441000000000037 +:048442000000000036 +:048443000000000035 +:048444000000000034 +:048445000000000033 +:048446000000000032 +:048447000000000031 +:048448000000000030 +:04844900000000002F +:04844A00000000002E +:04844B00000000002D +:04844C00000000002C +:04844D00000000002B +:04844E00000000002A +:04844F000000000029 +:048450000000000028 +:048451000000000027 +:048452000000000026 +:048453000000000025 +:048454000000000024 +:048455000000000023 +:048456000000000022 +:048457000000000021 +:048458000000000020 +:04845900000000001F +:04845A00000000001E +:04845B00000000001D +:04845C00000000001C +:04845D00000000001B +:04845E00000000001A +:04845F000000000019 +:048460000000000018 +:048461000000000017 +:048462000000000016 +:048463000000000015 +:048464000000000014 +:048465000000000013 +:048466000000000012 +:048467000000000011 +:048468000000000010 +:04846900000000000F +:04846A00000000000E +:04846B00000000000D +:04846C00000000000C +:04846D00000000000B +:04846E00000000000A +:04846F000000000009 +:048470000000000008 +:048471000000000007 +:048472000000000006 +:048473000000000005 +:048474000000000004 +:048475000000000003 +:048476000000000002 +:048477000000000001 +:048478000000000000 +:0484790000000000FF +:04847A0000000000FE +:04847B0000000000FD +:04847C0000000000FC +:04847D0000000000FB +:04847E0000000000FA +:04847F0000000000F9 +:0484800000000000F8 +:0484810000000000F7 +:0484820000000000F6 +:0484830000000000F5 +:0484840000000000F4 +:0484850000000000F3 +:0484860000000000F2 +:0484870000000000F1 +:0484880000000000F0 +:0484890000000000EF +:04848A0000000000EE +:04848B0000000000ED +:04848C0000000000EC +:04848D0000000000EB +:04848E0000000000EA +:04848F0000000000E9 +:0484900000000000E8 +:0484910000000000E7 +:0484920000000000E6 +:0484930000000000E5 +:0484940000000000E4 +:0484950000000000E3 +:0484960000000000E2 +:0484970000000000E1 +:0484980000000000E0 +:0484990000000000DF +:04849A0000000000DE +:04849B0000000000DD +:04849C0000000000DC +:04849D0000000000DB +:04849E0000000000DA +:04849F0000000000D9 +:0484A00000000000D8 +:0484A10000000000D7 +:0484A20000000000D6 +:0484A30000000000D5 +:0484A40000000000D4 +:0484A50000000000D3 +:0484A60000000000D2 +:0484A70000000000D1 +:0484A80000000000D0 +:0484A90000000000CF +:0484AA0000000000CE +:0484AB0000000000CD +:0484AC0000000000CC +:0484AD0000000000CB +:0484AE0000000000CA +:0484AF0000000000C9 +:0484B00000000000C8 +:0484B10000000000C7 +:0484B20000000000C6 +:0484B30000000000C5 +:0484B40000000000C4 +:0484B50000000000C3 +:0484B60000000000C2 +:0484B70000000000C1 +:0484B80000000000C0 +:0484B90000000000BF +:0484BA0000000000BE +:0484BB0000000000BD +:0484BC0000000000BC +:0484BD0000000000BB +:0484BE0000000000BA +:0484BF0000000000B9 +:0484C00000000000B8 +:0484C10000000000B7 +:0484C20000000000B6 +:0484C30000000000B5 +:0484C40000000000B4 +:0484C50000000000B3 +:0484C60000000000B2 +:0484C70000000000B1 +:0484C80000000000B0 +:0484C90000000000AF +:0484CA0000000000AE +:0484CB0000000000AD +:0484CC0000000000AC +:0484CD0000000000AB +:0484CE0000000000AA +:0484CF0000000000A9 +:0484D00000000000A8 +:0484D10000000000A7 +:0484D20000000000A6 +:0484D30000000000A5 +:0484D40000000000A4 +:0484D50000000000A3 +:0484D60000000000A2 +:0484D70000000000A1 +:0484D80000000000A0 +:0484D900000000009F +:0484DA00000000009E +:0484DB00000000009D +:0484DC00000000009C +:0484DD00000000009B +:0484DE00000000009A +:0484DF000000000099 +:0484E0000000000098 +:0484E1000000000097 +:0484E2000000000096 +:0484E3000000000095 +:0484E4000000000094 +:0484E5000000000093 +:0484E6000000000092 +:0484E7000000000091 +:0484E8000000000090 +:0484E900000000008F +:0484EA00000000008E +:0484EB00000000008D +:0484EC00000000008C +:0484ED00000000008B +:0484EE00000000008A +:0484EF000000000089 +:0484F0000000000088 +:0484F1000000000087 +:0484F2000000000086 +:0484F3000000000085 +:0484F4000000000084 +:0484F5000000000083 +:0484F6000000000082 +:0484F7000000000081 +:0484F8000000000080 +:0484F900000000007F +:0484FA00000000007E +:0484FB00000000007D +:0484FC00000000007C +:0484FD00000000007B +:0484FE00000000007A +:0484FF000000000079 +:048500000000000077 +:048501000000000076 +:048502000000000075 +:048503000000000074 +:048504000000000073 +:048505000000000072 +:048506000000000071 +:048507000000000070 +:04850800000000006F +:04850900000000006E +:04850A00000000006D +:04850B00000000006C +:04850C00000000006B +:04850D00000000006A +:04850E000000000069 +:04850F000000000068 +:048510000000000067 +:048511000000000066 +:048512000000000065 +:048513000000000064 +:048514000000000063 +:048515000000000062 +:048516000000000061 +:048517000000000060 +:04851800000000005F +:04851900000000005E +:04851A00000000005D +:04851B00000000005C +:04851C00000000005B +:04851D00000000005A +:04851E000000000059 +:04851F000000000058 +:048520000000000057 +:048521000000000056 +:048522000000000055 +:048523000000000054 +:048524000000000053 +:048525000000000052 +:048526000000000051 +:048527000000000050 +:04852800000000004F +:04852900000000004E +:04852A00000000004D +:04852B00000000004C +:04852C00000000004B +:04852D00000000004A +:04852E000000000049 +:04852F000000000048 +:048530000000000047 +:048531000000000046 +:048532000000000045 +:048533000000000044 +:048534000000000043 +:048535000000000042 +:048536000000000041 +:048537000000000040 +:04853800000000003F +:04853900000000003E +:04853A00000000003D +:04853B00000000003C +:04853C00000000003B +:04853D00000000003A +:04853E000000000039 +:04853F000000000038 +:048540000000000037 +:048541000000000036 +:048542000000000035 +:048543000000000034 +:048544000000000033 +:048545000000000032 +:048546000000000031 +:048547000000000030 +:04854800000000002F +:04854900000000002E +:04854A00000000002D +:04854B00000000002C +:04854C00000000002B +:04854D00000000002A +:04854E000000000029 +:04854F000000000028 +:048550000000000027 +:048551000000000026 +:048552000000000025 +:048553000000000024 +:048554000000000023 +:048555000000000022 +:048556000000000021 +:048557000000000020 +:04855800000000001F +:04855900000000001E +:04855A00000000001D +:04855B00000000001C +:04855C00000000001B +:04855D00000000001A +:04855E000000000019 +:04855F000000000018 +:048560000000000017 +:048561000000000016 +:048562000000000015 +:048563000000000014 +:048564000000000013 +:048565000000000012 +:048566000000000011 +:048567000000000010 +:04856800000000000F +:04856900000000000E +:04856A00000000000D +:04856B00000000000C +:04856C00000000000B +:04856D00000000000A +:04856E000000000009 +:04856F000000000008 +:048570000000000007 +:048571000000000006 +:048572000000000005 +:048573000000000004 +:048574000000000003 +:048575000000000002 +:048576000000000001 +:048577000000000000 +:0485780000000000FF +:0485790000000000FE +:04857A0000000000FD +:04857B0000000000FC +:04857C0000000000FB +:04857D0000000000FA +:04857E0000000000F9 +:04857F0000000000F8 +:0485800000000000F7 +:0485810000000000F6 +:0485820000000000F5 +:0485830000000000F4 +:0485840000000000F3 +:0485850000000000F2 +:0485860000000000F1 +:0485870000000000F0 +:0485880000000000EF +:0485890000000000EE +:04858A0000000000ED +:04858B0000000000EC +:04858C0000000000EB +:04858D0000000000EA +:04858E0000000000E9 +:04858F0000000000E8 +:0485900000000000E7 +:0485910000000000E6 +:0485920000000000E5 +:0485930000000000E4 +:0485940000000000E3 +:0485950000000000E2 +:0485960000000000E1 +:0485970000000000E0 +:0485980000000000DF +:0485990000000000DE +:04859A0000000000DD +:04859B0000000000DC +:04859C0000000000DB +:04859D0000000000DA +:04859E0000000000D9 +:04859F0000000000D8 +:0485A00000000000D7 +:0485A10000000000D6 +:0485A20000000000D5 +:0485A30000000000D4 +:0485A40000000000D3 +:0485A50000000000D2 +:0485A60000000000D1 +:0485A70000000000D0 +:0485A80000000000CF +:0485A90000000000CE +:0485AA0000000000CD +:0485AB0000000000CC +:0485AC0000000000CB +:0485AD0000000000CA +:0485AE0000000000C9 +:0485AF0000000000C8 +:0485B00000000000C7 +:0485B10000000000C6 +:0485B20000000000C5 +:0485B30000000000C4 +:0485B40000000000C3 +:0485B50000000000C2 +:0485B60000000000C1 +:0485B70000000000C0 +:0485B80000000000BF +:0485B90000000000BE +:0485BA0000000000BD +:0485BB0000000000BC +:0485BC0000000000BB +:0485BD0000000000BA +:0485BE0000000000B9 +:0485BF0000000000B8 +:0485C00000000000B7 +:0485C10000000000B6 +:0485C20000000000B5 +:0485C30000000000B4 +:0485C40000000000B3 +:0485C50000000000B2 +:0485C60000000000B1 +:0485C70000000000B0 +:0485C80000000000AF +:0485C90000000000AE +:0485CA0000000000AD +:0485CB0000000000AC +:0485CC0000000000AB +:0485CD0000000000AA +:0485CE0000000000A9 +:0485CF0000000000A8 +:0485D00000000000A7 +:0485D10000000000A6 +:0485D20000000000A5 +:0485D30000000000A4 +:0485D40000000000A3 +:0485D50000000000A2 +:0485D60000000000A1 +:0485D70000000000A0 +:0485D800000000009F +:0485D900000000009E +:0485DA00000000009D +:0485DB00000000009C +:0485DC00000000009B +:0485DD00000000009A +:0485DE000000000099 +:0485DF000000000098 +:0485E0000000000097 +:0485E1000000000096 +:0485E2000000000095 +:0485E3000000000094 +:0485E4000000000093 +:0485E5000000000092 +:0485E6000000000091 +:0485E7000000000090 +:0485E800000000008F +:0485E900000000008E +:0485EA00000000008D +:0485EB00000000008C +:0485EC00000000008B +:0485ED00000000008A +:0485EE000000000089 +:0485EF000000000088 +:0485F0000000000087 +:0485F1000000000086 +:0485F2000000000085 +:0485F3000000000084 +:0485F4000000000083 +:0485F5000000000082 +:0485F6000000000081 +:0485F7000000000080 +:0485F800000000007F +:0485F900000000007E +:0485FA00000000007D +:0485FB00000000007C +:0485FC00000000007B +:0485FD00000000007A +:0485FE000000000079 +:0485FF000000000078 +:048600000000000076 +:048601000000000075 +:048602000000000074 +:048603000000000073 +:048604000000000072 +:048605000000000071 +:048606000000000070 +:04860700000000006F +:04860800000000006E +:04860900000000006D +:04860A00000000006C +:04860B00000000006B +:04860C00000000006A +:04860D000000000069 +:04860E000000000068 +:04860F000000000067 +:048610000000000066 +:048611000000000065 +:048612000000000064 +:048613000000000063 +:048614000000000062 +:048615000000000061 +:048616000000000060 +:04861700000000005F +:04861800000000005E +:04861900000000005D +:04861A00000000005C +:04861B00000000005B +:04861C00000000005A +:04861D000000000059 +:04861E000000000058 +:04861F000000000057 +:048620000000000056 +:048621000000000055 +:048622000000000054 +:048623000000000053 +:048624000000000052 +:048625000000000051 +:048626000000000050 +:04862700000000004F +:04862800000000004E +:04862900000000004D +:04862A00000000004C +:04862B00000000004B +:04862C00000000004A +:04862D000000000049 +:04862E000000000048 +:04862F000000000047 +:048630000000000046 +:048631000000000045 +:048632000000000044 +:048633000000000043 +:048634000000000042 +:048635000000000041 +:048636000000000040 +:04863700000000003F +:04863800000000003E +:04863900000000003D +:04863A00000000003C +:04863B00000000003B +:04863C00000000003A +:04863D000000000039 +:04863E000000000038 +:04863F000000000037 +:048640000000000036 +:048641000000000035 +:048642000000000034 +:048643000000000033 +:048644000000000032 +:048645000000000031 +:048646000000000030 +:04864700000000002F +:04864800000000002E +:04864900000000002D +:04864A00000000002C +:04864B00000000002B +:04864C00000000002A +:04864D000000000029 +:04864E000000000028 +:04864F000000000027 +:048650000000000026 +:048651000000000025 +:048652000000000024 +:048653000000000023 +:048654000000000022 +:048655000000000021 +:048656000000000020 +:04865700000000001F +:04865800000000001E +:04865900000000001D +:04865A00000000001C +:04865B00000000001B +:04865C00000000001A +:04865D000000000019 +:04865E000000000018 +:04865F000000000017 +:048660000000000016 +:048661000000000015 +:048662000000000014 +:048663000000000013 +:048664000000000012 +:048665000000000011 +:048666000000000010 +:04866700000000000F +:04866800000000000E +:04866900000000000D +:04866A00000000000C +:04866B00000000000B +:04866C00000000000A +:04866D000000000009 +:04866E000000000008 +:04866F000000000007 +:048670000000000006 +:048671000000000005 +:048672000000000004 +:048673000000000003 +:048674000000000002 +:048675000000000001 +:048676000000000000 +:0486770000000000FF +:0486780000000000FE +:0486790000000000FD +:04867A0000000000FC +:04867B0000000000FB +:04867C0000000000FA +:04867D0000000000F9 +:04867E0000000000F8 +:04867F0000000000F7 +:0486800000000000F6 +:0486810000000000F5 +:0486820000000000F4 +:0486830000000000F3 +:0486840000000000F2 +:0486850000000000F1 +:0486860000000000F0 +:0486870000000000EF +:0486880000000000EE +:0486890000000000ED +:04868A0000000000EC +:04868B0000000000EB +:04868C0000000000EA +:04868D0000000000E9 +:04868E0000000000E8 +:04868F0000000000E7 +:0486900000000000E6 +:0486910000000000E5 +:0486920000000000E4 +:0486930000000000E3 +:0486940000000000E2 +:0486950000000000E1 +:0486960000000000E0 +:0486970000000000DF +:0486980000000000DE +:0486990000000000DD +:04869A0000000000DC +:04869B0000000000DB +:04869C0000000000DA +:04869D0000000000D9 +:04869E0000000000D8 +:04869F0000000000D7 +:0486A00000000000D6 +:0486A10000000000D5 +:0486A20000000000D4 +:0486A30000000000D3 +:0486A40000000000D2 +:0486A50000000000D1 +:0486A60000000000D0 +:0486A70000000000CF +:0486A80000000000CE +:0486A90000000000CD +:0486AA0000000000CC +:0486AB0000000000CB +:0486AC0000000000CA +:0486AD0000000000C9 +:0486AE0000000000C8 +:0486AF0000000000C7 +:0486B00000000000C6 +:0486B10000000000C5 +:0486B20000000000C4 +:0486B30000000000C3 +:0486B40000000000C2 +:0486B50000000000C1 +:0486B60000000000C0 +:0486B70000000000BF +:0486B80000000000BE +:0486B90000000000BD +:0486BA0000000000BC +:0486BB0000000000BB +:0486BC0000000000BA +:0486BD0000000000B9 +:0486BE0000000000B8 +:0486BF0000000000B7 +:0486C00000000000B6 +:0486C10000000000B5 +:0486C20000000000B4 +:0486C30000000000B3 +:0486C40000000000B2 +:0486C50000000000B1 +:0486C60000000000B0 +:0486C70000000000AF +:0486C80000000000AE +:0486C90000000000AD +:0486CA0000000000AC +:0486CB0000000000AB +:0486CC0000000000AA +:0486CD0000000000A9 +:0486CE0000000000A8 +:0486CF0000000000A7 +:0486D00000000000A6 +:0486D10000000000A5 +:0486D20000000000A4 +:0486D30000000000A3 +:0486D40000000000A2 +:0486D50000000000A1 +:0486D60000000000A0 +:0486D700000000009F +:0486D800000000009E +:0486D900000000009D +:0486DA00000000009C +:0486DB00000000009B +:0486DC00000000009A +:0486DD000000000099 +:0486DE000000000098 +:0486DF000000000097 +:0486E0000000000096 +:0486E1000000000095 +:0486E2000000000094 +:0486E3000000000093 +:0486E4000000000092 +:0486E5000000000091 +:0486E6000000000090 +:0486E700000000008F +:0486E800000000008E +:0486E900000000008D +:0486EA00000000008C +:0486EB00000000008B +:0486EC00000000008A +:0486ED000000000089 +:0486EE000000000088 +:0486EF000000000087 +:0486F0000000000086 +:0486F1000000000085 +:0486F2000000000084 +:0486F3000000000083 +:0486F4000000000082 +:0486F5000000000081 +:0486F6000000000080 +:0486F700000000007F +:0486F800000000007E +:0486F900000000007D +:0486FA00000000007C +:0486FB00000000007B +:0486FC00000000007A +:0486FD000000000079 +:0486FE000000000078 +:0486FF000000000077 +:048700000000000075 +:048701000000000074 +:048702000000000073 +:048703000000000072 +:048704000000000071 +:048705000000000070 +:04870600000000006F +:04870700000000006E +:04870800000000006D +:04870900000000006C +:04870A00000000006B +:04870B00000000006A +:04870C000000000069 +:04870D000000000068 +:04870E000000000067 +:04870F000000000066 +:048710000000000065 +:048711000000000064 +:048712000000000063 +:048713000000000062 +:048714000000000061 +:048715000000000060 +:04871600000000005F +:04871700000000005E +:04871800000000005D +:04871900000000005C +:04871A00000000005B +:04871B00000000005A +:04871C000000000059 +:04871D000000000058 +:04871E000000000057 +:04871F000000000056 +:048720000000000055 +:048721000000000054 +:048722000000000053 +:048723000000000052 +:048724000000000051 +:048725000000000050 +:04872600000000004F +:04872700000000004E +:04872800000000004D +:04872900000000004C +:04872A00000000004B +:04872B00000000004A +:04872C000000000049 +:04872D000000000048 +:04872E000000000047 +:04872F000000000046 +:048730000000000045 +:048731000000000044 +:048732000000000043 +:048733000000000042 +:048734000000000041 +:048735000000000040 +:04873600000000003F +:04873700000000003E +:04873800000000003D +:04873900000000003C +:04873A00000000003B +:04873B00000000003A +:04873C000000000039 +:04873D000000000038 +:04873E000000000037 +:04873F000000000036 +:048740000000000035 +:048741000000000034 +:048742000000000033 +:048743000000000032 +:048744000000000031 +:048745000000000030 +:04874600000000002F +:04874700000000002E +:04874800000000002D +:04874900000000002C +:04874A00000000002B +:04874B00000000002A +:04874C000000000029 +:04874D000000000028 +:04874E000000000027 +:04874F000000000026 +:048750000000000025 +:048751000000000024 +:048752000000000023 +:048753000000000022 +:048754000000000021 +:048755000000000020 +:04875600000000001F +:04875700000000001E +:04875800000000001D +:04875900000000001C +:04875A00000000001B +:04875B00000000001A +:04875C000000000019 +:04875D000000000018 +:04875E000000000017 +:04875F000000000016 +:048760000000000015 +:048761000000000014 +:048762000000000013 +:048763000000000012 +:048764000000000011 +:048765000000000010 +:04876600000000000F +:04876700000000000E +:04876800000000000D +:04876900000000000C +:04876A00000000000B +:04876B00000000000A +:04876C000000000009 +:04876D000000000008 +:04876E000000000007 +:04876F000000000006 +:048770000000000005 +:048771000000000004 +:048772000000000003 +:048773000000000002 +:048774000000000001 +:048775000000000000 +:0487760000000000FF +:0487770000000000FE +:0487780000000000FD +:0487790000000000FC +:04877A0000000000FB +:04877B0000000000FA +:04877C0000000000F9 +:04877D0000000000F8 +:04877E0000000000F7 +:04877F0000000000F6 +:0487800000000000F5 +:0487810000000000F4 +:0487820000000000F3 +:0487830000000000F2 +:0487840000000000F1 +:0487850000000000F0 +:0487860000000000EF +:0487870000000000EE +:0487880000000000ED +:0487890000000000EC +:04878A0000000000EB +:04878B0000000000EA +:04878C0000000000E9 +:04878D0000000000E8 +:04878E0000000000E7 +:04878F0000000000E6 +:0487900000000000E5 +:0487910000000000E4 +:0487920000000000E3 +:0487930000000000E2 +:0487940000000000E1 +:0487950000000000E0 +:0487960000000000DF +:0487970000000000DE +:0487980000000000DD +:0487990000000000DC +:04879A0000000000DB +:04879B0000000000DA +:04879C0000000000D9 +:04879D0000000000D8 +:04879E0000000000D7 +:04879F0000000000D6 +:0487A00000000000D5 +:0487A10000000000D4 +:0487A20000000000D3 +:0487A30000000000D2 +:0487A40000000000D1 +:0487A50000000000D0 +:0487A60000000000CF +:0487A70000000000CE +:0487A80000000000CD +:0487A90000000000CC +:0487AA0000000000CB +:0487AB0000000000CA +:0487AC0000000000C9 +:0487AD0000000000C8 +:0487AE0000000000C7 +:0487AF0000000000C6 +:0487B00000000000C5 +:0487B10000000000C4 +:0487B20000000000C3 +:0487B30000000000C2 +:0487B40000000000C1 +:0487B50000000000C0 +:0487B60000000000BF +:0487B70000000000BE +:0487B80000000000BD +:0487B90000000000BC +:0487BA0000000000BB +:0487BB0000000000BA +:0487BC0000000000B9 +:0487BD0000000000B8 +:0487BE0000000000B7 +:0487BF0000000000B6 +:0487C00000000000B5 +:0487C10000000000B4 +:0487C20000000000B3 +:0487C30000000000B2 +:0487C40000000000B1 +:0487C50000000000B0 +:0487C60000000000AF +:0487C70000000000AE +:0487C80000000000AD +:0487C90000000000AC +:0487CA0000000000AB +:0487CB0000000000AA +:0487CC0000000000A9 +:0487CD0000000000A8 +:0487CE0000000000A7 +:0487CF0000000000A6 +:0487D00000000000A5 +:0487D10000000000A4 +:0487D20000000000A3 +:0487D30000000000A2 +:0487D40000000000A1 +:0487D50000000000A0 +:0487D600000000009F +:0487D700000000009E +:0487D800000000009D +:0487D900000000009C +:0487DA00000000009B +:0487DB00000000009A +:0487DC000000000099 +:0487DD000000000098 +:0487DE000000000097 +:0487DF000000000096 +:0487E0000000000095 +:0487E1000000000094 +:0487E2000000000093 +:0487E3000000000092 +:0487E4000000000091 +:0487E5000000000090 +:0487E600000000008F +:0487E700000000008E +:0487E800000000008D +:0487E900000000008C +:0487EA00000000008B +:0487EB00000000008A +:0487EC000000000089 +:0487ED000000000088 +:0487EE000000000087 +:0487EF000000000086 +:0487F0000000000085 +:0487F1000000000084 +:0487F2000000000083 +:0487F3000000000082 +:0487F4000000000081 +:0487F5000000000080 +:0487F600000000007F +:0487F700000000007E +:0487F800000000007D +:0487F900000000007C +:0487FA00000000007B +:0487FB00000000007A +:0487FC000000000079 +:0487FD000000000078 +:0487FE000000000077 +:0487FF000000000076 +:048800000000000074 +:048801000000000073 +:048802000000000072 +:048803000000000071 +:048804000000000070 +:04880500000000006F +:04880600000000006E +:04880700000000006D +:04880800000000006C +:04880900000000006B +:04880A00000000006A +:04880B000000000069 +:04880C000000000068 +:04880D000000000067 +:04880E000000000066 +:04880F000000000065 +:048810000000000064 +:048811000000000063 +:048812000000000062 +:048813000000000061 +:048814000000000060 +:04881500000000005F +:04881600000000005E +:04881700000000005D +:04881800000000005C +:04881900000000005B +:04881A00000000005A +:04881B000000000059 +:04881C000000000058 +:04881D000000000057 +:04881E000000000056 +:04881F000000000055 +:048820000000000054 +:048821000000000053 +:048822000000000052 +:048823000000000051 +:048824000000000050 +:04882500000000004F +:04882600000000004E +:04882700000000004D +:04882800000000004C +:04882900000000004B +:04882A00000000004A +:04882B000000000049 +:04882C000000000048 +:04882D000000000047 +:04882E000000000046 +:04882F000000000045 +:048830000000000044 +:048831000000000043 +:048832000000000042 +:048833000000000041 +:048834000000000040 +:04883500000000003F +:04883600000000003E +:04883700000000003D +:04883800000000003C +:04883900000000003B +:04883A00000000003A +:04883B000000000039 +:04883C000000000038 +:04883D000000000037 +:04883E000000000036 +:04883F000000000035 +:048840000000000034 +:048841000000000033 +:048842000000000032 +:048843000000000031 +:048844000000000030 +:04884500000000002F +:04884600000000002E +:04884700000000002D +:04884800000000002C +:04884900000000002B +:04884A00000000002A +:04884B000000000029 +:04884C000000000028 +:04884D000000000027 +:04884E000000000026 +:04884F000000000025 +:048850000000000024 +:048851000000000023 +:048852000000000022 +:048853000000000021 +:048854000000000020 +:04885500000000001F +:04885600000000001E +:04885700000000001D +:04885800000000001C +:04885900000000001B +:04885A00000000001A +:04885B000000000019 +:04885C000000000018 +:04885D000000000017 +:04885E000000000016 +:04885F000000000015 +:048860000000000014 +:048861000000000013 +:048862000000000012 +:048863000000000011 +:048864000000000010 +:04886500000000000F +:04886600000000000E +:04886700000000000D +:04886800000000000C +:04886900000000000B +:04886A00000000000A +:04886B000000000009 +:04886C000000000008 +:04886D000000000007 +:04886E000000000006 +:04886F000000000005 +:048870000000000004 +:048871000000000003 +:048872000000000002 +:048873000000000001 +:048874000000000000 +:0488750000000000FF +:0488760000000000FE +:0488770000000000FD +:0488780000000000FC +:0488790000000000FB +:04887A0000000000FA +:04887B0000000000F9 +:04887C0000000000F8 +:04887D0000000000F7 +:04887E0000000000F6 +:04887F0000000000F5 +:0488800000000000F4 +:0488810000000000F3 +:0488820000000000F2 +:0488830000000000F1 +:0488840000000000F0 +:0488850000000000EF +:0488860000000000EE +:0488870000000000ED +:0488880000000000EC +:0488890000000000EB +:04888A0000000000EA +:04888B0000000000E9 +:04888C0000000000E8 +:04888D0000000000E7 +:04888E0000000000E6 +:04888F0000000000E5 +:0488900000000000E4 +:0488910000000000E3 +:0488920000000000E2 +:0488930000000000E1 +:0488940000000000E0 +:0488950000000000DF +:0488960000000000DE +:0488970000000000DD +:0488980000000000DC +:0488990000000000DB +:04889A0000000000DA +:04889B0000000000D9 +:04889C0000000000D8 +:04889D0000000000D7 +:04889E0000000000D6 +:04889F0000000000D5 +:0488A00000000000D4 +:0488A10000000000D3 +:0488A20000000000D2 +:0488A30000000000D1 +:0488A40000000000D0 +:0488A50000000000CF +:0488A60000000000CE +:0488A70000000000CD +:0488A80000000000CC +:0488A90000000000CB +:0488AA0000000000CA +:0488AB0000000000C9 +:0488AC0000000000C8 +:0488AD0000000000C7 +:0488AE0000000000C6 +:0488AF0000000000C5 +:0488B00000000000C4 +:0488B10000000000C3 +:0488B20000000000C2 +:0488B30000000000C1 +:0488B40000000000C0 +:0488B50000000000BF +:0488B60000000000BE +:0488B70000000000BD +:0488B80000000000BC +:0488B90000000000BB +:0488BA0000000000BA +:0488BB0000000000B9 +:0488BC0000000000B8 +:0488BD0000000000B7 +:0488BE0000000000B6 +:0488BF0000000000B5 +:0488C00000000000B4 +:0488C10000000000B3 +:0488C20000000000B2 +:0488C30000000000B1 +:0488C40000000000B0 +:0488C50000000000AF +:0488C60000000000AE +:0488C70000000000AD +:0488C80000000000AC +:0488C90000000000AB +:0488CA0000000000AA +:0488CB0000000000A9 +:0488CC0000000000A8 +:0488CD0000000000A7 +:0488CE0000000000A6 +:0488CF0000000000A5 +:0488D00000000000A4 +:0488D10000000000A3 +:0488D20000000000A2 +:0488D30000000000A1 +:0488D40000000000A0 +:0488D500000000009F +:0488D600000000009E +:0488D700000000009D +:0488D800000000009C +:0488D900000000009B +:0488DA00000000009A +:0488DB000000000099 +:0488DC000000000098 +:0488DD000000000097 +:0488DE000000000096 +:0488DF000000000095 +:0488E0000000000094 +:0488E1000000000093 +:0488E2000000000092 +:0488E3000000000091 +:0488E4000000000090 +:0488E500000000008F +:0488E600000000008E +:0488E700000000008D +:0488E800000000008C +:0488E900000000008B +:0488EA00000000008A +:0488EB000000000089 +:0488EC000000000088 +:0488ED000000000087 +:0488EE000000000086 +:0488EF000000000085 +:0488F0000000000084 +:0488F1000000000083 +:0488F2000000000082 +:0488F3000000000081 +:0488F4000000000080 +:0488F500000000007F +:0488F600000000007E +:0488F700000000007D +:0488F800000000007C +:0488F900000000007B +:0488FA00000000007A +:0488FB000000000079 +:0488FC000000000078 +:0488FD000000000077 +:0488FE000000000076 +:0488FF000000000075 +:048900000000000073 +:048901000000000072 +:048902000000000071 +:048903000000000070 +:04890400000000006F +:04890500000000006E +:04890600000000006D +:04890700000000006C +:04890800000000006B +:04890900000000006A +:04890A000000000069 +:04890B000000000068 +:04890C000000000067 +:04890D000000000066 +:04890E000000000065 +:04890F000000000064 +:048910000000000063 +:048911000000000062 +:048912000000000061 +:048913000000000060 +:04891400000000005F +:04891500000000005E +:04891600000000005D +:04891700000000005C +:04891800000000005B +:04891900000000005A +:04891A000000000059 +:04891B000000000058 +:04891C000000000057 +:04891D000000000056 +:04891E000000000055 +:04891F000000000054 +:048920000000000053 +:048921000000000052 +:048922000000000051 +:048923000000000050 +:04892400000000004F +:04892500000000004E +:04892600000000004D +:04892700000000004C +:04892800000000004B +:04892900000000004A +:04892A000000000049 +:04892B000000000048 +:04892C000000000047 +:04892D000000000046 +:04892E000000000045 +:04892F000000000044 +:048930000000000043 +:048931000000000042 +:048932000000000041 +:048933000000000040 +:04893400000000003F +:04893500000000003E +:04893600000000003D +:04893700000000003C +:04893800000000003B +:04893900000000003A +:04893A000000000039 +:04893B000000000038 +:04893C000000000037 +:04893D000000000036 +:04893E000000000035 +:04893F000000000034 +:048940000000000033 +:048941000000000032 +:048942000000000031 +:048943000000000030 +:04894400000000002F +:04894500000000002E +:04894600000000002D +:04894700000000002C +:04894800000000002B +:04894900000000002A +:04894A000000000029 +:04894B000000000028 +:04894C000000000027 +:04894D000000000026 +:04894E000000000025 +:04894F000000000024 +:048950000000000023 +:048951000000000022 +:048952000000000021 +:048953000000000020 +:04895400000000001F +:04895500000000001E +:04895600000000001D +:04895700000000001C +:04895800000000001B +:04895900000000001A +:04895A000000000019 +:04895B000000000018 +:04895C000000000017 +:04895D000000000016 +:04895E000000000015 +:04895F000000000014 +:048960000000000013 +:048961000000000012 +:048962000000000011 +:048963000000000010 +:04896400000000000F +:04896500000000000E +:04896600000000000D +:04896700000000000C +:04896800000000000B +:04896900000000000A +:04896A000000000009 +:04896B000000000008 +:04896C000000000007 +:04896D000000000006 +:04896E000000000005 +:04896F000000000004 +:048970000000000003 +:048971000000000002 +:048972000000000001 +:048973000000000000 +:0489740000000000FF +:0489750000000000FE +:0489760000000000FD +:0489770000000000FC +:0489780000000000FB +:0489790000000000FA +:04897A0000000000F9 +:04897B0000000000F8 +:04897C0000000000F7 +:04897D0000000000F6 +:04897E0000000000F5 +:04897F0000000000F4 +:0489800000000000F3 +:0489810000000000F2 +:0489820000000000F1 +:0489830000000000F0 +:0489840000000000EF +:0489850000000000EE +:0489860000000000ED +:0489870000000000EC +:0489880000000000EB +:0489890000000000EA +:04898A0000000000E9 +:04898B0000000000E8 +:04898C0000000000E7 +:04898D0000000000E6 +:04898E0000000000E5 +:04898F0000000000E4 +:0489900000000000E3 +:0489910000000000E2 +:0489920000000000E1 +:0489930000000000E0 +:0489940000000000DF +:0489950000000000DE +:0489960000000000DD +:0489970000000000DC +:0489980000000000DB +:0489990000000000DA +:04899A0000000000D9 +:04899B0000000000D8 +:04899C0000000000D7 +:04899D0000000000D6 +:04899E0000000000D5 +:04899F0000000000D4 +:0489A00000000000D3 +:0489A10000000000D2 +:0489A20000000000D1 +:0489A30000000000D0 +:0489A40000000000CF +:0489A50000000000CE +:0489A60000000000CD +:0489A70000000000CC +:0489A80000000000CB +:0489A90000000000CA +:0489AA0000000000C9 +:0489AB0000000000C8 +:0489AC0000000000C7 +:0489AD0000000000C6 +:0489AE0000000000C5 +:0489AF0000000000C4 +:0489B00000000000C3 +:0489B10000000000C2 +:0489B20000000000C1 +:0489B30000000000C0 +:0489B40000000000BF +:0489B50000000000BE +:0489B60000000000BD +:0489B70000000000BC +:0489B80000000000BB +:0489B90000000000BA +:0489BA0000000000B9 +:0489BB0000000000B8 +:0489BC0000000000B7 +:0489BD0000000000B6 +:0489BE0000000000B5 +:0489BF0000000000B4 +:0489C00000000000B3 +:0489C10000000000B2 +:0489C20000000000B1 +:0489C30000000000B0 +:0489C40000000000AF +:0489C50000000000AE +:0489C60000000000AD +:0489C70000000000AC +:0489C80000000000AB +:0489C90000000000AA +:0489CA0000000000A9 +:0489CB0000000000A8 +:0489CC0000000000A7 +:0489CD0000000000A6 +:0489CE0000000000A5 +:0489CF0000000000A4 +:0489D00000000000A3 +:0489D10000000000A2 +:0489D20000000000A1 +:0489D30000000000A0 +:0489D400000000009F +:0489D500000000009E +:0489D600000000009D +:0489D700000000009C +:0489D800000000009B +:0489D900000000009A +:0489DA000000000099 +:0489DB000000000098 +:0489DC000000000097 +:0489DD000000000096 +:0489DE000000000095 +:0489DF000000000094 +:0489E0000000000093 +:0489E1000000000092 +:0489E2000000000091 +:0489E3000000000090 +:0489E400000000008F +:0489E500000000008E +:0489E600000000008D +:0489E700000000008C +:0489E800000000008B +:0489E900000000008A +:0489EA000000000089 +:0489EB000000000088 +:0489EC000000000087 +:0489ED000000000086 +:0489EE000000000085 +:0489EF000000000084 +:0489F0000000000083 +:0489F1000000000082 +:0489F2000000000081 +:0489F3000000000080 +:0489F400000000007F +:0489F500000000007E +:0489F600000000007D +:0489F700000000007C +:0489F800000000007B +:0489F900000000007A +:0489FA000000000079 +:0489FB000000000078 +:0489FC000000000077 +:0489FD000000000076 +:0489FE000000000075 +:0489FF000000000074 +:048A00000000000072 +:048A01000000000071 +:048A02000000000070 +:048A0300000000006F +:048A0400000000006E +:048A0500000000006D +:048A0600000000006C +:048A0700000000006B +:048A0800000000006A +:048A09000000000069 +:048A0A000000000068 +:048A0B000000000067 +:048A0C000000000066 +:048A0D000000000065 +:048A0E000000000064 +:048A0F000000000063 +:048A10000000000062 +:048A11000000000061 +:048A12000000000060 +:048A1300000000005F +:048A1400000000005E +:048A1500000000005D +:048A1600000000005C +:048A1700000000005B +:048A1800000000005A +:048A19000000000059 +:048A1A000000000058 +:048A1B000000000057 +:048A1C000000000056 +:048A1D000000000055 +:048A1E000000000054 +:048A1F000000000053 +:048A20000000000052 +:048A21000000000051 +:048A22000000000050 +:048A2300000000004F +:048A2400000000004E +:048A2500000000004D +:048A2600000000004C +:048A2700000000004B +:048A2800000000004A +:048A29000000000049 +:048A2A000000000048 +:048A2B000000000047 +:048A2C000000000046 +:048A2D000000000045 +:048A2E000000000044 +:048A2F000000000043 +:048A30000000000042 +:048A31000000000041 +:048A32000000000040 +:048A3300000000003F +:048A3400000000003E +:048A3500000000003D +:048A3600000000003C +:048A3700000000003B +:048A3800000000003A +:048A39000000000039 +:048A3A000000000038 +:048A3B000000000037 +:048A3C000000000036 +:048A3D000000000035 +:048A3E000000000034 +:048A3F000000000033 +:048A40000000000032 +:048A41000000000031 +:048A42000000000030 +:048A4300000000002F +:048A4400000000002E +:048A4500000000002D +:048A4600000000002C +:048A4700000000002B +:048A4800000000002A +:048A49000000000029 +:048A4A000000000028 +:048A4B000000000027 +:048A4C000000000026 +:048A4D000000000025 +:048A4E000000000024 +:048A4F000000000023 +:048A50000000000022 +:048A51000000000021 +:048A52000000000020 +:048A5300000000001F +:048A5400000000001E +:048A5500000000001D +:048A5600000000001C +:048A5700000000001B +:048A5800000000001A +:048A59000000000019 +:048A5A000000000018 +:048A5B000000000017 +:048A5C000000000016 +:048A5D000000000015 +:048A5E000000000014 +:048A5F000000000013 +:048A60000000000012 +:048A61000000000011 +:048A62000000000010 +:048A6300000000000F +:048A6400000000000E +:048A6500000000000D +:048A6600000000000C +:048A6700000000000B +:048A6800000000000A +:048A69000000000009 +:048A6A000000000008 +:048A6B000000000007 +:048A6C000000000006 +:048A6D000000000005 +:048A6E000000000004 +:048A6F000000000003 +:048A70000000000002 +:048A71000000000001 +:048A72000000000000 +:048A730000000000FF +:048A740000000000FE +:048A750000000000FD +:048A760000000000FC +:048A770000000000FB +:048A780000000000FA +:048A790000000000F9 +:048A7A0000000000F8 +:048A7B0000000000F7 +:048A7C0000000000F6 +:048A7D0000000000F5 +:048A7E0000000000F4 +:048A7F0000000000F3 +:048A800000000000F2 +:048A810000000000F1 +:048A820000000000F0 +:048A830000000000EF +:048A840000000000EE +:048A850000000000ED +:048A860000000000EC +:048A870000000000EB +:048A880000000000EA +:048A890000000000E9 +:048A8A0000000000E8 +:048A8B0000000000E7 +:048A8C0000000000E6 +:048A8D0000000000E5 +:048A8E0000000000E4 +:048A8F0000000000E3 +:048A900000000000E2 +:048A910000000000E1 +:048A920000000000E0 +:048A930000000000DF +:048A940000000000DE +:048A950000000000DD +:048A960000000000DC +:048A970000000000DB +:048A980000000000DA +:048A990000000000D9 +:048A9A0000000000D8 +:048A9B0000000000D7 +:048A9C0000000000D6 +:048A9D0000000000D5 +:048A9E0000000000D4 +:048A9F0000000000D3 +:048AA00000000000D2 +:048AA10000000000D1 +:048AA20000000000D0 +:048AA30000000000CF +:048AA40000000000CE +:048AA50000000000CD +:048AA60000000000CC +:048AA70000000000CB +:048AA80000000000CA +:048AA90000000000C9 +:048AAA0000000000C8 +:048AAB0000000000C7 +:048AAC0000000000C6 +:048AAD0000000000C5 +:048AAE0000000000C4 +:048AAF0000000000C3 +:048AB00000000000C2 +:048AB10000000000C1 +:048AB20000000000C0 +:048AB30000000000BF +:048AB40000000000BE +:048AB50000000000BD +:048AB60000000000BC +:048AB70000000000BB +:048AB80000000000BA +:048AB90000000000B9 +:048ABA0000000000B8 +:048ABB0000000000B7 +:048ABC0000000000B6 +:048ABD0000000000B5 +:048ABE0000000000B4 +:048ABF0000000000B3 +:048AC00000000000B2 +:048AC10000000000B1 +:048AC20000000000B0 +:048AC30000000000AF +:048AC40000000000AE +:048AC50000000000AD +:048AC60000000000AC +:048AC70000000000AB +:048AC80000000000AA +:048AC90000000000A9 +:048ACA0000000000A8 +:048ACB0000000000A7 +:048ACC0000000000A6 +:048ACD0000000000A5 +:048ACE0000000000A4 +:048ACF0000000000A3 +:048AD00000000000A2 +:048AD10000000000A1 +:048AD20000000000A0 +:048AD300000000009F +:048AD400000000009E +:048AD500000000009D +:048AD600000000009C +:048AD700000000009B +:048AD800000000009A +:048AD9000000000099 +:048ADA000000000098 +:048ADB000000000097 +:048ADC000000000096 +:048ADD000000000095 +:048ADE000000000094 +:048ADF000000000093 +:048AE0000000000092 +:048AE1000000000091 +:048AE2000000000090 +:048AE300000000008F +:048AE400000000008E +:048AE500000000008D +:048AE600000000008C +:048AE700000000008B +:048AE800000000008A +:048AE9000000000089 +:048AEA000000000088 +:048AEB000000000087 +:048AEC000000000086 +:048AED000000000085 +:048AEE000000000084 +:048AEF000000000083 +:048AF0000000000082 +:048AF1000000000081 +:048AF2000000000080 +:048AF300000000007F +:048AF400000000007E +:048AF500000000007D +:048AF600000000007C +:048AF700000000007B +:048AF800000000007A +:048AF9000000000079 +:048AFA000000000078 +:048AFB000000000077 +:048AFC000000000076 +:048AFD000000000075 +:048AFE000000000074 +:048AFF000000000073 +:048B00000000000071 +:048B01000000000070 +:048B0200000000006F +:048B0300000000006E +:048B0400000000006D +:048B0500000000006C +:048B0600000000006B +:048B0700000000006A +:048B08000000000069 +:048B09000000000068 +:048B0A000000000067 +:048B0B000000000066 +:048B0C000000000065 +:048B0D000000000064 +:048B0E000000000063 +:048B0F000000000062 +:048B10000000000061 +:048B11000000000060 +:048B1200000000005F +:048B1300000000005E +:048B1400000000005D +:048B1500000000005C +:048B1600000000005B +:048B1700000000005A +:048B18000000000059 +:048B19000000000058 +:048B1A000000000057 +:048B1B000000000056 +:048B1C000000000055 +:048B1D000000000054 +:048B1E000000000053 +:048B1F000000000052 +:048B20000000000051 +:048B21000000000050 +:048B2200000000004F +:048B2300000000004E +:048B2400000000004D +:048B2500000000004C +:048B2600000000004B +:048B2700000000004A +:048B28000000000049 +:048B29000000000048 +:048B2A000000000047 +:048B2B000000000046 +:048B2C000000000045 +:048B2D000000000044 +:048B2E000000000043 +:048B2F000000000042 +:048B30000000000041 +:048B31000000000040 +:048B3200000000003F +:048B3300000000003E +:048B3400000000003D +:048B3500000000003C +:048B3600000000003B +:048B3700000000003A +:048B38000000000039 +:048B39000000000038 +:048B3A000000000037 +:048B3B000000000036 +:048B3C000000000035 +:048B3D000000000034 +:048B3E000000000033 +:048B3F000000000032 +:048B40000000000031 +:048B41000000000030 +:048B4200000000002F +:048B4300000000002E +:048B4400000000002D +:048B4500000000002C +:048B4600000000002B +:048B4700000000002A +:048B48000000000029 +:048B49000000000028 +:048B4A000000000027 +:048B4B000000000026 +:048B4C000000000025 +:048B4D000000000024 +:048B4E000000000023 +:048B4F000000000022 +:048B50000000000021 +:048B51000000000020 +:048B5200000000001F +:048B5300000000001E +:048B5400000000001D +:048B5500000000001C +:048B5600000000001B +:048B5700000000001A +:048B58000000000019 +:048B59000000000018 +:048B5A000000000017 +:048B5B000000000016 +:048B5C000000000015 +:048B5D000000000014 +:048B5E000000000013 +:048B5F000000000012 +:048B60000000000011 +:048B61000000000010 +:048B6200000000000F +:048B6300000000000E +:048B6400000000000D +:048B6500000000000C +:048B6600000000000B +:048B6700000000000A +:048B68000000000009 +:048B69000000000008 +:048B6A000000000007 +:048B6B000000000006 +:048B6C000000000005 +:048B6D000000000004 +:048B6E000000000003 +:048B6F000000000002 +:048B70000000000001 +:048B71000000000000 +:048B720000000000FF +:048B730000000000FE +:048B740000000000FD +:048B750000000000FC +:048B760000000000FB +:048B770000000000FA +:048B780000000000F9 +:048B790000000000F8 +:048B7A0000000000F7 +:048B7B0000000000F6 +:048B7C0000000000F5 +:048B7D0000000000F4 +:048B7E0000000000F3 +:048B7F0000000000F2 +:048B800000000000F1 +:048B810000000000F0 +:048B820000000000EF +:048B830000000000EE +:048B840000000000ED +:048B850000000000EC +:048B860000000000EB +:048B870000000000EA +:048B880000000000E9 +:048B890000000000E8 +:048B8A0000000000E7 +:048B8B0000000000E6 +:048B8C0000000000E5 +:048B8D0000000000E4 +:048B8E0000000000E3 +:048B8F0000000000E2 +:048B900000000000E1 +:048B910000000000E0 +:048B920000000000DF +:048B930000000000DE +:048B940000000000DD +:048B950000000000DC +:048B960000000000DB +:048B970000000000DA +:048B980000000000D9 +:048B990000000000D8 +:048B9A0000000000D7 +:048B9B0000000000D6 +:048B9C0000000000D5 +:048B9D0000000000D4 +:048B9E0000000000D3 +:048B9F0000000000D2 +:048BA00000000000D1 +:048BA10000000000D0 +:048BA20000000000CF +:048BA30000000000CE +:048BA40000000000CD +:048BA50000000000CC +:048BA60000000000CB +:048BA70000000000CA +:048BA80000000000C9 +:048BA90000000000C8 +:048BAA0000000000C7 +:048BAB0000000000C6 +:048BAC0000000000C5 +:048BAD0000000000C4 +:048BAE0000000000C3 +:048BAF0000000000C2 +:048BB00000000000C1 +:048BB10000000000C0 +:048BB20000000000BF +:048BB30000000000BE +:048BB40000000000BD +:048BB50000000000BC +:048BB60000000000BB +:048BB70000000000BA +:048BB80000000000B9 +:048BB90000000000B8 +:048BBA0000000000B7 +:048BBB0000000000B6 +:048BBC0000000000B5 +:048BBD0000000000B4 +:048BBE0000000000B3 +:048BBF0000000000B2 +:048BC00000000000B1 +:048BC10000000000B0 +:048BC20000000000AF +:048BC30000000000AE +:048BC40000000000AD +:048BC50000000000AC +:048BC60000000000AB +:048BC70000000000AA +:048BC80000000000A9 +:048BC90000000000A8 +:048BCA0000000000A7 +:048BCB0000000000A6 +:048BCC0000000000A5 +:048BCD0000000000A4 +:048BCE0000000000A3 +:048BCF0000000000A2 +:048BD00000000000A1 +:048BD10000000000A0 +:048BD200000000009F +:048BD300000000009E +:048BD400000000009D +:048BD500000000009C +:048BD600000000009B +:048BD700000000009A +:048BD8000000000099 +:048BD9000000000098 +:048BDA000000000097 +:048BDB000000000096 +:048BDC000000000095 +:048BDD000000000094 +:048BDE000000000093 +:048BDF000000000092 +:048BE0000000000091 +:048BE1000000000090 +:048BE200000000008F +:048BE300000000008E +:048BE400000000008D +:048BE500000000008C +:048BE600000000008B +:048BE700000000008A +:048BE8000000000089 +:048BE9000000000088 +:048BEA000000000087 +:048BEB000000000086 +:048BEC000000000085 +:048BED000000000084 +:048BEE000000000083 +:048BEF000000000082 +:048BF0000000000081 +:048BF1000000000080 +:048BF200000000007F +:048BF300000000007E +:048BF400000000007D +:048BF500000000007C +:048BF600000000007B +:048BF700000000007A +:048BF8000000000079 +:048BF9000000000078 +:048BFA000000000077 +:048BFB000000000076 +:048BFC000000000075 +:048BFD000000000074 +:048BFE000000000073 +:048BFF000000000072 +:048C00000000000070 +:048C0100000000006F +:048C0200000000006E +:048C0300000000006D +:048C0400000000006C +:048C0500000000006B +:048C0600000000006A +:048C07000000000069 +:048C08000000000068 +:048C09000000000067 +:048C0A000000000066 +:048C0B000000000065 +:048C0C000000000064 +:048C0D000000000063 +:048C0E000000000062 +:048C0F000000000061 +:048C10000000000060 +:048C1100000000005F +:048C1200000000005E +:048C1300000000005D +:048C1400000000005C +:048C1500000000005B +:048C1600000000005A +:048C17000000000059 +:048C18000000000058 +:048C19000000000057 +:048C1A000000000056 +:048C1B000000000055 +:048C1C000000000054 +:048C1D000000000053 +:048C1E000000000052 +:048C1F000000000051 +:048C20000000000050 +:048C2100000000004F +:048C2200000000004E +:048C2300000000004D +:048C2400000000004C +:048C2500000000004B +:048C2600000000004A +:048C27000000000049 +:048C28000000000048 +:048C29000000000047 +:048C2A000000000046 +:048C2B000000000045 +:048C2C000000000044 +:048C2D000000000043 +:048C2E000000000042 +:048C2F000000000041 +:048C30000000000040 +:048C3100000000003F +:048C3200000000003E +:048C3300000000003D +:048C3400000000003C +:048C3500000000003B +:048C3600000000003A +:048C37000000000039 +:048C38000000000038 +:048C39000000000037 +:048C3A000000000036 +:048C3B000000000035 +:048C3C000000000034 +:048C3D000000000033 +:048C3E000000000032 +:048C3F000000000031 +:048C40000000000030 +:048C4100000000002F +:048C4200000000002E +:048C4300000000002D +:048C4400000000002C +:048C4500000000002B +:048C4600000000002A +:048C47000000000029 +:048C48000000000028 +:048C49000000000027 +:048C4A000000000026 +:048C4B000000000025 +:048C4C000000000024 +:048C4D000000000023 +:048C4E000000000022 +:048C4F000000000021 +:048C50000000000020 +:048C5100000000001F +:048C5200000000001E +:048C5300000000001D +:048C5400000000001C +:048C5500000000001B +:048C5600000000001A +:048C57000000000019 +:048C58000000000018 +:048C59000000000017 +:048C5A000000000016 +:048C5B000000000015 +:048C5C000000000014 +:048C5D000000000013 +:048C5E000000000012 +:048C5F000000000011 +:048C60000000000010 +:048C6100000000000F +:048C6200000000000E +:048C6300000000000D +:048C6400000000000C +:048C6500000000000B +:048C6600000000000A +:048C67000000000009 +:048C68000000000008 +:048C69000000000007 +:048C6A000000000006 +:048C6B000000000005 +:048C6C000000000004 +:048C6D000000000003 +:048C6E000000000002 +:048C6F000000000001 +:048C70000000000000 +:048C710000000000FF +:048C720000000000FE +:048C730000000000FD +:048C740000000000FC +:048C750000000000FB +:048C760000000000FA +:048C770000000000F9 +:048C780000000000F8 +:048C790000000000F7 +:048C7A0000000000F6 +:048C7B0000000000F5 +:048C7C0000000000F4 +:048C7D0000000000F3 +:048C7E0000000000F2 +:048C7F0000000000F1 +:048C800000000000F0 +:048C810000000000EF +:048C820000000000EE +:048C830000000000ED +:048C840000000000EC +:048C850000000000EB +:048C860000000000EA +:048C870000000000E9 +:048C880000000000E8 +:048C890000000000E7 +:048C8A0000000000E6 +:048C8B0000000000E5 +:048C8C0000000000E4 +:048C8D0000000000E3 +:048C8E0000000000E2 +:048C8F0000000000E1 +:048C900000000000E0 +:048C910000000000DF +:048C920000000000DE +:048C930000000000DD +:048C940000000000DC +:048C950000000000DB +:048C960000000000DA +:048C970000000000D9 +:048C980000000000D8 +:048C990000000000D7 +:048C9A0000000000D6 +:048C9B0000000000D5 +:048C9C0000000000D4 +:048C9D0000000000D3 +:048C9E0000000000D2 +:048C9F0000000000D1 +:048CA00000000000D0 +:048CA10000000000CF +:048CA20000000000CE +:048CA30000000000CD +:048CA40000000000CC +:048CA50000000000CB +:048CA60000000000CA +:048CA70000000000C9 +:048CA80000000000C8 +:048CA90000000000C7 +:048CAA0000000000C6 +:048CAB0000000000C5 +:048CAC0000000000C4 +:048CAD0000000000C3 +:048CAE0000000000C2 +:048CAF0000000000C1 +:048CB00000000000C0 +:048CB10000000000BF +:048CB20000000000BE +:048CB30000000000BD +:048CB40000000000BC +:048CB50000000000BB +:048CB60000000000BA +:048CB70000000000B9 +:048CB80000000000B8 +:048CB90000000000B7 +:048CBA0000000000B6 +:048CBB0000000000B5 +:048CBC0000000000B4 +:048CBD0000000000B3 +:048CBE0000000000B2 +:048CBF0000000000B1 +:048CC00000000000B0 +:048CC10000000000AF +:048CC20000000000AE +:048CC30000000000AD +:048CC40000000000AC +:048CC50000000000AB +:048CC60000000000AA +:048CC70000000000A9 +:048CC80000000000A8 +:048CC90000000000A7 +:048CCA0000000000A6 +:048CCB0000000000A5 +:048CCC0000000000A4 +:048CCD0000000000A3 +:048CCE0000000000A2 +:048CCF0000000000A1 +:048CD00000000000A0 +:048CD100000000009F +:048CD200000000009E +:048CD300000000009D +:048CD400000000009C +:048CD500000000009B +:048CD600000000009A +:048CD7000000000099 +:048CD8000000000098 +:048CD9000000000097 +:048CDA000000000096 +:048CDB000000000095 +:048CDC000000000094 +:048CDD000000000093 +:048CDE000000000092 +:048CDF000000000091 +:048CE0000000000090 +:048CE100000000008F +:048CE200000000008E +:048CE300000000008D +:048CE400000000008C +:048CE500000000008B +:048CE600000000008A +:048CE7000000000089 +:048CE8000000000088 +:048CE9000000000087 +:048CEA000000000086 +:048CEB000000000085 +:048CEC000000000084 +:048CED000000000083 +:048CEE000000000082 +:048CEF000000000081 +:048CF0000000000080 +:048CF100000000007F +:048CF200000000007E +:048CF300000000007D +:048CF400000000007C +:048CF500000000007B +:048CF600000000007A +:048CF7000000000079 +:048CF8000000000078 +:048CF9000000000077 +:048CFA000000000076 +:048CFB000000000075 +:048CFC000000000074 +:048CFD000000000073 +:048CFE000000000072 +:048CFF000000000071 +:048D0000000000006F +:048D0100000000006E +:048D0200000000006D +:048D0300000000006C +:048D0400000000006B +:048D0500000000006A +:048D06000000000069 +:048D07000000000068 +:048D08000000000067 +:048D09000000000066 +:048D0A000000000065 +:048D0B000000000064 +:048D0C000000000063 +:048D0D000000000062 +:048D0E000000000061 +:048D0F000000000060 +:048D1000000000005F +:048D1100000000005E +:048D1200000000005D +:048D1300000000005C +:048D1400000000005B +:048D1500000000005A +:048D16000000000059 +:048D17000000000058 +:048D18000000000057 +:048D19000000000056 +:048D1A000000000055 +:048D1B000000000054 +:048D1C000000000053 +:048D1D000000000052 +:048D1E000000000051 +:048D1F000000000050 +:048D2000000000004F +:048D2100000000004E +:048D2200000000004D +:048D2300000000004C +:048D2400000000004B +:048D2500000000004A +:048D26000000000049 +:048D27000000000048 +:048D28000000000047 +:048D29000000000046 +:048D2A000000000045 +:048D2B000000000044 +:048D2C000000000043 +:048D2D000000000042 +:048D2E000000000041 +:048D2F000000000040 +:048D3000000000003F +:048D3100000000003E +:048D3200000000003D +:048D3300000000003C +:048D3400000000003B +:048D3500000000003A +:048D36000000000039 +:048D37000000000038 +:048D38000000000037 +:048D39000000000036 +:048D3A000000000035 +:048D3B000000000034 +:048D3C000000000033 +:048D3D000000000032 +:048D3E000000000031 +:048D3F000000000030 +:048D4000000000002F +:048D4100000000002E +:048D4200000000002D +:048D4300000000002C +:048D4400000000002B +:048D4500000000002A +:048D46000000000029 +:048D47000000000028 +:048D48000000000027 +:048D49000000000026 +:048D4A000000000025 +:048D4B000000000024 +:048D4C000000000023 +:048D4D000000000022 +:048D4E000000000021 +:048D4F000000000020 +:048D5000000000001F +:048D5100000000001E +:048D5200000000001D +:048D5300000000001C +:048D5400000000001B +:048D5500000000001A +:048D56000000000019 +:048D57000000000018 +:048D58000000000017 +:048D59000000000016 +:048D5A000000000015 +:048D5B000000000014 +:048D5C000000000013 +:048D5D000000000012 +:048D5E000000000011 +:048D5F000000000010 +:048D6000000000000F +:048D6100000000000E +:048D6200000000000D +:048D6300000000000C +:048D6400000000000B +:048D6500000000000A +:048D66000000000009 +:048D67000000000008 +:048D68000000000007 +:048D69000000000006 +:048D6A000000000005 +:048D6B000000000004 +:048D6C000000000003 +:048D6D000000000002 +:048D6E000000000001 +:048D6F000000000000 +:048D700000000000FF +:048D710000000000FE +:048D720000000000FD +:048D730000000000FC +:048D740000000000FB +:048D750000000000FA +:048D760000000000F9 +:048D770000000000F8 +:048D780000000000F7 +:048D790000000000F6 +:048D7A0000000000F5 +:048D7B0000000000F4 +:048D7C0000000000F3 +:048D7D0000000000F2 +:048D7E0000000000F1 +:048D7F0000000000F0 +:048D800000000000EF +:048D810000000000EE +:048D820000000000ED +:048D830000000000EC +:048D840000000000EB +:048D850000000000EA +:048D860000000000E9 +:048D870000000000E8 +:048D880000000000E7 +:048D890000000000E6 +:048D8A0000000000E5 +:048D8B0000000000E4 +:048D8C0000000000E3 +:048D8D0000000000E2 +:048D8E0000000000E1 +:048D8F0000000000E0 +:048D900000000000DF +:048D910000000000DE +:048D920000000000DD +:048D930000000000DC +:048D940000000000DB +:048D950000000000DA +:048D960000000000D9 +:048D970000000000D8 +:048D980000000000D7 +:048D990000000000D6 +:048D9A0000000000D5 +:048D9B0000000000D4 +:048D9C0000000000D3 +:048D9D0000000000D2 +:048D9E0000000000D1 +:048D9F0000000000D0 +:048DA00000000000CF +:048DA10000000000CE +:048DA20000000000CD +:048DA30000000000CC +:048DA40000000000CB +:048DA50000000000CA +:048DA60000000000C9 +:048DA70000000000C8 +:048DA80000000000C7 +:048DA90000000000C6 +:048DAA0000000000C5 +:048DAB0000000000C4 +:048DAC0000000000C3 +:048DAD0000000000C2 +:048DAE0000000000C1 +:048DAF0000000000C0 +:048DB00000000000BF +:048DB10000000000BE +:048DB20000000000BD +:048DB30000000000BC +:048DB40000000000BB +:048DB50000000000BA +:048DB60000000000B9 +:048DB70000000000B8 +:048DB80000000000B7 +:048DB90000000000B6 +:048DBA0000000000B5 +:048DBB0000000000B4 +:048DBC0000000000B3 +:048DBD0000000000B2 +:048DBE0000000000B1 +:048DBF0000000000B0 +:048DC00000000000AF +:048DC10000000000AE +:048DC20000000000AD +:048DC30000000000AC +:048DC40000000000AB +:048DC50000000000AA +:048DC60000000000A9 +:048DC70000000000A8 +:048DC80000000000A7 +:048DC90000000000A6 +:048DCA0000000000A5 +:048DCB0000000000A4 +:048DCC0000000000A3 +:048DCD0000000000A2 +:048DCE0000000000A1 +:048DCF0000000000A0 +:048DD000000000009F +:048DD100000000009E +:048DD200000000009D +:048DD300000000009C +:048DD400000000009B +:048DD500000000009A +:048DD6000000000099 +:048DD7000000000098 +:048DD8000000000097 +:048DD9000000000096 +:048DDA000000000095 +:048DDB000000000094 +:048DDC000000000093 +:048DDD000000000092 +:048DDE000000000091 +:048DDF000000000090 +:048DE000000000008F +:048DE100000000008E +:048DE200000000008D +:048DE300000000008C +:048DE400000000008B +:048DE500000000008A +:048DE6000000000089 +:048DE7000000000088 +:048DE8000000000087 +:048DE9000000000086 +:048DEA000000000085 +:048DEB000000000084 +:048DEC000000000083 +:048DED000000000082 +:048DEE000000000081 +:048DEF000000000080 +:048DF000000000007F +:048DF100000000007E +:048DF200000000007D +:048DF300000000007C +:048DF400000000007B +:048DF500000000007A +:048DF6000000000079 +:048DF7000000000078 +:048DF8000000000077 +:048DF9000000000076 +:048DFA000000000075 +:048DFB000000000074 +:048DFC000000000073 +:048DFD000000000072 +:048DFE000000000071 +:048DFF000000000070 +:048E0000000000006E +:048E0100000000006D +:048E0200000000006C +:048E0300000000006B +:048E0400000000006A +:048E05000000000069 +:048E06000000000068 +:048E07000000000067 +:048E08000000000066 +:048E09000000000065 +:048E0A000000000064 +:048E0B000000000063 +:048E0C000000000062 +:048E0D000000000061 +:048E0E000000000060 +:048E0F00000000005F +:048E1000000000005E +:048E1100000000005D +:048E1200000000005C +:048E1300000000005B +:048E1400000000005A +:048E15000000000059 +:048E16000000000058 +:048E17000000000057 +:048E18000000000056 +:048E19000000000055 +:048E1A000000000054 +:048E1B000000000053 +:048E1C000000000052 +:048E1D000000000051 +:048E1E000000000050 +:048E1F00000000004F +:048E2000000000004E +:048E2100000000004D +:048E2200000000004C +:048E2300000000004B +:048E2400000000004A +:048E25000000000049 +:048E26000000000048 +:048E27000000000047 +:048E28000000000046 +:048E29000000000045 +:048E2A000000000044 +:048E2B000000000043 +:048E2C000000000042 +:048E2D000000000041 +:048E2E000000000040 +:048E2F00000000003F +:048E3000000000003E +:048E3100000000003D +:048E3200000000003C +:048E3300000000003B +:048E3400000000003A +:048E35000000000039 +:048E36000000000038 +:048E37000000000037 +:048E38000000000036 +:048E39000000000035 +:048E3A000000000034 +:048E3B000000000033 +:048E3C000000000032 +:048E3D000000000031 +:048E3E000000000030 +:048E3F00000000002F +:048E4000000000002E +:048E4100000000002D +:048E4200000000002C +:048E4300000000002B +:048E4400000000002A +:048E45000000000029 +:048E46000000000028 +:048E47000000000027 +:048E48000000000026 +:048E49000000000025 +:048E4A000000000024 +:048E4B000000000023 +:048E4C000000000022 +:048E4D000000000021 +:048E4E000000000020 +:048E4F00000000001F +:048E5000000000001E +:048E5100000000001D +:048E5200000000001C +:048E5300000000001B +:048E5400000000001A +:048E55000000000019 +:048E56000000000018 +:048E57000000000017 +:048E58000000000016 +:048E59000000000015 +:048E5A000000000014 +:048E5B000000000013 +:048E5C000000000012 +:048E5D000000000011 +:048E5E000000000010 +:048E5F00000000000F +:048E6000000000000E +:048E6100000000000D +:048E6200000000000C +:048E6300000000000B +:048E6400000000000A +:048E65000000000009 +:048E66000000000008 +:048E67000000000007 +:048E68000000000006 +:048E69000000000005 +:048E6A000000000004 +:048E6B000000000003 +:048E6C000000000002 +:048E6D000000000001 +:048E6E000000000000 +:048E6F0000000000FF +:048E700000000000FE +:048E710000000000FD +:048E720000000000FC +:048E730000000000FB +:048E740000000000FA +:048E750000000000F9 +:048E760000000000F8 +:048E770000000000F7 +:048E780000000000F6 +:048E790000000000F5 +:048E7A0000000000F4 +:048E7B0000000000F3 +:048E7C0000000000F2 +:048E7D0000000000F1 +:048E7E0000000000F0 +:048E7F0000000000EF +:048E800000000000EE +:048E810000000000ED +:048E820000000000EC +:048E830000000000EB +:048E840000000000EA +:048E850000000000E9 +:048E860000000000E8 +:048E870000000000E7 +:048E880000000000E6 +:048E890000000000E5 +:048E8A0000000000E4 +:048E8B0000000000E3 +:048E8C0000000000E2 +:048E8D0000000000E1 +:048E8E0000000000E0 +:048E8F0000000000DF +:048E900000000000DE +:048E910000000000DD +:048E920000000000DC +:048E930000000000DB +:048E940000000000DA +:048E950000000000D9 +:048E960000000000D8 +:048E970000000000D7 +:048E980000000000D6 +:048E990000000000D5 +:048E9A0000000000D4 +:048E9B0000000000D3 +:048E9C0000000000D2 +:048E9D0000000000D1 +:048E9E0000000000D0 +:048E9F0000000000CF +:048EA00000000000CE +:048EA10000000000CD +:048EA20000000000CC +:048EA30000000000CB +:048EA40000000000CA +:048EA50000000000C9 +:048EA60000000000C8 +:048EA70000000000C7 +:048EA80000000000C6 +:048EA90000000000C5 +:048EAA0000000000C4 +:048EAB0000000000C3 +:048EAC0000000000C2 +:048EAD0000000000C1 +:048EAE0000000000C0 +:048EAF0000000000BF +:048EB00000000000BE +:048EB10000000000BD +:048EB20000000000BC +:048EB30000000000BB +:048EB40000000000BA +:048EB50000000000B9 +:048EB60000000000B8 +:048EB70000000000B7 +:048EB80000000000B6 +:048EB90000000000B5 +:048EBA0000000000B4 +:048EBB0000000000B3 +:048EBC0000000000B2 +:048EBD0000000000B1 +:048EBE0000000000B0 +:048EBF0000000000AF +:048EC00000000000AE +:048EC10000000000AD +:048EC20000000000AC +:048EC30000000000AB +:048EC40000000000AA +:048EC50000000000A9 +:048EC60000000000A8 +:048EC70000000000A7 +:048EC80000000000A6 +:048EC90000000000A5 +:048ECA0000000000A4 +:048ECB0000000000A3 +:048ECC0000000000A2 +:048ECD0000000000A1 +:048ECE0000000000A0 +:048ECF00000000009F +:048ED000000000009E +:048ED100000000009D +:048ED200000000009C +:048ED300000000009B +:048ED400000000009A +:048ED5000000000099 +:048ED6000000000098 +:048ED7000000000097 +:048ED8000000000096 +:048ED9000000000095 +:048EDA000000000094 +:048EDB000000000093 +:048EDC000000000092 +:048EDD000000000091 +:048EDE000000000090 +:048EDF00000000008F +:048EE000000000008E +:048EE100000000008D +:048EE200000000008C +:048EE300000000008B +:048EE400000000008A +:048EE5000000000089 +:048EE6000000000088 +:048EE7000000000087 +:048EE8000000000086 +:048EE9000000000085 +:048EEA000000000084 +:048EEB000000000083 +:048EEC000000000082 +:048EED000000000081 +:048EEE000000000080 +:048EEF00000000007F +:048EF000000000007E +:048EF100000000007D +:048EF200000000007C +:048EF300000000007B +:048EF400000000007A +:048EF5000000000079 +:048EF6000000000078 +:048EF7000000000077 +:048EF8000000000076 +:048EF9000000000075 +:048EFA000000000074 +:048EFB000000000073 +:048EFC000000000072 +:048EFD000000000071 +:048EFE000000000070 +:048EFF00000000006F +:048F0000000000006D +:048F0100000000006C +:048F0200000000006B +:048F0300000000006A +:048F04000000000069 +:048F05000000000068 +:048F06000000000067 +:048F07000000000066 +:048F08000000000065 +:048F09000000000064 +:048F0A000000000063 +:048F0B000000000062 +:048F0C000000000061 +:048F0D000000000060 +:048F0E00000000005F +:048F0F00000000005E +:048F1000000000005D +:048F1100000000005C +:048F1200000000005B +:048F1300000000005A +:048F14000000000059 +:048F15000000000058 +:048F16000000000057 +:048F17000000000056 +:048F18000000000055 +:048F19000000000054 +:048F1A000000000053 +:048F1B000000000052 +:048F1C000000000051 +:048F1D000000000050 +:048F1E00000000004F +:048F1F00000000004E +:048F2000000000004D +:048F2100000000004C +:048F2200000000004B +:048F2300000000004A +:048F24000000000049 +:048F25000000000048 +:048F26000000000047 +:048F27000000000046 +:048F28000000000045 +:048F29000000000044 +:048F2A000000000043 +:048F2B000000000042 +:048F2C000000000041 +:048F2D000000000040 +:048F2E00000000003F +:048F2F00000000003E +:048F3000000000003D +:048F3100000000003C +:048F3200000000003B +:048F3300000000003A +:048F34000000000039 +:048F35000000000038 +:048F36000000000037 +:048F37000000000036 +:048F38000000000035 +:048F39000000000034 +:048F3A000000000033 +:048F3B000000000032 +:048F3C000000000031 +:048F3D000000000030 +:048F3E00000000002F +:048F3F00000000002E +:048F4000000000002D +:048F4100000000002C +:048F4200000000002B +:048F4300000000002A +:048F44000000000029 +:048F45000000000028 +:048F46000000000027 +:048F47000000000026 +:048F48000000000025 +:048F49000000000024 +:048F4A000000000023 +:048F4B000000000022 +:048F4C000000000021 +:048F4D000000000020 +:048F4E00000000001F +:048F4F00000000001E +:048F5000000000001D +:048F5100000000001C +:048F5200000000001B +:048F5300000000001A +:048F54000000000019 +:048F55000000000018 +:048F56000000000017 +:048F57000000000016 +:048F58000000000015 +:048F59000000000014 +:048F5A000000000013 +:048F5B000000000012 +:048F5C000000000011 +:048F5D000000000010 +:048F5E00000000000F +:048F5F00000000000E +:048F6000000000000D +:048F6100000000000C +:048F6200000000000B +:048F6300000000000A +:048F64000000000009 +:048F65000000000008 +:048F66000000000007 +:048F67000000000006 +:048F68000000000005 +:048F69000000000004 +:048F6A000000000003 +:048F6B000000000002 +:048F6C000000000001 +:048F6D000000000000 +:048F6E0000000000FF +:048F6F0000000000FE +:048F700000000000FD +:048F710000000000FC +:048F720000000000FB +:048F730000000000FA +:048F740000000000F9 +:048F750000000000F8 +:048F760000000000F7 +:048F770000000000F6 +:048F780000000000F5 +:048F790000000000F4 +:048F7A0000000000F3 +:048F7B0000000000F2 +:048F7C0000000000F1 +:048F7D0000000000F0 +:048F7E0000000000EF +:048F7F0000000000EE +:048F800000000000ED +:048F810000000000EC +:048F820000000000EB +:048F830000000000EA +:048F840000000000E9 +:048F850000000000E8 +:048F860000000000E7 +:048F870000000000E6 +:048F880000000000E5 +:048F890000000000E4 +:048F8A0000000000E3 +:048F8B0000000000E2 +:048F8C0000000000E1 +:048F8D0000000000E0 +:048F8E0000000000DF +:048F8F0000000000DE +:048F900000000000DD +:048F910000000000DC +:048F920000000000DB +:048F930000000000DA +:048F940000000000D9 +:048F950000000000D8 +:048F960000000000D7 +:048F970000000000D6 +:048F980000000000D5 +:048F990000000000D4 +:048F9A0000000000D3 +:048F9B0000000000D2 +:048F9C0000000000D1 +:048F9D0000000000D0 +:048F9E0000000000CF +:048F9F0000000000CE +:048FA00000000000CD +:048FA10000000000CC +:048FA20000000000CB +:048FA30000000000CA +:048FA40000000000C9 +:048FA50000000000C8 +:048FA60000000000C7 +:048FA70000000000C6 +:048FA80000000000C5 +:048FA90000000000C4 +:048FAA0000000000C3 +:048FAB0000000000C2 +:048FAC0000000000C1 +:048FAD0000000000C0 +:048FAE0000000000BF +:048FAF0000000000BE +:048FB00000000000BD +:048FB10000000000BC +:048FB20000000000BB +:048FB30000000000BA +:048FB40000000000B9 +:048FB50000000000B8 +:048FB60000000000B7 +:048FB70000000000B6 +:048FB80000000000B5 +:048FB90000000000B4 +:048FBA0000000000B3 +:048FBB0000000000B2 +:048FBC0000000000B1 +:048FBD0000000000B0 +:048FBE0000000000AF +:048FBF0000000000AE +:048FC00000000000AD +:048FC10000000000AC +:048FC20000000000AB +:048FC30000000000AA +:048FC40000000000A9 +:048FC50000000000A8 +:048FC60000000000A7 +:048FC70000000000A6 +:048FC80000000000A5 +:048FC90000000000A4 +:048FCA0000000000A3 +:048FCB0000000000A2 +:048FCC0000000000A1 +:048FCD0000000000A0 +:048FCE00000000009F +:048FCF00000000009E +:048FD000000000009D +:048FD100000000009C +:048FD200000000009B +:048FD300000000009A +:048FD4000000000099 +:048FD5000000000098 +:048FD6000000000097 +:048FD7000000000096 +:048FD8000000000095 +:048FD9000000000094 +:048FDA000000000093 +:048FDB000000000092 +:048FDC000000000091 +:048FDD000000000090 +:048FDE00000000008F +:048FDF00000000008E +:048FE000000000008D +:048FE100000000008C +:048FE200000000008B +:048FE300000000008A +:048FE4000000000089 +:048FE5000000000088 +:048FE6000000000087 +:048FE7000000000086 +:048FE8000000000085 +:048FE9000000000084 +:048FEA000000000083 +:048FEB000000000082 +:048FEC000000000081 +:048FED000000000080 +:048FEE00000000007F +:048FEF00000000007E +:048FF000000000007D +:048FF100000000007C +:048FF200000000007B +:048FF300000000007A +:048FF4000000000079 +:048FF5000000000078 +:048FF6000000000077 +:048FF7000000000076 +:048FF8000000000075 +:048FF9000000000074 +:048FFA000000000073 +:048FFB000000000072 +:048FFC000000000071 +:048FFD000000000070 +:048FFE00000000006F +:048FFF00000000006E +:04900000000000006C +:04900100000000006B +:04900200000000006A +:049003000000000069 +:049004000000000068 +:049005000000000067 +:049006000000000066 +:049007000000000065 +:049008000000000064 +:049009000000000063 +:04900A000000000062 +:04900B000000000061 +:04900C000000000060 +:04900D00000000005F +:04900E00000000005E +:04900F00000000005D +:04901000000000005C +:04901100000000005B +:04901200000000005A +:049013000000000059 +:049014000000000058 +:049015000000000057 +:049016000000000056 +:049017000000000055 +:049018000000000054 +:049019000000000053 +:04901A000000000052 +:04901B000000000051 +:04901C000000000050 +:04901D00000000004F +:04901E00000000004E +:04901F00000000004D +:04902000000000004C +:04902100000000004B +:04902200000000004A +:049023000000000049 +:049024000000000048 +:049025000000000047 +:049026000000000046 +:049027000000000045 +:049028000000000044 +:049029000000000043 +:04902A000000000042 +:04902B000000000041 +:04902C000000000040 +:04902D00000000003F +:04902E00000000003E +:04902F00000000003D +:04903000000000003C +:04903100000000003B +:04903200000000003A +:049033000000000039 +:049034000000000038 +:049035000000000037 +:049036000000000036 +:049037000000000035 +:049038000000000034 +:049039000000000033 +:04903A000000000032 +:04903B000000000031 +:04903C000000000030 +:04903D00000000002F +:04903E00000000002E +:04903F00000000002D +:04904000000000002C +:04904100000000002B +:04904200000000002A +:049043000000000029 +:049044000000000028 +:049045000000000027 +:049046000000000026 +:049047000000000025 +:049048000000000024 +:049049000000000023 +:04904A000000000022 +:04904B000000000021 +:04904C000000000020 +:04904D00000000001F +:04904E00000000001E +:04904F00000000001D +:04905000000000001C +:04905100000000001B +:04905200000000001A +:049053000000000019 +:049054000000000018 +:049055000000000017 +:049056000000000016 +:049057000000000015 +:049058000000000014 +:049059000000000013 +:04905A000000000012 +:04905B000000000011 +:04905C000000000010 +:04905D00000000000F +:04905E00000000000E +:04905F00000000000D +:04906000000000000C +:04906100000000000B +:04906200000000000A +:049063000000000009 +:049064000000000008 +:049065000000000007 +:049066000000000006 +:049067000000000005 +:049068000000000004 +:049069000000000003 +:04906A000000000002 +:04906B000000000001 +:04906C000000000000 +:04906D0000000000FF +:04906E0000000000FE +:04906F0000000000FD +:0490700000000000FC +:0490710000000000FB +:0490720000000000FA +:0490730000000000F9 +:0490740000000000F8 +:0490750000000000F7 +:0490760000000000F6 +:0490770000000000F5 +:0490780000000000F4 +:0490790000000000F3 +:04907A0000000000F2 +:04907B0000000000F1 +:04907C0000000000F0 +:04907D0000000000EF +:04907E0000000000EE +:04907F0000000000ED +:0490800000000000EC +:0490810000000000EB +:0490820000000000EA +:0490830000000000E9 +:0490840000000000E8 +:0490850000000000E7 +:0490860000000000E6 +:0490870000000000E5 +:0490880000000000E4 +:0490890000000000E3 +:04908A0000000000E2 +:04908B0000000000E1 +:04908C0000000000E0 +:04908D0000000000DF +:04908E0000000000DE +:04908F0000000000DD +:0490900000000000DC +:0490910000000000DB +:0490920000000000DA +:0490930000000000D9 +:0490940000000000D8 +:0490950000000000D7 +:0490960000000000D6 +:0490970000000000D5 +:0490980000000000D4 +:0490990000000000D3 +:04909A0000000000D2 +:04909B0000000000D1 +:04909C0000000000D0 +:04909D0000000000CF +:04909E0000000000CE +:04909F0000000000CD +:0490A00000000000CC +:0490A10000000000CB +:0490A20000000000CA +:0490A30000000000C9 +:0490A40000000000C8 +:0490A50000000000C7 +:0490A60000000000C6 +:0490A70000000000C5 +:0490A80000000000C4 +:0490A90000000000C3 +:0490AA0000000000C2 +:0490AB0000000000C1 +:0490AC0000000000C0 +:0490AD0000000000BF +:0490AE0000000000BE +:0490AF0000000000BD +:0490B00000000000BC +:0490B10000000000BB +:0490B20000000000BA +:0490B30000000000B9 +:0490B40000000000B8 +:0490B50000000000B7 +:0490B60000000000B6 +:0490B70000000000B5 +:0490B80000000000B4 +:0490B90000000000B3 +:0490BA0000000000B2 +:0490BB0000000000B1 +:0490BC0000000000B0 +:0490BD0000000000AF +:0490BE0000000000AE +:0490BF0000000000AD +:0490C00000000000AC +:0490C10000000000AB +:0490C20000000000AA +:0490C30000000000A9 +:0490C40000000000A8 +:0490C50000000000A7 +:0490C60000000000A6 +:0490C70000000000A5 +:0490C80000000000A4 +:0490C90000000000A3 +:0490CA0000000000A2 +:0490CB0000000000A1 +:0490CC0000000000A0 +:0490CD00000000009F +:0490CE00000000009E +:0490CF00000000009D +:0490D000000000009C +:0490D100000000009B +:0490D200000000009A +:0490D3000000000099 +:0490D4000000000098 +:0490D5000000000097 +:0490D6000000000096 +:0490D7000000000095 +:0490D8000000000094 +:0490D9000000000093 +:0490DA000000000092 +:0490DB000000000091 +:0490DC000000000090 +:0490DD00000000008F +:0490DE00000000008E +:0490DF00000000008D +:0490E000000000008C +:0490E100000000008B +:0490E200000000008A +:0490E3000000000089 +:0490E4000000000088 +:0490E5000000000087 +:0490E6000000000086 +:0490E7000000000085 +:0490E8000000000084 +:0490E9000000000083 +:0490EA000000000082 +:0490EB000000000081 +:0490EC000000000080 +:0490ED00000000007F +:0490EE00000000007E +:0490EF00000000007D +:0490F000000000007C +:0490F100000000007B +:0490F200000000007A +:0490F3000000000079 +:0490F4000000000078 +:0490F5000000000077 +:0490F6000000000076 +:0490F7000000000075 +:0490F8000000000074 +:0490F9000000000073 +:0490FA000000000072 +:0490FB000000000071 +:0490FC000000000070 +:0490FD00000000006F +:0490FE00000000006E +:0490FF00000000006D +:04910000000000006B +:04910100000000006A +:049102000000000069 +:049103000000000068 +:049104000000000067 +:049105000000000066 +:049106000000000065 +:049107000000000064 +:049108000000000063 +:049109000000000062 +:04910A000000000061 +:04910B000000000060 +:04910C00000000005F +:04910D00000000005E +:04910E00000000005D +:04910F00000000005C +:04911000000000005B +:04911100000000005A +:049112000000000059 +:049113000000000058 +:049114000000000057 +:049115000000000056 +:049116000000000055 +:049117000000000054 +:049118000000000053 +:049119000000000052 +:04911A000000000051 +:04911B000000000050 +:04911C00000000004F +:04911D00000000004E +:04911E00000000004D +:04911F00000000004C +:04912000000000004B +:04912100000000004A +:049122000000000049 +:049123000000000048 +:049124000000000047 +:049125000000000046 +:049126000000000045 +:049127000000000044 +:049128000000000043 +:049129000000000042 +:04912A000000000041 +:04912B000000000040 +:04912C00000000003F +:04912D00000000003E +:04912E00000000003D +:04912F00000000003C +:04913000000000003B +:04913100000000003A +:049132000000000039 +:049133000000000038 +:049134000000000037 +:049135000000000036 +:049136000000000035 +:049137000000000034 +:049138000000000033 +:049139000000000032 +:04913A000000000031 +:04913B000000000030 +:04913C00000000002F +:04913D00000000002E +:04913E00000000002D +:04913F00000000002C +:04914000000000002B +:04914100000000002A +:049142000000000029 +:049143000000000028 +:049144000000000027 +:049145000000000026 +:049146000000000025 +:049147000000000024 +:049148000000000023 +:049149000000000022 +:04914A000000000021 +:04914B000000000020 +:04914C00000000001F +:04914D00000000001E +:04914E00000000001D +:04914F00000000001C +:04915000000000001B +:04915100000000001A +:049152000000000019 +:049153000000000018 +:049154000000000017 +:049155000000000016 +:049156000000000015 +:049157000000000014 +:049158000000000013 +:049159000000000012 +:04915A000000000011 +:04915B000000000010 +:04915C00000000000F +:04915D00000000000E +:04915E00000000000D +:04915F00000000000C +:04916000000000000B +:04916100000000000A +:049162000000000009 +:049163000000000008 +:049164000000000007 +:049165000000000006 +:049166000000000005 +:049167000000000004 +:049168000000000003 +:049169000000000002 +:04916A000000000001 +:04916B000000000000 +:04916C0000000000FF +:04916D0000000000FE +:04916E0000000000FD +:04916F0000000000FC +:0491700000000000FB +:0491710000000000FA +:0491720000000000F9 +:0491730000000000F8 +:0491740000000000F7 +:0491750000000000F6 +:0491760000000000F5 +:0491770000000000F4 +:0491780000000000F3 +:0491790000000000F2 +:04917A0000000000F1 +:04917B0000000000F0 +:04917C0000000000EF +:04917D0000000000EE +:04917E0000000000ED +:04917F0000000000EC +:0491800000000000EB +:0491810000000000EA +:0491820000000000E9 +:0491830000000000E8 +:0491840000000000E7 +:0491850000000000E6 +:0491860000000000E5 +:0491870000000000E4 +:0491880000000000E3 +:0491890000000000E2 +:04918A0000000000E1 +:04918B0000000000E0 +:04918C0000000000DF +:04918D0000000000DE +:04918E0000000000DD +:04918F0000000000DC +:0491900000000000DB +:0491910000000000DA +:0491920000000000D9 +:0491930000000000D8 +:0491940000000000D7 +:0491950000000000D6 +:0491960000000000D5 +:0491970000000000D4 +:0491980000000000D3 +:0491990000000000D2 +:04919A0000000000D1 +:04919B0000000000D0 +:04919C0000000000CF +:04919D0000000000CE +:04919E0000000000CD +:04919F0000000000CC +:0491A00000000000CB +:0491A10000000000CA +:0491A20000000000C9 +:0491A30000000000C8 +:0491A40000000000C7 +:0491A50000000000C6 +:0491A60000000000C5 +:0491A70000000000C4 +:0491A80000000000C3 +:0491A90000000000C2 +:0491AA0000000000C1 +:0491AB0000000000C0 +:0491AC0000000000BF +:0491AD0000000000BE +:0491AE0000000000BD +:0491AF0000000000BC +:0491B00000000000BB +:0491B10000000000BA +:0491B20000000000B9 +:0491B30000000000B8 +:0491B40000000000B7 +:0491B50000000000B6 +:0491B60000000000B5 +:0491B70000000000B4 +:0491B80000000000B3 +:0491B90000000000B2 +:0491BA0000000000B1 +:0491BB0000000000B0 +:0491BC0000000000AF +:0491BD0000000000AE +:0491BE0000000000AD +:0491BF0000000000AC +:0491C00000000000AB +:0491C10000000000AA +:0491C20000000000A9 +:0491C30000000000A8 +:0491C40000000000A7 +:0491C50000000000A6 +:0491C60000000000A5 +:0491C70000000000A4 +:0491C80000000000A3 +:0491C90000000000A2 +:0491CA0000000000A1 +:0491CB0000000000A0 +:0491CC00000000009F +:0491CD00000000009E +:0491CE00000000009D +:0491CF00000000009C +:0491D000000000009B +:0491D100000000009A +:0491D2000000000099 +:0491D3000000000098 +:0491D4000000000097 +:0491D5000000000096 +:0491D6000000000095 +:0491D7000000000094 +:0491D8000000000093 +:0491D9000000000092 +:0491DA000000000091 +:0491DB000000000090 +:0491DC00000000008F +:0491DD00000000008E +:0491DE00000000008D +:0491DF00000000008C +:0491E000000000008B +:0491E100000000008A +:0491E2000000000089 +:0491E3000000000088 +:0491E4000000000087 +:0491E5000000000086 +:0491E6000000000085 +:0491E7000000000084 +:0491E8000000000083 +:0491E9000000000082 +:0491EA000000000081 +:0491EB000000000080 +:0491EC00000000007F +:0491ED00000000007E +:0491EE00000000007D +:0491EF00000000007C +:0491F000000000007B +:0491F100000000007A +:0491F2000000000079 +:0491F3000000000078 +:0491F4000000000077 +:0491F5000000000076 +:0491F6000000000075 +:0491F7000000000074 +:0491F8000000000073 +:0491F9000000000072 +:0491FA000000000071 +:0491FB000000000070 +:0491FC00000000006F +:0491FD00000000006E +:0491FE00000000006D +:0491FF00000000006C +:04920000000000006A +:049201000000000069 +:049202000000000068 +:049203000000000067 +:049204000000000066 +:049205000000000065 +:049206000000000064 +:049207000000000063 +:049208000000000062 +:049209000000000061 +:04920A000000000060 +:04920B00000000005F +:04920C00000000005E +:04920D00000000005D +:04920E00000000005C +:04920F00000000005B +:04921000000000005A +:049211000000000059 +:049212000000000058 +:049213000000000057 +:049214000000000056 +:049215000000000055 +:049216000000000054 +:049217000000000053 +:049218000000000052 +:049219000000000051 +:04921A000000000050 +:04921B00000000004F +:04921C00000000004E +:04921D00000000004D +:04921E00000000004C +:04921F00000000004B +:04922000000000004A +:049221000000000049 +:049222000000000048 +:049223000000000047 +:049224000000000046 +:049225000000000045 +:049226000000000044 +:049227000000000043 +:049228000000000042 +:049229000000000041 +:04922A000000000040 +:04922B00000000003F +:04922C00000000003E +:04922D00000000003D +:04922E00000000003C +:04922F00000000003B +:04923000000000003A +:049231000000000039 +:049232000000000038 +:049233000000000037 +:049234000000000036 +:049235000000000035 +:049236000000000034 +:049237000000000033 +:049238000000000032 +:049239000000000031 +:04923A000000000030 +:04923B00000000002F +:04923C00000000002E +:04923D00000000002D +:04923E00000000002C +:04923F00000000002B +:04924000000000002A +:049241000000000029 +:049242000000000028 +:049243000000000027 +:049244000000000026 +:049245000000000025 +:049246000000000024 +:049247000000000023 +:049248000000000022 +:049249000000000021 +:04924A000000000020 +:04924B00000000001F +:04924C00000000001E +:04924D00000000001D +:04924E00000000001C +:04924F00000000001B +:04925000000000001A +:049251000000000019 +:049252000000000018 +:049253000000000017 +:049254000000000016 +:049255000000000015 +:049256000000000014 +:049257000000000013 +:049258000000000012 +:049259000000000011 +:04925A000000000010 +:04925B00000000000F +:04925C00000000000E +:04925D00000000000D +:04925E00000000000C +:04925F00000000000B +:04926000000000000A +:049261000000000009 +:049262000000000008 +:049263000000000007 +:049264000000000006 +:049265000000000005 +:049266000000000004 +:049267000000000003 +:049268000000000002 +:049269000000000001 +:04926A000000000000 +:04926B0000000000FF +:04926C0000000000FE +:04926D0000000000FD +:04926E0000000000FC +:04926F0000000000FB +:0492700000000000FA +:0492710000000000F9 +:0492720000000000F8 +:0492730000000000F7 +:0492740000000000F6 +:0492750000000000F5 +:0492760000000000F4 +:0492770000000000F3 +:0492780000000000F2 +:0492790000000000F1 +:04927A0000000000F0 +:04927B0000000000EF +:04927C0000000000EE +:04927D0000000000ED +:04927E0000000000EC +:04927F0000000000EB +:0492800000000000EA +:0492810000000000E9 +:0492820000000000E8 +:0492830000000000E7 +:0492840000000000E6 +:0492850000000000E5 +:0492860000000000E4 +:0492870000000000E3 +:0492880000000000E2 +:0492890000000000E1 +:04928A0000000000E0 +:04928B0000000000DF +:04928C0000000000DE +:04928D0000000000DD +:04928E0000000000DC +:04928F0000000000DB +:0492900000000000DA +:0492910000000000D9 +:0492920000000000D8 +:0492930000000000D7 +:0492940000000000D6 +:0492950000000000D5 +:0492960000000000D4 +:0492970000000000D3 +:0492980000000000D2 +:0492990000000000D1 +:04929A0000000000D0 +:04929B0000000000CF +:04929C0000000000CE +:04929D0000000000CD +:04929E0000000000CC +:04929F0000000000CB +:0492A00000000000CA +:0492A10000000000C9 +:0492A20000000000C8 +:0492A30000000000C7 +:0492A40000000000C6 +:0492A50000000000C5 +:0492A60000000000C4 +:0492A70000000000C3 +:0492A80000000000C2 +:0492A90000000000C1 +:0492AA0000000000C0 +:0492AB0000000000BF +:0492AC0000000000BE +:0492AD0000000000BD +:0492AE0000000000BC +:0492AF0000000000BB +:0492B00000000000BA +:0492B10000000000B9 +:0492B20000000000B8 +:0492B30000000000B7 +:0492B40000000000B6 +:0492B50000000000B5 +:0492B60000000000B4 +:0492B70000000000B3 +:0492B80000000000B2 +:0492B90000000000B1 +:0492BA0000000000B0 +:0492BB0000000000AF +:0492BC0000000000AE +:0492BD0000000000AD +:0492BE0000000000AC +:0492BF0000000000AB +:0492C00000000000AA +:0492C10000000000A9 +:0492C20000000000A8 +:0492C30000000000A7 +:0492C40000000000A6 +:0492C50000000000A5 +:0492C60000000000A4 +:0492C70000000000A3 +:0492C80000000000A2 +:0492C90000000000A1 +:0492CA0000000000A0 +:0492CB00000000009F +:0492CC00000000009E +:0492CD00000000009D +:0492CE00000000009C +:0492CF00000000009B +:0492D000000000009A +:0492D1000000000099 +:0492D2000000000098 +:0492D3000000000097 +:0492D4000000000096 +:0492D5000000000095 +:0492D6000000000094 +:0492D7000000000093 +:0492D8000000000092 +:0492D9000000000091 +:0492DA000000000090 +:0492DB00000000008F +:0492DC00000000008E +:0492DD00000000008D +:0492DE00000000008C +:0492DF00000000008B +:0492E000000000008A +:0492E1000000000089 +:0492E2000000000088 +:0492E3000000000087 +:0492E4000000000086 +:0492E5000000000085 +:0492E6000000000084 +:0492E7000000000083 +:0492E8000000000082 +:0492E9000000000081 +:0492EA000000000080 +:0492EB00000000007F +:0492EC00000000007E +:0492ED00000000007D +:0492EE00000000007C +:0492EF00000000007B +:0492F000000000007A +:0492F1000000000079 +:0492F2000000000078 +:0492F3000000000077 +:0492F4000000000076 +:0492F5000000000075 +:0492F6000000000074 +:0492F7000000000073 +:0492F8000000000072 +:0492F9000000000071 +:0492FA000000000070 +:0492FB00000000006F +:0492FC00000000006E +:0492FD00000000006D +:0492FE00000000006C +:0492FF00000000006B +:049300000000000069 +:049301000000000068 +:049302000000000067 +:049303000000000066 +:049304000000000065 +:049305000000000064 +:049306000000000063 +:049307000000000062 +:049308000000000061 +:049309000000000060 +:04930A00000000005F +:04930B00000000005E +:04930C00000000005D +:04930D00000000005C +:04930E00000000005B +:04930F00000000005A +:049310000000000059 +:049311000000000058 +:049312000000000057 +:049313000000000056 +:049314000000000055 +:049315000000000054 +:049316000000000053 +:049317000000000052 +:049318000000000051 +:049319000000000050 +:04931A00000000004F +:04931B00000000004E +:04931C00000000004D +:04931D00000000004C +:04931E00000000004B +:04931F00000000004A +:049320000000000049 +:049321000000000048 +:049322000000000047 +:049323000000000046 +:049324000000000045 +:049325000000000044 +:049326000000000043 +:049327000000000042 +:049328000000000041 +:049329000000000040 +:04932A00000000003F +:04932B00000000003E +:04932C00000000003D +:04932D00000000003C +:04932E00000000003B +:04932F00000000003A +:049330000000000039 +:049331000000000038 +:049332000000000037 +:049333000000000036 +:049334000000000035 +:049335000000000034 +:049336000000000033 +:049337000000000032 +:049338000000000031 +:049339000000000030 +:04933A00000000002F +:04933B00000000002E +:04933C00000000002D +:04933D00000000002C +:04933E00000000002B +:04933F00000000002A +:049340000000000029 +:049341000000000028 +:049342000000000027 +:049343000000000026 +:049344000000000025 +:049345000000000024 +:049346000000000023 +:049347000000000022 +:049348000000000021 +:049349000000000020 +:04934A00000000001F +:04934B00000000001E +:04934C00000000001D +:04934D00000000001C +:04934E00000000001B +:04934F00000000001A +:049350000000000019 +:049351000000000018 +:049352000000000017 +:049353000000000016 +:049354000000000015 +:049355000000000014 +:049356000000000013 +:049357000000000012 +:049358000000000011 +:049359000000000010 +:04935A00000000000F +:04935B00000000000E +:04935C00000000000D +:04935D00000000000C +:04935E00000000000B +:04935F00000000000A +:049360000000000009 +:049361000000000008 +:049362000000000007 +:049363000000000006 +:049364000000000005 +:049365000000000004 +:049366000000000003 +:049367000000000002 +:049368000000000001 +:049369000000000000 +:04936A0000000000FF +:04936B0000000000FE +:04936C0000000000FD +:04936D0000000000FC +:04936E0000000000FB +:04936F0000000000FA +:0493700000000000F9 +:0493710000000000F8 +:0493720000000000F7 +:0493730000000000F6 +:0493740000000000F5 +:0493750000000000F4 +:0493760000000000F3 +:0493770000000000F2 +:0493780000000000F1 +:0493790000000000F0 +:04937A0000000000EF +:04937B0000000000EE +:04937C0000000000ED +:04937D0000000000EC +:04937E0000000000EB +:04937F0000000000EA +:0493800000000000E9 +:0493810000000000E8 +:0493820000000000E7 +:0493830000000000E6 +:0493840000000000E5 +:0493850000000000E4 +:0493860000000000E3 +:0493870000000000E2 +:0493880000000000E1 +:0493890000000000E0 +:04938A0000000000DF +:04938B0000000000DE +:04938C0000000000DD +:04938D0000000000DC +:04938E0000000000DB +:04938F0000000000DA +:0493900000000000D9 +:0493910000000000D8 +:0493920000000000D7 +:0493930000000000D6 +:0493940000000000D5 +:0493950000000000D4 +:0493960000000000D3 +:0493970000000000D2 +:0493980000000000D1 +:0493990000000000D0 +:04939A0000000000CF +:04939B0000000000CE +:04939C0000000000CD +:04939D0000000000CC +:04939E0000000000CB +:04939F0000000000CA +:0493A00000000000C9 +:0493A10000000000C8 +:0493A20000000000C7 +:0493A30000000000C6 +:0493A40000000000C5 +:0493A50000000000C4 +:0493A60000000000C3 +:0493A70000000000C2 +:0493A80000000000C1 +:0493A90000000000C0 +:0493AA0000000000BF +:0493AB0000000000BE +:0493AC0000000000BD +:0493AD0000000000BC +:0493AE0000000000BB +:0493AF0000000000BA +:0493B00000000000B9 +:0493B10000000000B8 +:0493B20000000000B7 +:0493B30000000000B6 +:0493B40000000000B5 +:0493B50000000000B4 +:0493B60000000000B3 +:0493B70000000000B2 +:0493B80000000000B1 +:0493B90000000000B0 +:0493BA0000000000AF +:0493BB0000000000AE +:0493BC0000000000AD +:0493BD0000000000AC +:0493BE0000000000AB +:0493BF0000000000AA +:0493C00000000000A9 +:0493C10000000000A8 +:0493C20000000000A7 +:0493C30000000000A6 +:0493C40000000000A5 +:0493C50000000000A4 +:0493C60000000000A3 +:0493C70000000000A2 +:0493C80000000000A1 +:0493C90000000000A0 +:0493CA00000000009F +:0493CB00000000009E +:0493CC00000000009D +:0493CD00000000009C +:0493CE00000000009B +:0493CF00000000009A +:0493D0000000000099 +:0493D1000000000098 +:0493D2000000000097 +:0493D3000000000096 +:0493D4000000000095 +:0493D5000000000094 +:0493D6000000000093 +:0493D7000000000092 +:0493D8000000000091 +:0493D9000000000090 +:0493DA00000000008F +:0493DB00000000008E +:0493DC00000000008D +:0493DD00000000008C +:0493DE00000000008B +:0493DF00000000008A +:0493E0000000000089 +:0493E1000000000088 +:0493E2000000000087 +:0493E3000000000086 +:0493E4000000000085 +:0493E5000000000084 +:0493E6000000000083 +:0493E7000000000082 +:0493E8000000000081 +:0493E9000000000080 +:0493EA00000000007F +:0493EB00000000007E +:0493EC00000000007D +:0493ED00000000007C +:0493EE00000000007B +:0493EF00000000007A +:0493F0000000000079 +:0493F1000000000078 +:0493F2000000000077 +:0493F3000000000076 +:0493F4000000000075 +:0493F5000000000074 +:0493F6000000000073 +:0493F7000000000072 +:0493F8000000000071 +:0493F9000000000070 +:0493FA00000000006F +:0493FB00000000006E +:0493FC00000000006D +:0493FD00000000006C +:0493FE00000000006B +:0493FF00000000006A +:049400000000000068 +:049401000000000067 +:049402000000000066 +:049403000000000065 +:049404000000000064 +:049405000000000063 +:049406000000000062 +:049407000000000061 +:049408000000000060 +:04940900000000005F +:04940A00000000005E +:04940B00000000005D +:04940C00000000005C +:04940D00000000005B +:04940E00000000005A +:04940F000000000059 +:049410000000000058 +:049411000000000057 +:049412000000000056 +:049413000000000055 +:049414000000000054 +:049415000000000053 +:049416000000000052 +:049417000000000051 +:049418000000000050 +:04941900000000004F +:04941A00000000004E +:04941B00000000004D +:04941C00000000004C +:04941D00000000004B +:04941E00000000004A +:04941F000000000049 +:049420000000000048 +:049421000000000047 +:049422000000000046 +:049423000000000045 +:049424000000000044 +:049425000000000043 +:049426000000000042 +:049427000000000041 +:049428000000000040 +:04942900000000003F +:04942A00000000003E +:04942B00000000003D +:04942C00000000003C +:04942D00000000003B +:04942E00000000003A +:04942F000000000039 +:049430000000000038 +:049431000000000037 +:049432000000000036 +:049433000000000035 +:049434000000000034 +:049435000000000033 +:049436000000000032 +:049437000000000031 +:049438000000000030 +:04943900000000002F +:04943A00000000002E +:04943B00000000002D +:04943C00000000002C +:04943D00000000002B +:04943E00000000002A +:04943F000000000029 +:049440000000000028 +:049441000000000027 +:049442000000000026 +:049443000000000025 +:049444000000000024 +:049445000000000023 +:049446000000000022 +:049447000000000021 +:049448000000000020 +:04944900000000001F +:04944A00000000001E +:04944B00000000001D +:04944C00000000001C +:04944D00000000001B +:04944E00000000001A +:04944F000000000019 +:049450000000000018 +:049451000000000017 +:049452000000000016 +:049453000000000015 +:049454000000000014 +:049455000000000013 +:049456000000000012 +:049457000000000011 +:049458000000000010 +:04945900000000000F +:04945A00000000000E +:04945B00000000000D +:04945C00000000000C +:04945D00000000000B +:04945E00000000000A +:04945F000000000009 +:049460000000000008 +:049461000000000007 +:049462000000000006 +:049463000000000005 +:049464000000000004 +:049465000000000003 +:049466000000000002 +:049467000000000001 +:049468000000000000 +:0494690000000000FF +:04946A0000000000FE +:04946B0000000000FD +:04946C0000000000FC +:04946D0000000000FB +:04946E0000000000FA +:04946F0000000000F9 +:0494700000000000F8 +:0494710000000000F7 +:0494720000000000F6 +:0494730000000000F5 +:0494740000000000F4 +:0494750000000000F3 +:0494760000000000F2 +:0494770000000000F1 +:0494780000000000F0 +:0494790000000000EF +:04947A0000000000EE +:04947B0000000000ED +:04947C0000000000EC +:04947D0000000000EB +:04947E0000000000EA +:04947F0000000000E9 +:0494800000000000E8 +:0494810000000000E7 +:0494820000000000E6 +:0494830000000000E5 +:0494840000000000E4 +:0494850000000000E3 +:0494860000000000E2 +:0494870000000000E1 +:0494880000000000E0 +:0494890000000000DF +:04948A0000000000DE +:04948B0000000000DD +:04948C0000000000DC +:04948D0000000000DB +:04948E0000000000DA +:04948F0000000000D9 +:0494900000000000D8 +:0494910000000000D7 +:0494920000000000D6 +:0494930000000000D5 +:0494940000000000D4 +:0494950000000000D3 +:0494960000000000D2 +:0494970000000000D1 +:0494980000000000D0 +:0494990000000000CF +:04949A0000000000CE +:04949B0000000000CD +:04949C0000000000CC +:04949D0000000000CB +:04949E0000000000CA +:04949F0000000000C9 +:0494A00000000000C8 +:0494A10000000000C7 +:0494A20000000000C6 +:0494A30000000000C5 +:0494A40000000000C4 +:0494A50000000000C3 +:0494A60000000000C2 +:0494A70000000000C1 +:0494A80000000000C0 +:0494A90000000000BF +:0494AA0000000000BE +:0494AB0000000000BD +:0494AC0000000000BC +:0494AD0000000000BB +:0494AE0000000000BA +:0494AF0000000000B9 +:0494B00000000000B8 +:0494B10000000000B7 +:0494B20000000000B6 +:0494B30000000000B5 +:0494B40000000000B4 +:0494B50000000000B3 +:0494B60000000000B2 +:0494B70000000000B1 +:0494B80000000000B0 +:0494B90000000000AF +:0494BA0000000000AE +:0494BB0000000000AD +:0494BC0000000000AC +:0494BD0000000000AB +:0494BE0000000000AA +:0494BF0000000000A9 +:0494C00000000000A8 +:0494C10000000000A7 +:0494C20000000000A6 +:0494C30000000000A5 +:0494C40000000000A4 +:0494C50000000000A3 +:0494C60000000000A2 +:0494C70000000000A1 +:0494C80000000000A0 +:0494C900000000009F +:0494CA00000000009E +:0494CB00000000009D +:0494CC00000000009C +:0494CD00000000009B +:0494CE00000000009A +:0494CF000000000099 +:0494D0000000000098 +:0494D1000000000097 +:0494D2000000000096 +:0494D3000000000095 +:0494D4000000000094 +:0494D5000000000093 +:0494D6000000000092 +:0494D7000000000091 +:0494D8000000000090 +:0494D900000000008F +:0494DA00000000008E +:0494DB00000000008D +:0494DC00000000008C +:0494DD00000000008B +:0494DE00000000008A +:0494DF000000000089 +:0494E0000000000088 +:0494E1000000000087 +:0494E2000000000086 +:0494E3000000000085 +:0494E4000000000084 +:0494E5000000000083 +:0494E6000000000082 +:0494E7000000000081 +:0494E8000000000080 +:0494E900000000007F +:0494EA00000000007E +:0494EB00000000007D +:0494EC00000000007C +:0494ED00000000007B +:0494EE00000000007A +:0494EF000000000079 +:0494F0000000000078 +:0494F1000000000077 +:0494F2000000000076 +:0494F3000000000075 +:0494F4000000000074 +:0494F5000000000073 +:0494F6000000000072 +:0494F7000000000071 +:0494F8000000000070 +:0494F900000000006F +:0494FA00000000006E +:0494FB00000000006D +:0494FC00000000006C +:0494FD00000000006B +:0494FE00000000006A +:0494FF000000000069 +:049500000000000067 +:049501000000000066 +:049502000000000065 +:049503000000000064 +:049504000000000063 +:049505000000000062 +:049506000000000061 +:049507000000000060 +:04950800000000005F +:04950900000000005E +:04950A00000000005D +:04950B00000000005C +:04950C00000000005B +:04950D00000000005A +:04950E000000000059 +:04950F000000000058 +:049510000000000057 +:049511000000000056 +:049512000000000055 +:049513000000000054 +:049514000000000053 +:049515000000000052 +:049516000000000051 +:049517000000000050 +:04951800000000004F +:04951900000000004E +:04951A00000000004D +:04951B00000000004C +:04951C00000000004B +:04951D00000000004A +:04951E000000000049 +:04951F000000000048 +:049520000000000047 +:049521000000000046 +:049522000000000045 +:049523000000000044 +:049524000000000043 +:049525000000000042 +:049526000000000041 +:049527000000000040 +:04952800000000003F +:04952900000000003E +:04952A00000000003D +:04952B00000000003C +:04952C00000000003B +:04952D00000000003A +:04952E000000000039 +:04952F000000000038 +:049530000000000037 +:049531000000000036 +:049532000000000035 +:049533000000000034 +:049534000000000033 +:049535000000000032 +:049536000000000031 +:049537000000000030 +:04953800000000002F +:04953900000000002E +:04953A00000000002D +:04953B00000000002C +:04953C00000000002B +:04953D00000000002A +:04953E000000000029 +:04953F000000000028 +:049540000000000027 +:049541000000000026 +:049542000000000025 +:049543000000000024 +:049544000000000023 +:049545000000000022 +:049546000000000021 +:049547000000000020 +:04954800000000001F +:04954900000000001E +:04954A00000000001D +:04954B00000000001C +:04954C00000000001B +:04954D00000000001A +:04954E000000000019 +:04954F000000000018 +:049550000000000017 +:049551000000000016 +:049552000000000015 +:049553000000000014 +:049554000000000013 +:049555000000000012 +:049556000000000011 +:049557000000000010 +:04955800000000000F +:04955900000000000E +:04955A00000000000D +:04955B00000000000C +:04955C00000000000B +:04955D00000000000A +:04955E000000000009 +:04955F000000000008 +:049560000000000007 +:049561000000000006 +:049562000000000005 +:049563000000000004 +:049564000000000003 +:049565000000000002 +:049566000000000001 +:049567000000000000 +:0495680000000000FF +:0495690000000000FE +:04956A0000000000FD +:04956B0000000000FC +:04956C0000000000FB +:04956D0000000000FA +:04956E0000000000F9 +:04956F0000000000F8 +:0495700000000000F7 +:0495710000000000F6 +:0495720000000000F5 +:0495730000000000F4 +:0495740000000000F3 +:0495750000000000F2 +:0495760000000000F1 +:0495770000000000F0 +:0495780000000000EF +:0495790000000000EE +:04957A0000000000ED +:04957B0000000000EC +:04957C0000000000EB +:04957D0000000000EA +:04957E0000000000E9 +:04957F0000000000E8 +:0495800000000000E7 +:0495810000000000E6 +:0495820000000000E5 +:0495830000000000E4 +:0495840000000000E3 +:0495850000000000E2 +:0495860000000000E1 +:0495870000000000E0 +:0495880000000000DF +:0495890000000000DE +:04958A0000000000DD +:04958B0000000000DC +:04958C0000000000DB +:04958D0000000000DA +:04958E0000000000D9 +:04958F0000000000D8 +:0495900000000000D7 +:0495910000000000D6 +:0495920000000000D5 +:0495930000000000D4 +:0495940000000000D3 +:0495950000000000D2 +:0495960000000000D1 +:0495970000000000D0 +:0495980000000000CF +:0495990000000000CE +:04959A0000000000CD +:04959B0000000000CC +:04959C0000000000CB +:04959D0000000000CA +:04959E0000000000C9 +:04959F0000000000C8 +:0495A00000000000C7 +:0495A10000000000C6 +:0495A20000000000C5 +:0495A30000000000C4 +:0495A40000000000C3 +:0495A50000000000C2 +:0495A60000000000C1 +:0495A70000000000C0 +:0495A80000000000BF +:0495A90000000000BE +:0495AA0000000000BD +:0495AB0000000000BC +:0495AC0000000000BB +:0495AD0000000000BA +:0495AE0000000000B9 +:0495AF0000000000B8 +:0495B00000000000B7 +:0495B10000000000B6 +:0495B20000000000B5 +:0495B30000000000B4 +:0495B40000000000B3 +:0495B50000000000B2 +:0495B60000000000B1 +:0495B70000000000B0 +:0495B80000000000AF +:0495B90000000000AE +:0495BA0000000000AD +:0495BB0000000000AC +:0495BC0000000000AB +:0495BD0000000000AA +:0495BE0000000000A9 +:0495BF0000000000A8 +:0495C00000000000A7 +:0495C10000000000A6 +:0495C20000000000A5 +:0495C30000000000A4 +:0495C40000000000A3 +:0495C50000000000A2 +:0495C60000000000A1 +:0495C70000000000A0 +:0495C800000000009F +:0495C900000000009E +:0495CA00000000009D +:0495CB00000000009C +:0495CC00000000009B +:0495CD00000000009A +:0495CE000000000099 +:0495CF000000000098 +:0495D0000000000097 +:0495D1000000000096 +:0495D2000000000095 +:0495D3000000000094 +:0495D4000000000093 +:0495D5000000000092 +:0495D6000000000091 +:0495D7000000000090 +:0495D800000000008F +:0495D900000000008E +:0495DA00000000008D +:0495DB00000000008C +:0495DC00000000008B +:0495DD00000000008A +:0495DE000000000089 +:0495DF000000000088 +:0495E0000000000087 +:0495E1000000000086 +:0495E2000000000085 +:0495E3000000000084 +:0495E4000000000083 +:0495E5000000000082 +:0495E6000000000081 +:0495E7000000000080 +:0495E800000000007F +:0495E900000000007E +:0495EA00000000007D +:0495EB00000000007C +:0495EC00000000007B +:0495ED00000000007A +:0495EE000000000079 +:0495EF000000000078 +:0495F0000000000077 +:0495F1000000000076 +:0495F2000000000075 +:0495F3000000000074 +:0495F4000000000073 +:0495F5000000000072 +:0495F6000000000071 +:0495F7000000000070 +:0495F800000000006F +:0495F900000000006E +:0495FA00000000006D +:0495FB00000000006C +:0495FC00000000006B +:0495FD00000000006A +:0495FE000000000069 +:0495FF000000000068 +:049600000000000066 +:049601000000000065 +:049602000000000064 +:049603000000000063 +:049604000000000062 +:049605000000000061 +:049606000000000060 +:04960700000000005F +:04960800000000005E +:04960900000000005D +:04960A00000000005C +:04960B00000000005B +:04960C00000000005A +:04960D000000000059 +:04960E000000000058 +:04960F000000000057 +:049610000000000056 +:049611000000000055 +:049612000000000054 +:049613000000000053 +:049614000000000052 +:049615000000000051 +:049616000000000050 +:04961700000000004F +:04961800000000004E +:04961900000000004D +:04961A00000000004C +:04961B00000000004B +:04961C00000000004A +:04961D000000000049 +:04961E000000000048 +:04961F000000000047 +:049620000000000046 +:049621000000000045 +:049622000000000044 +:049623000000000043 +:049624000000000042 +:049625000000000041 +:049626000000000040 +:04962700000000003F +:04962800000000003E +:04962900000000003D +:04962A00000000003C +:04962B00000000003B +:04962C00000000003A +:04962D000000000039 +:04962E000000000038 +:04962F000000000037 +:049630000000000036 +:049631000000000035 +:049632000000000034 +:049633000000000033 +:049634000000000032 +:049635000000000031 +:049636000000000030 +:04963700000000002F +:04963800000000002E +:04963900000000002D +:04963A00000000002C +:04963B00000000002B +:04963C00000000002A +:04963D000000000029 +:04963E000000000028 +:04963F000000000027 +:049640000000000026 +:049641000000000025 +:049642000000000024 +:049643000000000023 +:049644000000000022 +:049645000000000021 +:049646000000000020 +:04964700000000001F +:04964800000000001E +:04964900000000001D +:04964A00000000001C +:04964B00000000001B +:04964C00000000001A +:04964D000000000019 +:04964E000000000018 +:04964F000000000017 +:049650000000000016 +:049651000000000015 +:049652000000000014 +:049653000000000013 +:049654000000000012 +:049655000000000011 +:049656000000000010 +:04965700000000000F +:04965800000000000E +:04965900000000000D +:04965A00000000000C +:04965B00000000000B +:04965C00000000000A +:04965D000000000009 +:04965E000000000008 +:04965F000000000007 +:049660000000000006 +:049661000000000005 +:049662000000000004 +:049663000000000003 +:049664000000000002 +:049665000000000001 +:049666000000000000 +:0496670000000000FF +:0496680000000000FE +:0496690000000000FD +:04966A0000000000FC +:04966B0000000000FB +:04966C0000000000FA +:04966D0000000000F9 +:04966E0000000000F8 +:04966F0000000000F7 +:0496700000000000F6 +:0496710000000000F5 +:0496720000000000F4 +:0496730000000000F3 +:0496740000000000F2 +:0496750000000000F1 +:0496760000000000F0 +:0496770000000000EF +:0496780000000000EE +:0496790000000000ED +:04967A0000000000EC +:04967B0000000000EB +:04967C0000000000EA +:04967D0000000000E9 +:04967E0000000000E8 +:04967F0000000000E7 +:0496800000000000E6 +:0496810000000000E5 +:0496820000000000E4 +:0496830000000000E3 +:0496840000000000E2 +:0496850000000000E1 +:0496860000000000E0 +:0496870000000000DF +:0496880000000000DE +:0496890000000000DD +:04968A0000000000DC +:04968B0000000000DB +:04968C0000000000DA +:04968D0000000000D9 +:04968E0000000000D8 +:04968F0000000000D7 +:0496900000000000D6 +:0496910000000000D5 +:0496920000000000D4 +:0496930000000000D3 +:0496940000000000D2 +:0496950000000000D1 +:0496960000000000D0 +:0496970000000000CF +:0496980000000000CE +:0496990000000000CD +:04969A0000000000CC +:04969B0000000000CB +:04969C0000000000CA +:04969D0000000000C9 +:04969E0000000000C8 +:04969F0000000000C7 +:0496A00000000000C6 +:0496A10000000000C5 +:0496A20000000000C4 +:0496A30000000000C3 +:0496A40000000000C2 +:0496A50000000000C1 +:0496A60000000000C0 +:0496A70000000000BF +:0496A80000000000BE +:0496A90000000000BD +:0496AA0000000000BC +:0496AB0000000000BB +:0496AC0000000000BA +:0496AD0000000000B9 +:0496AE0000000000B8 +:0496AF0000000000B7 +:0496B00000000000B6 +:0496B10000000000B5 +:0496B20000000000B4 +:0496B30000000000B3 +:0496B40000000000B2 +:0496B50000000000B1 +:0496B60000000000B0 +:0496B70000000000AF +:0496B80000000000AE +:0496B90000000000AD +:0496BA0000000000AC +:0496BB0000000000AB +:0496BC0000000000AA +:0496BD0000000000A9 +:0496BE0000000000A8 +:0496BF0000000000A7 +:0496C00000000000A6 +:0496C10000000000A5 +:0496C20000000000A4 +:0496C30000000000A3 +:0496C40000000000A2 +:0496C50000000000A1 +:0496C60000000000A0 +:0496C700000000009F +:0496C800000000009E +:0496C900000000009D +:0496CA00000000009C +:0496CB00000000009B +:0496CC00000000009A +:0496CD000000000099 +:0496CE000000000098 +:0496CF000000000097 +:0496D0000000000096 +:0496D1000000000095 +:0496D2000000000094 +:0496D3000000000093 +:0496D4000000000092 +:0496D5000000000091 +:0496D6000000000090 +:0496D700000000008F +:0496D800000000008E +:0496D900000000008D +:0496DA00000000008C +:0496DB00000000008B +:0496DC00000000008A +:0496DD000000000089 +:0496DE000000000088 +:0496DF000000000087 +:0496E0000000000086 +:0496E1000000000085 +:0496E2000000000084 +:0496E3000000000083 +:0496E4000000000082 +:0496E5000000000081 +:0496E6000000000080 +:0496E700000000007F +:0496E800000000007E +:0496E900000000007D +:0496EA00000000007C +:0496EB00000000007B +:0496EC00000000007A +:0496ED000000000079 +:0496EE000000000078 +:0496EF000000000077 +:0496F0000000000076 +:0496F1000000000075 +:0496F2000000000074 +:0496F3000000000073 +:0496F4000000000072 +:0496F5000000000071 +:0496F6000000000070 +:0496F700000000006F +:0496F800000000006E +:0496F900000000006D +:0496FA00000000006C +:0496FB00000000006B +:0496FC00000000006A +:0496FD000000000069 +:0496FE000000000068 +:0496FF000000000067 +:049700000000000065 +:049701000000000064 +:049702000000000063 +:049703000000000062 +:049704000000000061 +:049705000000000060 +:04970600000000005F +:04970700000000005E +:04970800000000005D +:04970900000000005C +:04970A00000000005B +:04970B00000000005A +:04970C000000000059 +:04970D000000000058 +:04970E000000000057 +:04970F000000000056 +:049710000000000055 +:049711000000000054 +:049712000000000053 +:049713000000000052 +:049714000000000051 +:049715000000000050 +:04971600000000004F +:04971700000000004E +:04971800000000004D +:04971900000000004C +:04971A00000000004B +:04971B00000000004A +:04971C000000000049 +:04971D000000000048 +:04971E000000000047 +:04971F000000000046 +:049720000000000045 +:049721000000000044 +:049722000000000043 +:049723000000000042 +:049724000000000041 +:049725000000000040 +:04972600000000003F +:04972700000000003E +:04972800000000003D +:04972900000000003C +:04972A00000000003B +:04972B00000000003A +:04972C000000000039 +:04972D000000000038 +:04972E000000000037 +:04972F000000000036 +:049730000000000035 +:049731000000000034 +:049732000000000033 +:049733000000000032 +:049734000000000031 +:049735000000000030 +:04973600000000002F +:04973700000000002E +:04973800000000002D +:04973900000000002C +:04973A00000000002B +:04973B00000000002A +:04973C000000000029 +:04973D000000000028 +:04973E000000000027 +:04973F000000000026 +:049740000000000025 +:049741000000000024 +:049742000000000023 +:049743000000000022 +:049744000000000021 +:049745000000000020 +:04974600000000001F +:04974700000000001E +:04974800000000001D +:04974900000000001C +:04974A00000000001B +:04974B00000000001A +:04974C000000000019 +:04974D000000000018 +:04974E000000000017 +:04974F000000000016 +:049750000000000015 +:049751000000000014 +:049752000000000013 +:049753000000000012 +:049754000000000011 +:049755000000000010 +:04975600000000000F +:04975700000000000E +:04975800000000000D +:04975900000000000C +:04975A00000000000B +:04975B00000000000A +:04975C000000000009 +:04975D000000000008 +:04975E000000000007 +:04975F000000000006 +:049760000000000005 +:049761000000000004 +:049762000000000003 +:049763000000000002 +:049764000000000001 +:049765000000000000 +:0497660000000000FF +:0497670000000000FE +:0497680000000000FD +:0497690000000000FC +:04976A0000000000FB +:04976B0000000000FA +:04976C0000000000F9 +:04976D0000000000F8 +:04976E0000000000F7 +:04976F0000000000F6 +:0497700000000000F5 +:0497710000000000F4 +:0497720000000000F3 +:0497730000000000F2 +:0497740000000000F1 +:0497750000000000F0 +:0497760000000000EF +:0497770000000000EE +:0497780000000000ED +:0497790000000000EC +:04977A0000000000EB +:04977B0000000000EA +:04977C0000000000E9 +:04977D0000000000E8 +:04977E0000000000E7 +:04977F0000000000E6 +:0497800000000000E5 +:0497810000000000E4 +:0497820000000000E3 +:0497830000000000E2 +:0497840000000000E1 +:0497850000000000E0 +:0497860000000000DF +:0497870000000000DE +:0497880000000000DD +:0497890000000000DC +:04978A0000000000DB +:04978B0000000000DA +:04978C0000000000D9 +:04978D0000000000D8 +:04978E0000000000D7 +:04978F0000000000D6 +:0497900000000000D5 +:0497910000000000D4 +:0497920000000000D3 +:0497930000000000D2 +:0497940000000000D1 +:0497950000000000D0 +:0497960000000000CF +:0497970000000000CE +:0497980000000000CD +:0497990000000000CC +:04979A0000000000CB +:04979B0000000000CA +:04979C0000000000C9 +:04979D0000000000C8 +:04979E0000000000C7 +:04979F0000000000C6 +:0497A00000000000C5 +:0497A10000000000C4 +:0497A20000000000C3 +:0497A30000000000C2 +:0497A40000000000C1 +:0497A50000000000C0 +:0497A60000000000BF +:0497A70000000000BE +:0497A80000000000BD +:0497A90000000000BC +:0497AA0000000000BB +:0497AB0000000000BA +:0497AC0000000000B9 +:0497AD0000000000B8 +:0497AE0000000000B7 +:0497AF0000000000B6 +:0497B00000000000B5 +:0497B10000000000B4 +:0497B20000000000B3 +:0497B30000000000B2 +:0497B40000000000B1 +:0497B50000000000B0 +:0497B60000000000AF +:0497B70000000000AE +:0497B80000000000AD +:0497B90000000000AC +:0497BA0000000000AB +:0497BB0000000000AA +:0497BC0000000000A9 +:0497BD0000000000A8 +:0497BE0000000000A7 +:0497BF0000000000A6 +:0497C00000000000A5 +:0497C10000000000A4 +:0497C20000000000A3 +:0497C30000000000A2 +:0497C40000000000A1 +:0497C50000000000A0 +:0497C600000000009F +:0497C700000000009E +:0497C800000000009D +:0497C900000000009C +:0497CA00000000009B +:0497CB00000000009A +:0497CC000000000099 +:0497CD000000000098 +:0497CE000000000097 +:0497CF000000000096 +:0497D0000000000095 +:0497D1000000000094 +:0497D2000000000093 +:0497D3000000000092 +:0497D4000000000091 +:0497D5000000000090 +:0497D600000000008F +:0497D700000000008E +:0497D800000000008D +:0497D900000000008C +:0497DA00000000008B +:0497DB00000000008A +:0497DC000000000089 +:0497DD000000000088 +:0497DE000000000087 +:0497DF000000000086 +:0497E0000000000085 +:0497E1000000000084 +:0497E2000000000083 +:0497E3000000000082 +:0497E4000000000081 +:0497E5000000000080 +:0497E600000000007F +:0497E700000000007E +:0497E800000000007D +:0497E900000000007C +:0497EA00000000007B +:0497EB00000000007A +:0497EC000000000079 +:0497ED000000000078 +:0497EE000000000077 +:0497EF000000000076 +:0497F0000000000075 +:0497F1000000000074 +:0497F2000000000073 +:0497F3000000000072 +:0497F4000000000071 +:0497F5000000000070 +:0497F600000000006F +:0497F700000000006E +:0497F800000000006D +:0497F900000000006C +:0497FA00000000006B +:0497FB00000000006A +:0497FC000000000069 +:0497FD000000000068 +:0497FE000000000067 +:0497FF000000000066 +:049800000000000064 +:049801000000000063 +:049802000000000062 +:049803000000000061 +:049804000000000060 +:04980500000000005F +:04980600000000005E +:04980700000000005D +:04980800000000005C +:04980900000000005B +:04980A00000000005A +:04980B000000000059 +:04980C000000000058 +:04980D000000000057 +:04980E000000000056 +:04980F000000000055 +:049810000000000054 +:049811000000000053 +:049812000000000052 +:049813000000000051 +:049814000000000050 +:04981500000000004F +:04981600000000004E +:04981700000000004D +:04981800000000004C +:04981900000000004B +:04981A00000000004A +:04981B000000000049 +:04981C000000000048 +:04981D000000000047 +:04981E000000000046 +:04981F000000000045 +:049820000000000044 +:049821000000000043 +:049822000000000042 +:049823000000000041 +:049824000000000040 +:04982500000000003F +:04982600000000003E +:04982700000000003D +:04982800000000003C +:04982900000000003B +:04982A00000000003A +:04982B000000000039 +:04982C000000000038 +:04982D000000000037 +:04982E000000000036 +:04982F000000000035 +:049830000000000034 +:049831000000000033 +:049832000000000032 +:049833000000000031 +:049834000000000030 +:04983500000000002F +:04983600000000002E +:04983700000000002D +:04983800000000002C +:04983900000000002B +:04983A00000000002A +:04983B000000000029 +:04983C000000000028 +:04983D000000000027 +:04983E000000000026 +:04983F000000000025 +:049840000000000024 +:049841000000000023 +:049842000000000022 +:049843000000000021 +:049844000000000020 +:04984500000000001F +:04984600000000001E +:04984700000000001D +:04984800000000001C +:04984900000000001B +:04984A00000000001A +:04984B000000000019 +:04984C000000000018 +:04984D000000000017 +:04984E000000000016 +:04984F000000000015 +:049850000000000014 +:049851000000000013 +:049852000000000012 +:049853000000000011 +:049854000000000010 +:04985500000000000F +:04985600000000000E +:04985700000000000D +:04985800000000000C +:04985900000000000B +:04985A00000000000A +:04985B000000000009 +:04985C000000000008 +:04985D000000000007 +:04985E000000000006 +:04985F000000000005 +:049860000000000004 +:049861000000000003 +:049862000000000002 +:049863000000000001 +:049864000000000000 +:0498650000000000FF +:0498660000000000FE +:0498670000000000FD +:0498680000000000FC +:0498690000000000FB +:04986A0000000000FA +:04986B0000000000F9 +:04986C0000000000F8 +:04986D0000000000F7 +:04986E0000000000F6 +:04986F0000000000F5 +:0498700000000000F4 +:0498710000000000F3 +:0498720000000000F2 +:0498730000000000F1 +:0498740000000000F0 +:0498750000000000EF +:0498760000000000EE +:0498770000000000ED +:0498780000000000EC +:0498790000000000EB +:04987A0000000000EA +:04987B0000000000E9 +:04987C0000000000E8 +:04987D0000000000E7 +:04987E0000000000E6 +:04987F0000000000E5 +:0498800000000000E4 +:0498810000000000E3 +:0498820000000000E2 +:0498830000000000E1 +:0498840000000000E0 +:0498850000000000DF +:0498860000000000DE +:0498870000000000DD +:0498880000000000DC +:0498890000000000DB +:04988A0000000000DA +:04988B0000000000D9 +:04988C0000000000D8 +:04988D0000000000D7 +:04988E0000000000D6 +:04988F0000000000D5 +:0498900000000000D4 +:0498910000000000D3 +:0498920000000000D2 +:0498930000000000D1 +:0498940000000000D0 +:0498950000000000CF +:0498960000000000CE +:0498970000000000CD +:0498980000000000CC +:0498990000000000CB +:04989A0000000000CA +:04989B0000000000C9 +:04989C0000000000C8 +:04989D0000000000C7 +:04989E0000000000C6 +:04989F0000000000C5 +:0498A00000000000C4 +:0498A10000000000C3 +:0498A20000000000C2 +:0498A30000000000C1 +:0498A40000000000C0 +:0498A50000000000BF +:0498A60000000000BE +:0498A70000000000BD +:0498A80000000000BC +:0498A90000000000BB +:0498AA0000000000BA +:0498AB0000000000B9 +:0498AC0000000000B8 +:0498AD0000000000B7 +:0498AE0000000000B6 +:0498AF0000000000B5 +:0498B00000000000B4 +:0498B10000000000B3 +:0498B20000000000B2 +:0498B30000000000B1 +:0498B40000000000B0 +:0498B50000000000AF +:0498B60000000000AE +:0498B70000000000AD +:0498B80000000000AC +:0498B90000000000AB +:0498BA0000000000AA +:0498BB0000000000A9 +:0498BC0000000000A8 +:0498BD0000000000A7 +:0498BE0000000000A6 +:0498BF0000000000A5 +:0498C00000000000A4 +:0498C10000000000A3 +:0498C20000000000A2 +:0498C30000000000A1 +:0498C40000000000A0 +:0498C500000000009F +:0498C600000000009E +:0498C700000000009D +:0498C800000000009C +:0498C900000000009B +:0498CA00000000009A +:0498CB000000000099 +:0498CC000000000098 +:0498CD000000000097 +:0498CE000000000096 +:0498CF000000000095 +:0498D0000000000094 +:0498D1000000000093 +:0498D2000000000092 +:0498D3000000000091 +:0498D4000000000090 +:0498D500000000008F +:0498D600000000008E +:0498D700000000008D +:0498D800000000008C +:0498D900000000008B +:0498DA00000000008A +:0498DB000000000089 +:0498DC000000000088 +:0498DD000000000087 +:0498DE000000000086 +:0498DF000000000085 +:0498E0000000000084 +:0498E1000000000083 +:0498E2000000000082 +:0498E3000000000081 +:0498E4000000000080 +:0498E500000000007F +:0498E600000000007E +:0498E700000000007D +:0498E800000000007C +:0498E900000000007B +:0498EA00000000007A +:0498EB000000000079 +:0498EC000000000078 +:0498ED000000000077 +:0498EE000000000076 +:0498EF000000000075 +:0498F0000000000074 +:0498F1000000000073 +:0498F2000000000072 +:0498F3000000000071 +:0498F4000000000070 +:0498F500000000006F +:0498F600000000006E +:0498F700000000006D +:0498F800000000006C +:0498F900000000006B +:0498FA00000000006A +:0498FB000000000069 +:0498FC000000000068 +:0498FD000000000067 +:0498FE000000000066 +:0498FF000000000065 +:049900000000000063 +:049901000000000062 +:049902000000000061 +:049903000000000060 +:04990400000000005F +:04990500000000005E +:04990600000000005D +:04990700000000005C +:04990800000000005B +:04990900000000005A +:04990A000000000059 +:04990B000000000058 +:04990C000000000057 +:04990D000000000056 +:04990E000000000055 +:04990F000000000054 +:049910000000000053 +:049911000000000052 +:049912000000000051 +:049913000000000050 +:04991400000000004F +:04991500000000004E +:04991600000000004D +:04991700000000004C +:04991800000000004B +:04991900000000004A +:04991A000000000049 +:04991B000000000048 +:04991C000000000047 +:04991D000000000046 +:04991E000000000045 +:04991F000000000044 +:049920000000000043 +:049921000000000042 +:049922000000000041 +:049923000000000040 +:04992400000000003F +:04992500000000003E +:04992600000000003D +:04992700000000003C +:04992800000000003B +:04992900000000003A +:04992A000000000039 +:04992B000000000038 +:04992C000000000037 +:04992D000000000036 +:04992E000000000035 +:04992F000000000034 +:049930000000000033 +:049931000000000032 +:049932000000000031 +:049933000000000030 +:04993400000000002F +:04993500000000002E +:04993600000000002D +:04993700000000002C +:04993800000000002B +:04993900000000002A +:04993A000000000029 +:04993B000000000028 +:04993C000000000027 +:04993D000000000026 +:04993E000000000025 +:04993F000000000024 +:049940000000000023 +:049941000000000022 +:049942000000000021 +:049943000000000020 +:04994400000000001F +:04994500000000001E +:04994600000000001D +:04994700000000001C +:04994800000000001B +:04994900000000001A +:04994A000000000019 +:04994B000000000018 +:04994C000000000017 +:04994D000000000016 +:04994E000000000015 +:04994F000000000014 +:049950000000000013 +:049951000000000012 +:049952000000000011 +:049953000000000010 +:04995400000000000F +:04995500000000000E +:04995600000000000D +:04995700000000000C +:04995800000000000B +:04995900000000000A +:04995A000000000009 +:04995B000000000008 +:04995C000000000007 +:04995D000000000006 +:04995E000000000005 +:04995F000000000004 +:049960000000000003 +:049961000000000002 +:049962000000000001 +:049963000000000000 +:0499640000000000FF +:0499650000000000FE +:0499660000000000FD +:0499670000000000FC +:0499680000000000FB +:0499690000000000FA +:04996A0000000000F9 +:04996B0000000000F8 +:04996C0000000000F7 +:04996D0000000000F6 +:04996E0000000000F5 +:04996F0000000000F4 +:0499700000000000F3 +:0499710000000000F2 +:0499720000000000F1 +:0499730000000000F0 +:0499740000000000EF +:0499750000000000EE +:0499760000000000ED +:0499770000000000EC +:0499780000000000EB +:0499790000000000EA +:04997A0000000000E9 +:04997B0000000000E8 +:04997C0000000000E7 +:04997D0000000000E6 +:04997E0000000000E5 +:04997F0000000000E4 +:0499800000000000E3 +:0499810000000000E2 +:0499820000000000E1 +:0499830000000000E0 +:0499840000000000DF +:0499850000000000DE +:0499860000000000DD +:0499870000000000DC +:0499880000000000DB +:0499890000000000DA +:04998A0000000000D9 +:04998B0000000000D8 +:04998C0000000000D7 +:04998D0000000000D6 +:04998E0000000000D5 +:04998F0000000000D4 +:0499900000000000D3 +:0499910000000000D2 +:0499920000000000D1 +:0499930000000000D0 +:0499940000000000CF +:0499950000000000CE +:0499960000000000CD +:0499970000000000CC +:0499980000000000CB +:0499990000000000CA +:04999A0000000000C9 +:04999B0000000000C8 +:04999C0000000000C7 +:04999D0000000000C6 +:04999E0000000000C5 +:04999F0000000000C4 +:0499A00000000000C3 +:0499A10000000000C2 +:0499A20000000000C1 +:0499A30000000000C0 +:0499A40000000000BF +:0499A50000000000BE +:0499A60000000000BD +:0499A70000000000BC +:0499A80000000000BB +:0499A90000000000BA +:0499AA0000000000B9 +:0499AB0000000000B8 +:0499AC0000000000B7 +:0499AD0000000000B6 +:0499AE0000000000B5 +:0499AF0000000000B4 +:0499B00000000000B3 +:0499B10000000000B2 +:0499B20000000000B1 +:0499B30000000000B0 +:0499B40000000000AF +:0499B50000000000AE +:0499B60000000000AD +:0499B70000000000AC +:0499B80000000000AB +:0499B90000000000AA +:0499BA0000000000A9 +:0499BB0000000000A8 +:0499BC0000000000A7 +:0499BD0000000000A6 +:0499BE0000000000A5 +:0499BF0000000000A4 +:0499C00000000000A3 +:0499C10000000000A2 +:0499C20000000000A1 +:0499C30000000000A0 +:0499C400000000009F +:0499C500000000009E +:0499C600000000009D +:0499C700000000009C +:0499C800000000009B +:0499C900000000009A +:0499CA000000000099 +:0499CB000000000098 +:0499CC000000000097 +:0499CD000000000096 +:0499CE000000000095 +:0499CF000000000094 +:0499D0000000000093 +:0499D1000000000092 +:0499D2000000000091 +:0499D3000000000090 +:0499D400000000008F +:0499D500000000008E +:0499D600000000008D +:0499D700000000008C +:0499D800000000008B +:0499D900000000008A +:0499DA000000000089 +:0499DB000000000088 +:0499DC000000000087 +:0499DD000000000086 +:0499DE000000000085 +:0499DF000000000084 +:0499E0000000000083 +:0499E1000000000082 +:0499E2000000000081 +:0499E3000000000080 +:0499E400000000007F +:0499E500000000007E +:0499E600000000007D +:0499E700000000007C +:0499E800000000007B +:0499E900000000007A +:0499EA000000000079 +:0499EB000000000078 +:0499EC000000000077 +:0499ED000000000076 +:0499EE000000000075 +:0499EF000000000074 +:0499F0000000000073 +:0499F1000000000072 +:0499F2000000000071 +:0499F3000000000070 +:0499F400000000006F +:0499F500000000006E +:0499F600000000006D +:0499F700000000006C +:0499F800000000006B +:0499F900000000006A +:0499FA000000000069 +:0499FB000000000068 +:0499FC000000000067 +:0499FD000000000066 +:0499FE000000000065 +:0499FF000000000064 +:049A00000000000062 +:049A01000000000061 +:049A02000000000060 +:049A0300000000005F +:049A0400000000005E +:049A0500000000005D +:049A0600000000005C +:049A0700000000005B +:049A0800000000005A +:049A09000000000059 +:049A0A000000000058 +:049A0B000000000057 +:049A0C000000000056 +:049A0D000000000055 +:049A0E000000000054 +:049A0F000000000053 +:049A10000000000052 +:049A11000000000051 +:049A12000000000050 +:049A1300000000004F +:049A1400000000004E +:049A1500000000004D +:049A1600000000004C +:049A1700000000004B +:049A1800000000004A +:049A19000000000049 +:049A1A000000000048 +:049A1B000000000047 +:049A1C000000000046 +:049A1D000000000045 +:049A1E000000000044 +:049A1F000000000043 +:049A20000000000042 +:049A21000000000041 +:049A22000000000040 +:049A2300000000003F +:049A2400000000003E +:049A2500000000003D +:049A2600000000003C +:049A2700000000003B +:049A2800000000003A +:049A29000000000039 +:049A2A000000000038 +:049A2B000000000037 +:049A2C000000000036 +:049A2D000000000035 +:049A2E000000000034 +:049A2F000000000033 +:049A30000000000032 +:049A31000000000031 +:049A32000000000030 +:049A3300000000002F +:049A3400000000002E +:049A3500000000002D +:049A3600000000002C +:049A3700000000002B +:049A3800000000002A +:049A39000000000029 +:049A3A000000000028 +:049A3B000000000027 +:049A3C000000000026 +:049A3D000000000025 +:049A3E000000000024 +:049A3F000000000023 +:049A40000000000022 +:049A41000000000021 +:049A42000000000020 +:049A4300000000001F +:049A4400000000001E +:049A4500000000001D +:049A4600000000001C +:049A4700000000001B +:049A4800000000001A +:049A49000000000019 +:049A4A000000000018 +:049A4B000000000017 +:049A4C000000000016 +:049A4D000000000015 +:049A4E000000000014 +:049A4F000000000013 +:049A50000000000012 +:049A51000000000011 +:049A52000000000010 +:049A5300000000000F +:049A5400000000000E +:049A5500000000000D +:049A5600000000000C +:049A5700000000000B +:049A5800000000000A +:049A59000000000009 +:049A5A000000000008 +:049A5B000000000007 +:049A5C000000000006 +:049A5D000000000005 +:049A5E000000000004 +:049A5F000000000003 +:049A60000000000002 +:049A61000000000001 +:049A62000000000000 +:049A630000000000FF +:049A640000000000FE +:049A650000000000FD +:049A660000000000FC +:049A670000000000FB +:049A680000000000FA +:049A690000000000F9 +:049A6A0000000000F8 +:049A6B0000000000F7 +:049A6C0000000000F6 +:049A6D0000000000F5 +:049A6E0000000000F4 +:049A6F0000000000F3 +:049A700000000000F2 +:049A710000000000F1 +:049A720000000000F0 +:049A730000000000EF +:049A740000000000EE +:049A750000000000ED +:049A760000000000EC +:049A770000000000EB +:049A780000000000EA +:049A790000000000E9 +:049A7A0000000000E8 +:049A7B0000000000E7 +:049A7C0000000000E6 +:049A7D0000000000E5 +:049A7E0000000000E4 +:049A7F0000000000E3 +:049A800000000000E2 +:049A810000000000E1 +:049A820000000000E0 +:049A830000000000DF +:049A840000000000DE +:049A850000000000DD +:049A860000000000DC +:049A870000000000DB +:049A880000000000DA +:049A890000000000D9 +:049A8A0000000000D8 +:049A8B0000000000D7 +:049A8C0000000000D6 +:049A8D0000000000D5 +:049A8E0000000000D4 +:049A8F0000000000D3 +:049A900000000000D2 +:049A910000000000D1 +:049A920000000000D0 +:049A930000000000CF +:049A940000000000CE +:049A950000000000CD +:049A960000000000CC +:049A970000000000CB +:049A980000000000CA +:049A990000000000C9 +:049A9A0000000000C8 +:049A9B0000000000C7 +:049A9C0000000000C6 +:049A9D0000000000C5 +:049A9E0000000000C4 +:049A9F0000000000C3 +:049AA00000000000C2 +:049AA10000000000C1 +:049AA20000000000C0 +:049AA30000000000BF +:049AA40000000000BE +:049AA50000000000BD +:049AA60000000000BC +:049AA70000000000BB +:049AA80000000000BA +:049AA90000000000B9 +:049AAA0000000000B8 +:049AAB0000000000B7 +:049AAC0000000000B6 +:049AAD0000000000B5 +:049AAE0000000000B4 +:049AAF0000000000B3 +:049AB00000000000B2 +:049AB10000000000B1 +:049AB20000000000B0 +:049AB30000000000AF +:049AB40000000000AE +:049AB50000000000AD +:049AB60000000000AC +:049AB70000000000AB +:049AB80000000000AA +:049AB90000000000A9 +:049ABA0000000000A8 +:049ABB0000000000A7 +:049ABC0000000000A6 +:049ABD0000000000A5 +:049ABE0000000000A4 +:049ABF0000000000A3 +:049AC00000000000A2 +:049AC10000000000A1 +:049AC20000000000A0 +:049AC300000000009F +:049AC400000000009E +:049AC500000000009D +:049AC600000000009C +:049AC700000000009B +:049AC800000000009A +:049AC9000000000099 +:049ACA000000000098 +:049ACB000000000097 +:049ACC000000000096 +:049ACD000000000095 +:049ACE000000000094 +:049ACF000000000093 +:049AD0000000000092 +:049AD1000000000091 +:049AD2000000000090 +:049AD300000000008F +:049AD400000000008E +:049AD500000000008D +:049AD600000000008C +:049AD700000000008B +:049AD800000000008A +:049AD9000000000089 +:049ADA000000000088 +:049ADB000000000087 +:049ADC000000000086 +:049ADD000000000085 +:049ADE000000000084 +:049ADF000000000083 +:049AE0000000000082 +:049AE1000000000081 +:049AE2000000000080 +:049AE300000000007F +:049AE400000000007E +:049AE500000000007D +:049AE600000000007C +:049AE700000000007B +:049AE800000000007A +:049AE9000000000079 +:049AEA000000000078 +:049AEB000000000077 +:049AEC000000000076 +:049AED000000000075 +:049AEE000000000074 +:049AEF000000000073 +:049AF0000000000072 +:049AF1000000000071 +:049AF2000000000070 +:049AF300000000006F +:049AF400000000006E +:049AF500000000006D +:049AF600000000006C +:049AF700000000006B +:049AF800000000006A +:049AF9000000000069 +:049AFA000000000068 +:049AFB000000000067 +:049AFC000000000066 +:049AFD000000000065 +:049AFE000000000064 +:049AFF000000000063 +:049B00000000000061 +:049B01000000000060 +:049B0200000000005F +:049B0300000000005E +:049B0400000000005D +:049B0500000000005C +:049B0600000000005B +:049B0700000000005A +:049B08000000000059 +:049B09000000000058 +:049B0A000000000057 +:049B0B000000000056 +:049B0C000000000055 +:049B0D000000000054 +:049B0E000000000053 +:049B0F000000000052 +:049B10000000000051 +:049B11000000000050 +:049B1200000000004F +:049B1300000000004E +:049B1400000000004D +:049B1500000000004C +:049B1600000000004B +:049B1700000000004A +:049B18000000000049 +:049B19000000000048 +:049B1A000000000047 +:049B1B000000000046 +:049B1C000000000045 +:049B1D000000000044 +:049B1E000000000043 +:049B1F000000000042 +:049B20000000000041 +:049B21000000000040 +:049B2200000000003F +:049B2300000000003E +:049B2400000000003D +:049B2500000000003C +:049B2600000000003B +:049B2700000000003A +:049B28000000000039 +:049B29000000000038 +:049B2A000000000037 +:049B2B000000000036 +:049B2C000000000035 +:049B2D000000000034 +:049B2E000000000033 +:049B2F000000000032 +:049B30000000000031 +:049B31000000000030 +:049B3200000000002F +:049B3300000000002E +:049B3400000000002D +:049B3500000000002C +:049B3600000000002B +:049B3700000000002A +:049B38000000000029 +:049B39000000000028 +:049B3A000000000027 +:049B3B000000000026 +:049B3C000000000025 +:049B3D000000000024 +:049B3E000000000023 +:049B3F000000000022 +:049B40000000000021 +:049B41000000000020 +:049B4200000000001F +:049B4300000000001E +:049B4400000000001D +:049B4500000000001C +:049B4600000000001B +:049B4700000000001A +:049B48000000000019 +:049B49000000000018 +:049B4A000000000017 +:049B4B000000000016 +:049B4C000000000015 +:049B4D000000000014 +:049B4E000000000013 +:049B4F000000000012 +:049B50000000000011 +:049B51000000000010 +:049B5200000000000F +:049B5300000000000E +:049B5400000000000D +:049B5500000000000C +:049B5600000000000B +:049B5700000000000A +:049B58000000000009 +:049B59000000000008 +:049B5A000000000007 +:049B5B000000000006 +:049B5C000000000005 +:049B5D000000000004 +:049B5E000000000003 +:049B5F000000000002 +:049B60000000000001 +:049B61000000000000 +:049B620000000000FF +:049B630000000000FE +:049B640000000000FD +:049B650000000000FC +:049B660000000000FB +:049B670000000000FA +:049B680000000000F9 +:049B690000000000F8 +:049B6A0000000000F7 +:049B6B0000000000F6 +:049B6C0000000000F5 +:049B6D0000000000F4 +:049B6E0000000000F3 +:049B6F0000000000F2 +:049B700000000000F1 +:049B710000000000F0 +:049B720000000000EF +:049B730000000000EE +:049B740000000000ED +:049B750000000000EC +:049B760000000000EB +:049B770000000000EA +:049B780000000000E9 +:049B790000000000E8 +:049B7A0000000000E7 +:049B7B0000000000E6 +:049B7C0000000000E5 +:049B7D0000000000E4 +:049B7E0000000000E3 +:049B7F0000000000E2 +:049B800000000000E1 +:049B810000000000E0 +:049B820000000000DF +:049B830000000000DE +:049B840000000000DD +:049B850000000000DC +:049B860000000000DB +:049B870000000000DA +:049B880000000000D9 +:049B890000000000D8 +:049B8A0000000000D7 +:049B8B0000000000D6 +:049B8C0000000000D5 +:049B8D0000000000D4 +:049B8E0000000000D3 +:049B8F0000000000D2 +:049B900000000000D1 +:049B910000000000D0 +:049B920000000000CF +:049B930000000000CE +:049B940000000000CD +:049B950000000000CC +:049B960000000000CB +:049B970000000000CA +:049B980000000000C9 +:049B990000000000C8 +:049B9A0000000000C7 +:049B9B0000000000C6 +:049B9C0000000000C5 +:049B9D0000000000C4 +:049B9E0000000000C3 +:049B9F0000000000C2 +:049BA00000000000C1 +:049BA10000000000C0 +:049BA20000000000BF +:049BA30000000000BE +:049BA40000000000BD +:049BA50000000000BC +:049BA60000000000BB +:049BA70000000000BA +:049BA80000000000B9 +:049BA90000000000B8 +:049BAA0000000000B7 +:049BAB0000000000B6 +:049BAC0000000000B5 +:049BAD0000000000B4 +:049BAE0000000000B3 +:049BAF0000000000B2 +:049BB00000000000B1 +:049BB10000000000B0 +:049BB20000000000AF +:049BB30000000000AE +:049BB40000000000AD +:049BB50000000000AC +:049BB60000000000AB +:049BB70000000000AA +:049BB80000000000A9 +:049BB90000000000A8 +:049BBA0000000000A7 +:049BBB0000000000A6 +:049BBC0000000000A5 +:049BBD0000000000A4 +:049BBE0000000000A3 +:049BBF0000000000A2 +:049BC00000000000A1 +:049BC10000000000A0 +:049BC200000000009F +:049BC300000000009E +:049BC400000000009D +:049BC500000000009C +:049BC600000000009B +:049BC700000000009A +:049BC8000000000099 +:049BC9000000000098 +:049BCA000000000097 +:049BCB000000000096 +:049BCC000000000095 +:049BCD000000000094 +:049BCE000000000093 +:049BCF000000000092 +:049BD0000000000091 +:049BD1000000000090 +:049BD200000000008F +:049BD300000000008E +:049BD400000000008D +:049BD500000000008C +:049BD600000000008B +:049BD700000000008A +:049BD8000000000089 +:049BD9000000000088 +:049BDA000000000087 +:049BDB000000000086 +:049BDC000000000085 +:049BDD000000000084 +:049BDE000000000083 +:049BDF000000000082 +:049BE0000000000081 +:049BE1000000000080 +:049BE200000000007F +:049BE300000000007E +:049BE400000000007D +:049BE500000000007C +:049BE600000000007B +:049BE700000000007A +:049BE8000000000079 +:049BE9000000000078 +:049BEA000000000077 +:049BEB000000000076 +:049BEC000000000075 +:049BED000000000074 +:049BEE000000000073 +:049BEF000000000072 +:049BF0000000000071 +:049BF1000000000070 +:049BF200000000006F +:049BF300000000006E +:049BF400000000006D +:049BF500000000006C +:049BF600000000006B +:049BF700000000006A +:049BF8000000000069 +:049BF9000000000068 +:049BFA000000000067 +:049BFB000000000066 +:049BFC000000000065 +:049BFD000000000064 +:049BFE000000000063 +:049BFF000000000062 +:049C00000000000060 +:049C0100000000005F +:049C0200000000005E +:049C0300000000005D +:049C0400000000005C +:049C0500000000005B +:049C0600000000005A +:049C07000000000059 +:049C08000000000058 +:049C09000000000057 +:049C0A000000000056 +:049C0B000000000055 +:049C0C000000000054 +:049C0D000000000053 +:049C0E000000000052 +:049C0F000000000051 +:049C10000000000050 +:049C1100000000004F +:049C1200000000004E +:049C1300000000004D +:049C1400000000004C +:049C1500000000004B +:049C1600000000004A +:049C17000000000049 +:049C18000000000048 +:049C19000000000047 +:049C1A000000000046 +:049C1B000000000045 +:049C1C000000000044 +:049C1D000000000043 +:049C1E000000000042 +:049C1F000000000041 +:049C20000000000040 +:049C2100000000003F +:049C2200000000003E +:049C2300000000003D +:049C2400000000003C +:049C2500000000003B +:049C2600000000003A +:049C27000000000039 +:049C28000000000038 +:049C29000000000037 +:049C2A000000000036 +:049C2B000000000035 +:049C2C000000000034 +:049C2D000000000033 +:049C2E000000000032 +:049C2F000000000031 +:049C30000000000030 +:049C3100000000002F +:049C3200000000002E +:049C3300000000002D +:049C3400000000002C +:049C3500000000002B +:049C3600000000002A +:049C37000000000029 +:049C38000000000028 +:049C39000000000027 +:049C3A000000000026 +:049C3B000000000025 +:049C3C000000000024 +:049C3D000000000023 +:049C3E000000000022 +:049C3F000000000021 +:049C40000000000020 +:049C4100000000001F +:049C4200000000001E +:049C4300000000001D +:049C4400000000001C +:049C4500000000001B +:049C4600000000001A +:049C47000000000019 +:049C48000000000018 +:049C49000000000017 +:049C4A000000000016 +:049C4B000000000015 +:049C4C000000000014 +:049C4D000000000013 +:049C4E000000000012 +:049C4F000000000011 +:049C50000000000010 +:049C5100000000000F +:049C5200000000000E +:049C5300000000000D +:049C5400000000000C +:049C5500000000000B +:049C5600000000000A +:049C57000000000009 +:049C58000000000008 +:049C59000000000007 +:049C5A000000000006 +:049C5B000000000005 +:049C5C000000000004 +:049C5D000000000003 +:049C5E000000000002 +:049C5F000000000001 +:049C60000000000000 +:049C610000000000FF +:049C620000000000FE +:049C630000000000FD +:049C640000000000FC +:049C650000000000FB +:049C660000000000FA +:049C670000000000F9 +:049C680000000000F8 +:049C690000000000F7 +:049C6A0000000000F6 +:049C6B0000000000F5 +:049C6C0000000000F4 +:049C6D0000000000F3 +:049C6E0000000000F2 +:049C6F0000000000F1 +:049C700000000000F0 +:049C710000000000EF +:049C720000000000EE +:049C730000000000ED +:049C740000000000EC +:049C750000000000EB +:049C760000000000EA +:049C770000000000E9 +:049C780000000000E8 +:049C790000000000E7 +:049C7A0000000000E6 +:049C7B0000000000E5 +:049C7C0000000000E4 +:049C7D0000000000E3 +:049C7E0000000000E2 +:049C7F0000000000E1 +:049C800000000000E0 +:049C810000000000DF +:049C820000000000DE +:049C830000000000DD +:049C840000000000DC +:049C850000000000DB +:049C860000000000DA +:049C870000000000D9 +:049C880000000000D8 +:049C890000000000D7 +:049C8A0000000000D6 +:049C8B0000000000D5 +:049C8C0000000000D4 +:049C8D0000000000D3 +:049C8E0000000000D2 +:049C8F0000000000D1 +:049C900000000000D0 +:049C910000000000CF +:049C920000000000CE +:049C930000000000CD +:049C940000000000CC +:049C950000000000CB +:049C960000000000CA +:049C970000000000C9 +:049C980000000000C8 +:049C990000000000C7 +:049C9A0000000000C6 +:049C9B0000000000C5 +:049C9C0000000000C4 +:049C9D0000000000C3 +:049C9E0000000000C2 +:049C9F0000000000C1 +:049CA00000000000C0 +:049CA10000000000BF +:049CA20000000000BE +:049CA30000000000BD +:049CA40000000000BC +:049CA50000000000BB +:049CA60000000000BA +:049CA70000000000B9 +:049CA80000000000B8 +:049CA90000000000B7 +:049CAA0000000000B6 +:049CAB0000000000B5 +:049CAC0000000000B4 +:049CAD0000000000B3 +:049CAE0000000000B2 +:049CAF0000000000B1 +:049CB00000000000B0 +:049CB10000000000AF +:049CB20000000000AE +:049CB30000000000AD +:049CB40000000000AC +:049CB50000000000AB +:049CB60000000000AA +:049CB70000000000A9 +:049CB80000000000A8 +:049CB90000000000A7 +:049CBA0000000000A6 +:049CBB0000000000A5 +:049CBC0000000000A4 +:049CBD0000000000A3 +:049CBE0000000000A2 +:049CBF0000000000A1 +:049CC00000000000A0 +:049CC100000000009F +:049CC200000000009E +:049CC300000000009D +:049CC400000000009C +:049CC500000000009B +:049CC600000000009A +:049CC7000000000099 +:049CC8000000000098 +:049CC9000000000097 +:049CCA000000000096 +:049CCB000000000095 +:049CCC000000000094 +:049CCD000000000093 +:049CCE000000000092 +:049CCF000000000091 +:049CD0000000000090 +:049CD100000000008F +:049CD200000000008E +:049CD300000000008D +:049CD400000000008C +:049CD500000000008B +:049CD600000000008A +:049CD7000000000089 +:049CD8000000000088 +:049CD9000000000087 +:049CDA000000000086 +:049CDB000000000085 +:049CDC000000000084 +:049CDD000000000083 +:049CDE000000000082 +:049CDF000000000081 +:049CE0000000000080 +:049CE100000000007F +:049CE200000000007E +:049CE300000000007D +:049CE400000000007C +:049CE500000000007B +:049CE600000000007A +:049CE7000000000079 +:049CE8000000000078 +:049CE9000000000077 +:049CEA000000000076 +:049CEB000000000075 +:049CEC000000000074 +:049CED000000000073 +:049CEE000000000072 +:049CEF000000000071 +:049CF0000000000070 +:049CF100000000006F +:049CF200000000006E +:049CF300000000006D +:049CF400000000006C +:049CF500000000006B +:049CF600000000006A +:049CF7000000000069 +:049CF8000000000068 +:049CF9000000000067 +:049CFA000000000066 +:049CFB000000000065 +:049CFC000000000064 +:049CFD000000000063 +:049CFE000000000062 +:049CFF000000000061 +:049D0000000000005F +:049D0100000000005E +:049D0200000000005D +:049D0300000000005C +:049D0400000000005B +:049D0500000000005A +:049D06000000000059 +:049D07000000000058 +:049D08000000000057 +:049D09000000000056 +:049D0A000000000055 +:049D0B000000000054 +:049D0C000000000053 +:049D0D000000000052 +:049D0E000000000051 +:049D0F000000000050 +:049D1000000000004F +:049D1100000000004E +:049D1200000000004D +:049D1300000000004C +:049D1400000000004B +:049D1500000000004A +:049D16000000000049 +:049D17000000000048 +:049D18000000000047 +:049D19000000000046 +:049D1A000000000045 +:049D1B000000000044 +:049D1C000000000043 +:049D1D000000000042 +:049D1E000000000041 +:049D1F000000000040 +:049D2000000000003F +:049D2100000000003E +:049D2200000000003D +:049D2300000000003C +:049D2400000000003B +:049D2500000000003A +:049D26000000000039 +:049D27000000000038 +:049D28000000000037 +:049D29000000000036 +:049D2A000000000035 +:049D2B000000000034 +:049D2C000000000033 +:049D2D000000000032 +:049D2E000000000031 +:049D2F000000000030 +:049D3000000000002F +:049D3100000000002E +:049D3200000000002D +:049D3300000000002C +:049D3400000000002B +:049D3500000000002A +:049D36000000000029 +:049D37000000000028 +:049D38000000000027 +:049D39000000000026 +:049D3A000000000025 +:049D3B000000000024 +:049D3C000000000023 +:049D3D000000000022 +:049D3E000000000021 +:049D3F000000000020 +:049D4000000000001F +:049D4100000000001E +:049D4200000000001D +:049D4300000000001C +:049D4400000000001B +:049D4500000000001A +:049D46000000000019 +:049D47000000000018 +:049D48000000000017 +:049D49000000000016 +:049D4A000000000015 +:049D4B000000000014 +:049D4C000000000013 +:049D4D000000000012 +:049D4E000000000011 +:049D4F000000000010 +:049D5000000000000F +:049D5100000000000E +:049D5200000000000D +:049D5300000000000C +:049D5400000000000B +:049D5500000000000A +:049D56000000000009 +:049D57000000000008 +:049D58000000000007 +:049D59000000000006 +:049D5A000000000005 +:049D5B000000000004 +:049D5C000000000003 +:049D5D000000000002 +:049D5E000000000001 +:049D5F000000000000 +:049D600000000000FF +:049D610000000000FE +:049D620000000000FD +:049D630000000000FC +:049D640000000000FB +:049D650000000000FA +:049D660000000000F9 +:049D670000000000F8 +:049D680000000000F7 +:049D690000000000F6 +:049D6A0000000000F5 +:049D6B0000000000F4 +:049D6C0000000000F3 +:049D6D0000000000F2 +:049D6E0000000000F1 +:049D6F0000000000F0 +:049D700000000000EF +:049D710000000000EE +:049D720000000000ED +:049D730000000000EC +:049D740000000000EB +:049D750000000000EA +:049D760000000000E9 +:049D770000000000E8 +:049D780000000000E7 +:049D790000000000E6 +:049D7A0000000000E5 +:049D7B0000000000E4 +:049D7C0000000000E3 +:049D7D0000000000E2 +:049D7E0000000000E1 +:049D7F0000000000E0 +:049D800000000000DF +:049D810000000000DE +:049D820000000000DD +:049D830000000000DC +:049D840000000000DB +:049D850000000000DA +:049D860000000000D9 +:049D870000000000D8 +:049D880000000000D7 +:049D890000000000D6 +:049D8A0000000000D5 +:049D8B0000000000D4 +:049D8C0000000000D3 +:049D8D0000000000D2 +:049D8E0000000000D1 +:049D8F0000000000D0 +:049D900000000000CF +:049D910000000000CE +:049D920000000000CD +:049D930000000000CC +:049D940000000000CB +:049D950000000000CA +:049D960000000000C9 +:049D970000000000C8 +:049D980000000000C7 +:049D990000000000C6 +:049D9A0000000000C5 +:049D9B0000000000C4 +:049D9C0000000000C3 +:049D9D0000000000C2 +:049D9E0000000000C1 +:049D9F0000000000C0 +:049DA00000000000BF +:049DA10000000000BE +:049DA20000000000BD +:049DA30000000000BC +:049DA40000000000BB +:049DA50000000000BA +:049DA60000000000B9 +:049DA70000000000B8 +:049DA80000000000B7 +:049DA90000000000B6 +:049DAA0000000000B5 +:049DAB0000000000B4 +:049DAC0000000000B3 +:049DAD0000000000B2 +:049DAE0000000000B1 +:049DAF0000000000B0 +:049DB00000000000AF +:049DB10000000000AE +:049DB20000000000AD +:049DB30000000000AC +:049DB40000000000AB +:049DB50000000000AA +:049DB60000000000A9 +:049DB70000000000A8 +:049DB80000000000A7 +:049DB90000000000A6 +:049DBA0000000000A5 +:049DBB0000000000A4 +:049DBC0000000000A3 +:049DBD0000000000A2 +:049DBE0000000000A1 +:049DBF0000000000A0 +:049DC000000000009F +:049DC100000000009E +:049DC200000000009D +:049DC300000000009C +:049DC400000000009B +:049DC500000000009A +:049DC6000000000099 +:049DC7000000000098 +:049DC8000000000097 +:049DC9000000000096 +:049DCA000000000095 +:049DCB000000000094 +:049DCC000000000093 +:049DCD000000000092 +:049DCE000000000091 +:049DCF000000000090 +:049DD000000000008F +:049DD100000000008E +:049DD200000000008D +:049DD300000000008C +:049DD400000000008B +:049DD500000000008A +:049DD6000000000089 +:049DD7000000000088 +:049DD8000000000087 +:049DD9000000000086 +:049DDA000000000085 +:049DDB000000000084 +:049DDC000000000083 +:049DDD000000000082 +:049DDE000000000081 +:049DDF000000000080 +:049DE000000000007F +:049DE100000000007E +:049DE200000000007D +:049DE300000000007C +:049DE400000000007B +:049DE500000000007A +:049DE6000000000079 +:049DE7000000000078 +:049DE8000000000077 +:049DE9000000000076 +:049DEA000000000075 +:049DEB000000000074 +:049DEC000000000073 +:049DED000000000072 +:049DEE000000000071 +:049DEF000000000070 +:049DF000000000006F +:049DF100000000006E +:049DF200000000006D +:049DF300000000006C +:049DF400000000006B +:049DF500000000006A +:049DF6000000000069 +:049DF7000000000068 +:049DF8000000000067 +:049DF9000000000066 +:049DFA000000000065 +:049DFB000000000064 +:049DFC000000000063 +:049DFD000000000062 +:049DFE000000000061 +:049DFF000000000060 +:049E0000000000005E +:049E0100000000005D +:049E0200000000005C +:049E0300000000005B +:049E0400000000005A +:049E05000000000059 +:049E06000000000058 +:049E07000000000057 +:049E08000000000056 +:049E09000000000055 +:049E0A000000000054 +:049E0B000000000053 +:049E0C000000000052 +:049E0D000000000051 +:049E0E000000000050 +:049E0F00000000004F +:049E1000000000004E +:049E1100000000004D +:049E1200000000004C +:049E1300000000004B +:049E1400000000004A +:049E15000000000049 +:049E16000000000048 +:049E17000000000047 +:049E18000000000046 +:049E19000000000045 +:049E1A000000000044 +:049E1B000000000043 +:049E1C000000000042 +:049E1D000000000041 +:049E1E000000000040 +:049E1F00000000003F +:049E2000000000003E +:049E2100000000003D +:049E2200000000003C +:049E2300000000003B +:049E2400000000003A +:049E25000000000039 +:049E26000000000038 +:049E27000000000037 +:049E28000000000036 +:049E29000000000035 +:049E2A000000000034 +:049E2B000000000033 +:049E2C000000000032 +:049E2D000000000031 +:049E2E000000000030 +:049E2F00000000002F +:049E3000000000002E +:049E3100000000002D +:049E3200000000002C +:049E3300000000002B +:049E3400000000002A +:049E35000000000029 +:049E36000000000028 +:049E37000000000027 +:049E38000000000026 +:049E39000000000025 +:049E3A000000000024 +:049E3B000000000023 +:049E3C000000000022 +:049E3D000000000021 +:049E3E000000000020 +:049E3F00000000001F +:049E4000000000001E +:049E4100000000001D +:049E4200000000001C +:049E4300000000001B +:049E4400000000001A +:049E45000000000019 +:049E46000000000018 +:049E47000000000017 +:049E48000000000016 +:049E49000000000015 +:049E4A000000000014 +:049E4B000000000013 +:049E4C000000000012 +:049E4D000000000011 +:049E4E000000000010 +:049E4F00000000000F +:049E5000000000000E +:049E5100000000000D +:049E5200000000000C +:049E5300000000000B +:049E5400000000000A +:049E55000000000009 +:049E56000000000008 +:049E57000000000007 +:049E58000000000006 +:049E59000000000005 +:049E5A000000000004 +:049E5B000000000003 +:049E5C000000000002 +:049E5D000000000001 +:049E5E000000000000 +:049E5F0000000000FF +:049E600000000000FE +:049E610000000000FD +:049E620000000000FC +:049E630000000000FB +:049E640000000000FA +:049E650000000000F9 +:049E660000000000F8 +:049E670000000000F7 +:049E680000000000F6 +:049E690000000000F5 +:049E6A0000000000F4 +:049E6B0000000000F3 +:049E6C0000000000F2 +:049E6D0000000000F1 +:049E6E0000000000F0 +:049E6F0000000000EF +:049E700000000000EE +:049E710000000000ED +:049E720000000000EC +:049E730000000000EB +:049E740000000000EA +:049E750000000000E9 +:049E760000000000E8 +:049E770000000000E7 +:049E780000000000E6 +:049E790000000000E5 +:049E7A0000000000E4 +:049E7B0000000000E3 +:049E7C0000000000E2 +:049E7D0000000000E1 +:049E7E0000000000E0 +:049E7F0000000000DF +:049E800000000000DE +:049E810000000000DD +:049E820000000000DC +:049E830000000000DB +:049E840000000000DA +:049E850000000000D9 +:049E860000000000D8 +:049E870000000000D7 +:049E880000000000D6 +:049E890000000000D5 +:049E8A0000000000D4 +:049E8B0000000000D3 +:049E8C0000000000D2 +:049E8D0000000000D1 +:049E8E0000000000D0 +:049E8F0000000000CF +:049E900000000000CE +:049E910000000000CD +:049E920000000000CC +:049E930000000000CB +:049E940000000000CA +:049E950000000000C9 +:049E960000000000C8 +:049E970000000000C7 +:049E980000000000C6 +:049E990000000000C5 +:049E9A0000000000C4 +:049E9B0000000000C3 +:049E9C0000000000C2 +:049E9D0000000000C1 +:049E9E0000000000C0 +:049E9F0000000000BF +:049EA00000000000BE +:049EA10000000000BD +:049EA20000000000BC +:049EA30000000000BB +:049EA40000000000BA +:049EA50000000000B9 +:049EA60000000000B8 +:049EA70000000000B7 +:049EA80000000000B6 +:049EA90000000000B5 +:049EAA0000000000B4 +:049EAB0000000000B3 +:049EAC0000000000B2 +:049EAD0000000000B1 +:049EAE0000000000B0 +:049EAF0000000000AF +:049EB00000000000AE +:049EB10000000000AD +:049EB20000000000AC +:049EB30000000000AB +:049EB40000000000AA +:049EB50000000000A9 +:049EB60000000000A8 +:049EB70000000000A7 +:049EB80000000000A6 +:049EB90000000000A5 +:049EBA0000000000A4 +:049EBB0000000000A3 +:049EBC0000000000A2 +:049EBD0000000000A1 +:049EBE0000000000A0 +:049EBF00000000009F +:049EC000000000009E +:049EC100000000009D +:049EC200000000009C +:049EC300000000009B +:049EC400000000009A +:049EC5000000000099 +:049EC6000000000098 +:049EC7000000000097 +:049EC8000000000096 +:049EC9000000000095 +:049ECA000000000094 +:049ECB000000000093 +:049ECC000000000092 +:049ECD000000000091 +:049ECE000000000090 +:049ECF00000000008F +:049ED000000000008E +:049ED100000000008D +:049ED200000000008C +:049ED300000000008B +:049ED400000000008A +:049ED5000000000089 +:049ED6000000000088 +:049ED7000000000087 +:049ED8000000000086 +:049ED9000000000085 +:049EDA000000000084 +:049EDB000000000083 +:049EDC000000000082 +:049EDD000000000081 +:049EDE000000000080 +:049EDF00000000007F +:049EE000000000007E +:049EE100000000007D +:049EE200000000007C +:049EE300000000007B +:049EE400000000007A +:049EE5000000000079 +:049EE6000000000078 +:049EE7000000000077 +:049EE8000000000076 +:049EE9000000000075 +:049EEA000000000074 +:049EEB000000000073 +:049EEC000000000072 +:049EED000000000071 +:049EEE000000000070 +:049EEF00000000006F +:049EF000000000006E +:049EF100000000006D +:049EF200000000006C +:049EF300000000006B +:049EF400000000006A +:049EF5000000000069 +:049EF6000000000068 +:049EF7000000000067 +:049EF8000000000066 +:049EF9000000000065 +:049EFA000000000064 +:049EFB000000000063 +:049EFC000000000062 +:049EFD000000000061 +:049EFE000000000060 +:049EFF00000000005F +:049F0000000000005D +:049F0100000000005C +:049F0200000000005B +:049F0300000000005A +:049F04000000000059 +:049F05000000000058 +:049F06000000000057 +:049F07000000000056 +:049F08000000000055 +:049F09000000000054 +:049F0A000000000053 +:049F0B000000000052 +:049F0C000000000051 +:049F0D000000000050 +:049F0E00000000004F +:049F0F00000000004E +:049F1000000000004D +:049F1100000000004C +:049F1200000000004B +:049F1300000000004A +:049F14000000000049 +:049F15000000000048 +:049F16000000000047 +:049F17000000000046 +:049F18000000000045 +:049F19000000000044 +:049F1A000000000043 +:049F1B000000000042 +:049F1C000000000041 +:049F1D000000000040 +:049F1E00000000003F +:049F1F00000000003E +:049F2000000000003D +:049F2100000000003C +:049F2200000000003B +:049F2300000000003A +:049F24000000000039 +:049F25000000000038 +:049F26000000000037 +:049F27000000000036 +:049F28000000000035 +:049F29000000000034 +:049F2A000000000033 +:049F2B000000000032 +:049F2C000000000031 +:049F2D000000000030 +:049F2E00000000002F +:049F2F00000000002E +:049F3000000000002D +:049F3100000000002C +:049F3200000000002B +:049F3300000000002A +:049F34000000000029 +:049F35000000000028 +:049F36000000000027 +:049F37000000000026 +:049F38000000000025 +:049F39000000000024 +:049F3A000000000023 +:049F3B000000000022 +:049F3C000000000021 +:049F3D000000000020 +:049F3E00000000001F +:049F3F00000000001E +:049F4000000000001D +:049F4100000000001C +:049F4200000000001B +:049F4300000000001A +:049F44000000000019 +:049F45000000000018 +:049F46000000000017 +:049F47000000000016 +:049F48000000000015 +:049F49000000000014 +:049F4A000000000013 +:049F4B000000000012 +:049F4C000000000011 +:049F4D000000000010 +:049F4E00000000000F +:049F4F00000000000E +:049F5000000000000D +:049F5100000000000C +:049F5200000000000B +:049F5300000000000A +:049F54000000000009 +:049F55000000000008 +:049F56000000000007 +:049F57000000000006 +:049F58000000000005 +:049F59000000000004 +:049F5A000000000003 +:049F5B000000000002 +:049F5C000000000001 +:049F5D000000000000 +:049F5E0000000000FF +:049F5F0000000000FE +:049F600000000000FD +:049F610000000000FC +:049F620000000000FB +:049F630000000000FA +:049F640000000000F9 +:049F650000000000F8 +:049F660000000000F7 +:049F670000000000F6 +:049F680000000000F5 +:049F690000000000F4 +:049F6A0000000000F3 +:049F6B0000000000F2 +:049F6C0000000000F1 +:049F6D0000000000F0 +:049F6E0000000000EF +:049F6F0000000000EE +:049F700000000000ED +:049F710000000000EC +:049F720000000000EB +:049F730000000000EA +:049F740000000000E9 +:049F750000000000E8 +:049F760000000000E7 +:049F770000000000E6 +:049F780000000000E5 +:049F790000000000E4 +:049F7A0000000000E3 +:049F7B0000000000E2 +:049F7C0000000000E1 +:049F7D0000000000E0 +:049F7E0000000000DF +:049F7F0000000000DE +:049F800000000000DD +:049F810000000000DC +:049F820000000000DB +:049F830000000000DA +:049F840000000000D9 +:049F850000000000D8 +:049F860000000000D7 +:049F870000000000D6 +:049F880000000000D5 +:049F890000000000D4 +:049F8A0000000000D3 +:049F8B0000000000D2 +:049F8C0000000000D1 +:049F8D0000000000D0 +:049F8E0000000000CF +:049F8F0000000000CE +:049F900000000000CD +:049F910000000000CC +:049F920000000000CB +:049F930000000000CA +:049F940000000000C9 +:049F950000000000C8 +:049F960000000000C7 +:049F970000000000C6 +:049F980000000000C5 +:049F990000000000C4 +:049F9A0000000000C3 +:049F9B0000000000C2 +:049F9C0000000000C1 +:049F9D0000000000C0 +:049F9E0000000000BF +:049F9F0000000000BE +:049FA00000000000BD +:049FA10000000000BC +:049FA20000000000BB +:049FA30000000000BA +:049FA40000000000B9 +:049FA50000000000B8 +:049FA60000000000B7 +:049FA70000000000B6 +:049FA80000000000B5 +:049FA90000000000B4 +:049FAA0000000000B3 +:049FAB0000000000B2 +:049FAC0000000000B1 +:049FAD0000000000B0 +:049FAE0000000000AF +:049FAF0000000000AE +:049FB00000000000AD +:049FB10000000000AC +:049FB20000000000AB +:049FB30000000000AA +:049FB40000000000A9 +:049FB50000000000A8 +:049FB60000000000A7 +:049FB70000000000A6 +:049FB80000000000A5 +:049FB90000000000A4 +:049FBA0000000000A3 +:049FBB0000000000A2 +:049FBC0000000000A1 +:049FBD0000000000A0 +:049FBE00000000009F +:049FBF00000000009E +:049FC000000000009D +:049FC100000000009C +:049FC200000000009B +:049FC300000000009A +:049FC4000000000099 +:049FC5000000000098 +:049FC6000000000097 +:049FC7000000000096 +:049FC8000000000095 +:049FC9000000000094 +:049FCA000000000093 +:049FCB000000000092 +:049FCC000000000091 +:049FCD000000000090 +:049FCE00000000008F +:049FCF00000000008E +:049FD000000000008D +:049FD100000000008C +:049FD200000000008B +:049FD300000000008A +:049FD4000000000089 +:049FD5000000000088 +:049FD6000000000087 +:049FD7000000000086 +:049FD8000000000085 +:049FD9000000000084 +:049FDA000000000083 +:049FDB000000000082 +:049FDC000000000081 +:049FDD000000000080 +:049FDE00000000007F +:049FDF00000000007E +:049FE000000000007D +:049FE100000000007C +:049FE200000000007B +:049FE300000000007A +:049FE4000000000079 +:049FE5000000000078 +:049FE6000000000077 +:049FE7000000000076 +:049FE8000000000075 +:049FE9000000000074 +:049FEA000000000073 +:049FEB000000000072 +:049FEC000000000071 +:049FED000000000070 +:049FEE00000000006F +:049FEF00000000006E +:049FF000000000006D +:049FF100000000006C +:049FF200000000006B +:049FF300000000006A +:049FF4000000000069 +:049FF5000000000068 +:049FF6000000000067 +:049FF7000000000066 +:049FF8000000000065 +:049FF9000000000064 +:049FFA000000000063 +:049FFB000000000062 +:049FFC000000000061 +:049FFD000000000060 +:049FFE00000000005F +:049FFF00000000005E +:04A00000000000005C +:04A00100000000005B +:04A00200000000005A +:04A003000000000059 +:04A004000000000058 +:04A005000000000057 +:04A006000000000056 +:04A007000000000055 +:04A008000000000054 +:04A009000000000053 +:04A00A000000000052 +:04A00B000000000051 +:04A00C000000000050 +:04A00D00000000004F +:04A00E00000000004E +:04A00F00000000004D +:04A01000000000004C +:04A01100000000004B +:04A01200000000004A +:04A013000000000049 +:04A014000000000048 +:04A015000000000047 +:04A016000000000046 +:04A017000000000045 +:04A018000000000044 +:04A019000000000043 +:04A01A000000000042 +:04A01B000000000041 +:04A01C000000000040 +:04A01D00000000003F +:04A01E00000000003E +:04A01F00000000003D +:04A02000000000003C +:04A02100000000003B +:04A02200000000003A +:04A023000000000039 +:04A024000000000038 +:04A025000000000037 +:04A026000000000036 +:04A027000000000035 +:04A028000000000034 +:04A029000000000033 +:04A02A000000000032 +:04A02B000000000031 +:04A02C000000000030 +:04A02D00000000002F +:04A02E00000000002E +:04A02F00000000002D +:04A03000000000002C +:04A03100000000002B +:04A03200000000002A +:04A033000000000029 +:04A034000000000028 +:04A035000000000027 +:04A036000000000026 +:04A037000000000025 +:04A038000000000024 +:04A039000000000023 +:04A03A000000000022 +:04A03B000000000021 +:04A03C000000000020 +:04A03D00000000001F +:04A03E00000000001E +:04A03F00000000001D +:04A04000000000001C +:04A04100000000001B +:04A04200000000001A +:04A043000000000019 +:04A044000000000018 +:04A045000000000017 +:04A046000000000016 +:04A047000000000015 +:04A048000000000014 +:04A049000000000013 +:04A04A000000000012 +:04A04B000000000011 +:04A04C000000000010 +:04A04D00000000000F +:04A04E00000000000E +:04A04F00000000000D +:04A05000000000000C +:04A05100000000000B +:04A05200000000000A +:04A053000000000009 +:04A054000000000008 +:04A055000000000007 +:04A056000000000006 +:04A057000000000005 +:04A058000000000004 +:04A059000000000003 +:04A05A000000000002 +:04A05B000000000001 +:04A05C000000000000 +:04A05D0000000000FF +:04A05E0000000000FE +:04A05F0000000000FD +:04A0600000000000FC +:04A0610000000000FB +:04A0620000000000FA +:04A0630000000000F9 +:04A0640000000000F8 +:04A0650000000000F7 +:04A0660000000000F6 +:04A0670000000000F5 +:04A0680000000000F4 +:04A0690000000000F3 +:04A06A0000000000F2 +:04A06B0000000000F1 +:04A06C0000000000F0 +:04A06D0000000000EF +:04A06E0000000000EE +:04A06F0000000000ED +:04A0700000000000EC +:04A0710000000000EB +:04A0720000000000EA +:04A0730000000000E9 +:04A0740000000000E8 +:04A0750000000000E7 +:04A0760000000000E6 +:04A0770000000000E5 +:04A0780000000000E4 +:04A0790000000000E3 +:04A07A0000000000E2 +:04A07B0000000000E1 +:04A07C0000000000E0 +:04A07D0000000000DF +:04A07E0000000000DE +:04A07F0000000000DD +:04A0800000000000DC +:04A0810000000000DB +:04A0820000000000DA +:04A0830000000000D9 +:04A0840000000000D8 +:04A0850000000000D7 +:04A0860000000000D6 +:04A0870000000000D5 +:04A0880000000000D4 +:04A0890000000000D3 +:04A08A0000000000D2 +:04A08B0000000000D1 +:04A08C0000000000D0 +:04A08D0000000000CF +:04A08E0000000000CE +:04A08F0000000000CD +:04A0900000000000CC +:04A0910000000000CB +:04A0920000000000CA +:04A0930000000000C9 +:04A0940000000000C8 +:04A0950000000000C7 +:04A0960000000000C6 +:04A0970000000000C5 +:04A0980000000000C4 +:04A0990000000000C3 +:04A09A0000000000C2 +:04A09B0000000000C1 +:04A09C0000000000C0 +:04A09D0000000000BF +:04A09E0000000000BE +:04A09F0000000000BD +:04A0A00000000000BC +:04A0A10000000000BB +:04A0A20000000000BA +:04A0A30000000000B9 +:04A0A40000000000B8 +:04A0A50000000000B7 +:04A0A60000000000B6 +:04A0A70000000000B5 +:04A0A80000000000B4 +:04A0A90000000000B3 +:04A0AA0000000000B2 +:04A0AB0000000000B1 +:04A0AC0000000000B0 +:04A0AD0000000000AF +:04A0AE0000000000AE +:04A0AF0000000000AD +:04A0B00000000000AC +:04A0B10000000000AB +:04A0B20000000000AA +:04A0B30000000000A9 +:04A0B40000000000A8 +:04A0B50000000000A7 +:04A0B60000000000A6 +:04A0B70000000000A5 +:04A0B80000000000A4 +:04A0B90000000000A3 +:04A0BA0000000000A2 +:04A0BB0000000000A1 +:04A0BC0000000000A0 +:04A0BD00000000009F +:04A0BE00000000009E +:04A0BF00000000009D +:04A0C000000000009C +:04A0C100000000009B +:04A0C200000000009A +:04A0C3000000000099 +:04A0C4000000000098 +:04A0C5000000000097 +:04A0C6000000000096 +:04A0C7000000000095 +:04A0C8000000000094 +:04A0C9000000000093 +:04A0CA000000000092 +:04A0CB000000000091 +:04A0CC000000000090 +:04A0CD00000000008F +:04A0CE00000000008E +:04A0CF00000000008D +:04A0D000000000008C +:04A0D100000000008B +:04A0D200000000008A +:04A0D3000000000089 +:04A0D4000000000088 +:04A0D5000000000087 +:04A0D6000000000086 +:04A0D7000000000085 +:04A0D8000000000084 +:04A0D9000000000083 +:04A0DA000000000082 +:04A0DB000000000081 +:04A0DC000000000080 +:04A0DD00000000007F +:04A0DE00000000007E +:04A0DF00000000007D +:04A0E000000000007C +:04A0E100000000007B +:04A0E200000000007A +:04A0E3000000000079 +:04A0E4000000000078 +:04A0E5000000000077 +:04A0E6000000000076 +:04A0E7000000000075 +:04A0E8000000000074 +:04A0E9000000000073 +:04A0EA000000000072 +:04A0EB000000000071 +:04A0EC000000000070 +:04A0ED00000000006F +:04A0EE00000000006E +:04A0EF00000000006D +:04A0F000000000006C +:04A0F100000000006B +:04A0F200000000006A +:04A0F3000000000069 +:04A0F4000000000068 +:04A0F5000000000067 +:04A0F6000000000066 +:04A0F7000000000065 +:04A0F8000000000064 +:04A0F9000000000063 +:04A0FA000000000062 +:04A0FB000000000061 +:04A0FC000000000060 +:04A0FD00000000005F +:04A0FE00000000005E +:04A0FF00000000005D +:04A10000000000005B +:04A10100000000005A +:04A102000000000059 +:04A103000000000058 +:04A104000000000057 +:04A105000000000056 +:04A106000000000055 +:04A107000000000054 +:04A108000000000053 +:04A109000000000052 +:04A10A000000000051 +:04A10B000000000050 +:04A10C00000000004F +:04A10D00000000004E +:04A10E00000000004D +:04A10F00000000004C +:04A11000000000004B +:04A11100000000004A +:04A112000000000049 +:04A113000000000048 +:04A114000000000047 +:04A115000000000046 +:04A116000000000045 +:04A117000000000044 +:04A118000000000043 +:04A119000000000042 +:04A11A000000000041 +:04A11B000000000040 +:04A11C00000000003F +:04A11D00000000003E +:04A11E00000000003D +:04A11F00000000003C +:04A12000000000003B +:04A12100000000003A +:04A122000000000039 +:04A123000000000038 +:04A124000000000037 +:04A125000000000036 +:04A126000000000035 +:04A127000000000034 +:04A128000000000033 +:04A129000000000032 +:04A12A000000000031 +:04A12B000000000030 +:04A12C00000000002F +:04A12D00000000002E +:04A12E00000000002D +:04A12F00000000002C +:04A13000000000002B +:04A13100000000002A +:04A132000000000029 +:04A133000000000028 +:04A134000000000027 +:04A135000000000026 +:04A136000000000025 +:04A137000000000024 +:04A138000000000023 +:04A139000000000022 +:04A13A000000000021 +:04A13B000000000020 +:04A13C00000000001F +:04A13D00000000001E +:04A13E00000000001D +:04A13F00000000001C +:04A14000000000001B +:04A14100000000001A +:04A142000000000019 +:04A143000000000018 +:04A144000000000017 +:04A145000000000016 +:04A146000000000015 +:04A147000000000014 +:04A148000000000013 +:04A149000000000012 +:04A14A000000000011 +:04A14B000000000010 +:04A14C00000000000F +:04A14D00000000000E +:04A14E00000000000D +:04A14F00000000000C +:04A15000000000000B +:04A15100000000000A +:04A152000000000009 +:04A153000000000008 +:04A154000000000007 +:04A155000000000006 +:04A156000000000005 +:04A157000000000004 +:04A158000000000003 +:04A159000000000002 +:04A15A000000000001 +:04A15B000000000000 +:04A15C0000000000FF +:04A15D0000000000FE +:04A15E0000000000FD +:04A15F0000000000FC +:04A1600000000000FB +:04A1610000000000FA +:04A1620000000000F9 +:04A1630000000000F8 +:04A1640000000000F7 +:04A1650000000000F6 +:04A1660000000000F5 +:04A1670000000000F4 +:04A1680000000000F3 +:04A1690000000000F2 +:04A16A0000000000F1 +:04A16B0000000000F0 +:04A16C0000000000EF +:04A16D0000000000EE +:04A16E0000000000ED +:04A16F0000000000EC +:04A1700000000000EB +:04A1710000000000EA +:04A1720000000000E9 +:04A1730000000000E8 +:04A1740000000000E7 +:04A1750000000000E6 +:04A1760000000000E5 +:04A1770000000000E4 +:04A1780000000000E3 +:04A1790000000000E2 +:04A17A0000000000E1 +:04A17B0000000000E0 +:04A17C0000000000DF +:04A17D0000000000DE +:04A17E0000000000DD +:04A17F0000000000DC +:04A1800000000000DB +:04A1810000000000DA +:04A1820000000000D9 +:04A1830000000000D8 +:04A1840000000000D7 +:04A1850000000000D6 +:04A1860000000000D5 +:04A1870000000000D4 +:04A1880000000000D3 +:04A1890000000000D2 +:04A18A0000000000D1 +:04A18B0000000000D0 +:04A18C0000000000CF +:04A18D0000000000CE +:04A18E0000000000CD +:04A18F0000000000CC +:04A1900000000000CB +:04A1910000000000CA +:04A1920000000000C9 +:04A1930000000000C8 +:04A1940000000000C7 +:04A1950000000000C6 +:04A1960000000000C5 +:04A1970000000000C4 +:04A1980000000000C3 +:04A1990000000000C2 +:04A19A0000000000C1 +:04A19B0000000000C0 +:04A19C0000000000BF +:04A19D0000000000BE +:04A19E0000000000BD +:04A19F0000000000BC +:04A1A00000000000BB +:04A1A10000000000BA +:04A1A20000000000B9 +:04A1A30000000000B8 +:04A1A40000000000B7 +:04A1A50000000000B6 +:04A1A60000000000B5 +:04A1A70000000000B4 +:04A1A80000000000B3 +:04A1A90000000000B2 +:04A1AA0000000000B1 +:04A1AB0000000000B0 +:04A1AC0000000000AF +:04A1AD0000000000AE +:04A1AE0000000000AD +:04A1AF0000000000AC +:04A1B00000000000AB +:04A1B10000000000AA +:04A1B20000000000A9 +:04A1B30000000000A8 +:04A1B40000000000A7 +:04A1B50000000000A6 +:04A1B60000000000A5 +:04A1B70000000000A4 +:04A1B80000000000A3 +:04A1B90000000000A2 +:04A1BA0000000000A1 +:04A1BB0000000000A0 +:04A1BC00000000009F +:04A1BD00000000009E +:04A1BE00000000009D +:04A1BF00000000009C +:04A1C000000000009B +:04A1C100000000009A +:04A1C2000000000099 +:04A1C3000000000098 +:04A1C4000000000097 +:04A1C5000000000096 +:04A1C6000000000095 +:04A1C7000000000094 +:04A1C8000000000093 +:04A1C9000000000092 +:04A1CA000000000091 +:04A1CB000000000090 +:04A1CC00000000008F +:04A1CD00000000008E +:04A1CE00000000008D +:04A1CF00000000008C +:04A1D000000000008B +:04A1D100000000008A +:04A1D2000000000089 +:04A1D3000000000088 +:04A1D4000000000087 +:04A1D5000000000086 +:04A1D6000000000085 +:04A1D7000000000084 +:04A1D8000000000083 +:04A1D9000000000082 +:04A1DA000000000081 +:04A1DB000000000080 +:04A1DC00000000007F +:04A1DD00000000007E +:04A1DE00000000007D +:04A1DF00000000007C +:04A1E000000000007B +:04A1E100000000007A +:04A1E2000000000079 +:04A1E3000000000078 +:04A1E4000000000077 +:04A1E5000000000076 +:04A1E6000000000075 +:04A1E7000000000074 +:04A1E8000000000073 +:04A1E9000000000072 +:04A1EA000000000071 +:04A1EB000000000070 +:04A1EC00000000006F +:04A1ED00000000006E +:04A1EE00000000006D +:04A1EF00000000006C +:04A1F000000000006B +:04A1F100000000006A +:04A1F2000000000069 +:04A1F3000000000068 +:04A1F4000000000067 +:04A1F5000000000066 +:04A1F6000000000065 +:04A1F7000000000064 +:04A1F8000000000063 +:04A1F9000000000062 +:04A1FA000000000061 +:04A1FB000000000060 +:04A1FC00000000005F +:04A1FD00000000005E +:04A1FE00000000005D +:04A1FF00000000005C +:04A20000000000005A +:04A201000000000059 +:04A202000000000058 +:04A203000000000057 +:04A204000000000056 +:04A205000000000055 +:04A206000000000054 +:04A207000000000053 +:04A208000000000052 +:04A209000000000051 +:04A20A000000000050 +:04A20B00000000004F +:04A20C00000000004E +:04A20D00000000004D +:04A20E00000000004C +:04A20F00000000004B +:04A21000000000004A +:04A211000000000049 +:04A212000000000048 +:04A213000000000047 +:04A214000000000046 +:04A215000000000045 +:04A216000000000044 +:04A217000000000043 +:04A218000000000042 +:04A219000000000041 +:04A21A000000000040 +:04A21B00000000003F +:04A21C00000000003E +:04A21D00000000003D +:04A21E00000000003C +:04A21F00000000003B +:04A22000000000003A +:04A221000000000039 +:04A222000000000038 +:04A223000000000037 +:04A224000000000036 +:04A225000000000035 +:04A226000000000034 +:04A227000000000033 +:04A228000000000032 +:04A229000000000031 +:04A22A000000000030 +:04A22B00000000002F +:04A22C00000000002E +:04A22D00000000002D +:04A22E00000000002C +:04A22F00000000002B +:04A23000000000002A +:04A231000000000029 +:04A232000000000028 +:04A233000000000027 +:04A234000000000026 +:04A235000000000025 +:04A236000000000024 +:04A237000000000023 +:04A238000000000022 +:04A239000000000021 +:04A23A000000000020 +:04A23B00000000001F +:04A23C00000000001E +:04A23D00000000001D +:04A23E00000000001C +:04A23F00000000001B +:04A24000000000001A +:04A241000000000019 +:04A242000000000018 +:04A243000000000017 +:04A244000000000016 +:04A245000000000015 +:04A246000000000014 +:04A247000000000013 +:04A248000000000012 +:04A249000000000011 +:04A24A000000000010 +:04A24B00000000000F +:04A24C00000000000E +:04A24D00000000000D +:04A24E00000000000C +:04A24F00000000000B +:04A25000000000000A +:04A251000000000009 +:04A252000000000008 +:04A253000000000007 +:04A254000000000006 +:04A255000000000005 +:04A256000000000004 +:04A257000000000003 +:04A258000000000002 +:04A259000000000001 +:04A25A000000000000 +:04A25B0000000000FF +:04A25C0000000000FE +:04A25D0000000000FD +:04A25E0000000000FC +:04A25F0000000000FB +:04A2600000000000FA +:04A2610000000000F9 +:04A2620000000000F8 +:04A2630000000000F7 +:04A2640000000000F6 +:04A2650000000000F5 +:04A2660000000000F4 +:04A2670000000000F3 +:04A2680000000000F2 +:04A2690000000000F1 +:04A26A0000000000F0 +:04A26B0000000000EF +:04A26C0000000000EE +:04A26D0000000000ED +:04A26E0000000000EC +:04A26F0000000000EB +:04A2700000000000EA +:04A2710000000000E9 +:04A2720000000000E8 +:04A2730000000000E7 +:04A2740000000000E6 +:04A2750000000000E5 +:04A2760000000000E4 +:04A2770000000000E3 +:04A2780000000000E2 +:04A2790000000000E1 +:04A27A0000000000E0 +:04A27B0000000000DF +:04A27C0000000000DE +:04A27D0000000000DD +:04A27E0000000000DC +:04A27F0000000000DB +:04A2800000000000DA +:04A2810000000000D9 +:04A2820000000000D8 +:04A2830000000000D7 +:04A2840000000000D6 +:04A2850000000000D5 +:04A2860000000000D4 +:04A2870000000000D3 +:04A2880000000000D2 +:04A2890000000000D1 +:04A28A0000000000D0 +:04A28B0000000000CF +:04A28C0000000000CE +:04A28D0000000000CD +:04A28E0000000000CC +:04A28F0000000000CB +:04A2900000000000CA +:04A2910000000000C9 +:04A2920000000000C8 +:04A2930000000000C7 +:04A2940000000000C6 +:04A2950000000000C5 +:04A2960000000000C4 +:04A2970000000000C3 +:04A2980000000000C2 +:04A2990000000000C1 +:04A29A0000000000C0 +:04A29B0000000000BF +:04A29C0000000000BE +:04A29D0000000000BD +:04A29E0000000000BC +:04A29F0000000000BB +:04A2A00000000000BA +:04A2A10000000000B9 +:04A2A20000000000B8 +:04A2A30000000000B7 +:04A2A40000000000B6 +:04A2A50000000000B5 +:04A2A60000000000B4 +:04A2A70000000000B3 +:04A2A80000000000B2 +:04A2A90000000000B1 +:04A2AA0000000000B0 +:04A2AB0000000000AF +:04A2AC0000000000AE +:04A2AD0000000000AD +:04A2AE0000000000AC +:04A2AF0000000000AB +:04A2B00000000000AA +:04A2B10000000000A9 +:04A2B20000000000A8 +:04A2B30000000000A7 +:04A2B40000000000A6 +:04A2B50000000000A5 +:04A2B60000000000A4 +:04A2B70000000000A3 +:04A2B80000000000A2 +:04A2B90000000000A1 +:04A2BA0000000000A0 +:04A2BB00000000009F +:04A2BC00000000009E +:04A2BD00000000009D +:04A2BE00000000009C +:04A2BF00000000009B +:04A2C000000000009A +:04A2C1000000000099 +:04A2C2000000000098 +:04A2C3000000000097 +:04A2C4000000000096 +:04A2C5000000000095 +:04A2C6000000000094 +:04A2C7000000000093 +:04A2C8000000000092 +:04A2C9000000000091 +:04A2CA000000000090 +:04A2CB00000000008F +:04A2CC00000000008E +:04A2CD00000000008D +:04A2CE00000000008C +:04A2CF00000000008B +:04A2D000000000008A +:04A2D1000000000089 +:04A2D2000000000088 +:04A2D3000000000087 +:04A2D4000000000086 +:04A2D5000000000085 +:04A2D6000000000084 +:04A2D7000000000083 +:04A2D8000000000082 +:04A2D9000000000081 +:04A2DA000000000080 +:04A2DB00000000007F +:04A2DC00000000007E +:04A2DD00000000007D +:04A2DE00000000007C +:04A2DF00000000007B +:04A2E000000000007A +:04A2E1000000000079 +:04A2E2000000000078 +:04A2E3000000000077 +:04A2E4000000000076 +:04A2E5000000000075 +:04A2E6000000000074 +:04A2E7000000000073 +:04A2E8000000000072 +:04A2E9000000000071 +:04A2EA000000000070 +:04A2EB00000000006F +:04A2EC00000000006E +:04A2ED00000000006D +:04A2EE00000000006C +:04A2EF00000000006B +:04A2F000000000006A +:04A2F1000000000069 +:04A2F2000000000068 +:04A2F3000000000067 +:04A2F4000000000066 +:04A2F5000000000065 +:04A2F6000000000064 +:04A2F7000000000063 +:04A2F8000000000062 +:04A2F9000000000061 +:04A2FA000000000060 +:04A2FB00000000005F +:04A2FC00000000005E +:04A2FD00000000005D +:04A2FE00000000005C +:04A2FF00000000005B +:04A300000000000059 +:04A301000000000058 +:04A302000000000057 +:04A303000000000056 +:04A304000000000055 +:04A305000000000054 +:04A306000000000053 +:04A307000000000052 +:04A308000000000051 +:04A309000000000050 +:04A30A00000000004F +:04A30B00000000004E +:04A30C00000000004D +:04A30D00000000004C +:04A30E00000000004B +:04A30F00000000004A +:04A310000000000049 +:04A311000000000048 +:04A312000000000047 +:04A313000000000046 +:04A314000000000045 +:04A315000000000044 +:04A316000000000043 +:04A317000000000042 +:04A318000000000041 +:04A319000000000040 +:04A31A00000000003F +:04A31B00000000003E +:04A31C00000000003D +:04A31D00000000003C +:04A31E00000000003B +:04A31F00000000003A +:04A320000000000039 +:04A321000000000038 +:04A322000000000037 +:04A323000000000036 +:04A324000000000035 +:04A325000000000034 +:04A326000000000033 +:04A327000000000032 +:04A328000000000031 +:04A329000000000030 +:04A32A00000000002F +:04A32B00000000002E +:04A32C00000000002D +:04A32D00000000002C +:04A32E00000000002B +:04A32F00000000002A +:04A330000000000029 +:04A331000000000028 +:04A332000000000027 +:04A333000000000026 +:04A334000000000025 +:04A335000000000024 +:04A336000000000023 +:04A337000000000022 +:04A338000000000021 +:04A339000000000020 +:04A33A00000000001F +:04A33B00000000001E +:04A33C00000000001D +:04A33D00000000001C +:04A33E00000000001B +:04A33F00000000001A +:04A340000000000019 +:04A341000000000018 +:04A342000000000017 +:04A343000000000016 +:04A344000000000015 +:04A345000000000014 +:04A346000000000013 +:04A347000000000012 +:04A348000000000011 +:04A349000000000010 +:04A34A00000000000F +:04A34B00000000000E +:04A34C00000000000D +:04A34D00000000000C +:04A34E00000000000B +:04A34F00000000000A +:04A350000000000009 +:04A351000000000008 +:04A352000000000007 +:04A353000000000006 +:04A354000000000005 +:04A355000000000004 +:04A356000000000003 +:04A357000000000002 +:04A358000000000001 +:04A359000000000000 +:04A35A0000000000FF +:04A35B0000000000FE +:04A35C0000000000FD +:04A35D0000000000FC +:04A35E0000000000FB +:04A35F0000000000FA +:04A3600000000000F9 +:04A3610000000000F8 +:04A3620000000000F7 +:04A3630000000000F6 +:04A3640000000000F5 +:04A3650000000000F4 +:04A3660000000000F3 +:04A3670000000000F2 +:04A3680000000000F1 +:04A3690000000000F0 +:04A36A0000000000EF +:04A36B0000000000EE +:04A36C0000000000ED +:04A36D0000000000EC +:04A36E0000000000EB +:04A36F0000000000EA +:04A3700000000000E9 +:04A3710000000000E8 +:04A3720000000000E7 +:04A3730000000000E6 +:04A3740000000000E5 +:04A3750000000000E4 +:04A3760000000000E3 +:04A3770000000000E2 +:04A3780000000000E1 +:04A3790000000000E0 +:04A37A0000000000DF +:04A37B0000000000DE +:04A37C0000000000DD +:04A37D0000000000DC +:04A37E0000000000DB +:04A37F0000000000DA +:04A3800000000000D9 +:04A3810000000000D8 +:04A3820000000000D7 +:04A3830000000000D6 +:04A3840000000000D5 +:04A3850000000000D4 +:04A3860000000000D3 +:04A3870000000000D2 +:04A3880000000000D1 +:04A3890000000000D0 +:04A38A0000000000CF +:04A38B0000000000CE +:04A38C0000000000CD +:04A38D0000000000CC +:04A38E0000000000CB +:04A38F0000000000CA +:04A3900000000000C9 +:04A3910000000000C8 +:04A3920000000000C7 +:04A3930000000000C6 +:04A3940000000000C5 +:04A3950000000000C4 +:04A3960000000000C3 +:04A3970000000000C2 +:04A3980000000000C1 +:04A3990000000000C0 +:04A39A0000000000BF +:04A39B0000000000BE +:04A39C0000000000BD +:04A39D0000000000BC +:04A39E0000000000BB +:04A39F0000000000BA +:04A3A00000000000B9 +:04A3A10000000000B8 +:04A3A20000000000B7 +:04A3A30000000000B6 +:04A3A40000000000B5 +:04A3A50000000000B4 +:04A3A60000000000B3 +:04A3A70000000000B2 +:04A3A80000000000B1 +:04A3A90000000000B0 +:04A3AA0000000000AF +:04A3AB0000000000AE +:04A3AC0000000000AD +:04A3AD0000000000AC +:04A3AE0000000000AB +:04A3AF0000000000AA +:04A3B00000000000A9 +:04A3B10000000000A8 +:04A3B20000000000A7 +:04A3B30000000000A6 +:04A3B40000000000A5 +:04A3B50000000000A4 +:04A3B60000000000A3 +:04A3B70000000000A2 +:04A3B80000000000A1 +:04A3B90000000000A0 +:04A3BA00000000009F +:04A3BB00000000009E +:04A3BC00000000009D +:04A3BD00000000009C +:04A3BE00000000009B +:04A3BF00000000009A +:04A3C0000000000099 +:04A3C1000000000098 +:04A3C2000000000097 +:04A3C3000000000096 +:04A3C4000000000095 +:04A3C5000000000094 +:04A3C6000000000093 +:04A3C7000000000092 +:04A3C8000000000091 +:04A3C9000000000090 +:04A3CA00000000008F +:04A3CB00000000008E +:04A3CC00000000008D +:04A3CD00000000008C +:04A3CE00000000008B +:04A3CF00000000008A +:04A3D0000000000089 +:04A3D1000000000088 +:04A3D2000000000087 +:04A3D3000000000086 +:04A3D4000000000085 +:04A3D5000000000084 +:04A3D6000000000083 +:04A3D7000000000082 +:04A3D8000000000081 +:04A3D9000000000080 +:04A3DA00000000007F +:04A3DB00000000007E +:04A3DC00000000007D +:04A3DD00000000007C +:04A3DE00000000007B +:04A3DF00000000007A +:04A3E0000000000079 +:04A3E1000000000078 +:04A3E2000000000077 +:04A3E3000000000076 +:04A3E4000000000075 +:04A3E5000000000074 +:04A3E6000000000073 +:04A3E7000000000072 +:04A3E8000000000071 +:04A3E9000000000070 +:04A3EA00000000006F +:04A3EB00000000006E +:04A3EC00000000006D +:04A3ED00000000006C +:04A3EE00000000006B +:04A3EF00000000006A +:04A3F0000000000069 +:04A3F1000000000068 +:04A3F2000000000067 +:04A3F3000000000066 +:04A3F4000000000065 +:04A3F5000000000064 +:04A3F6000000000063 +:04A3F7000000000062 +:04A3F8000000000061 +:04A3F9000000000060 +:04A3FA00000000005F +:04A3FB00000000005E +:04A3FC00000000005D +:04A3FD00000000005C +:04A3FE00000000005B +:04A3FF00000000005A +:04A400000000000058 +:04A401000000000057 +:04A402000000000056 +:04A403000000000055 +:04A404000000000054 +:04A405000000000053 +:04A406000000000052 +:04A407000000000051 +:04A408000000000050 +:04A40900000000004F +:04A40A00000000004E +:04A40B00000000004D +:04A40C00000000004C +:04A40D00000000004B +:04A40E00000000004A +:04A40F000000000049 +:04A410000000000048 +:04A411000000000047 +:04A412000000000046 +:04A413000000000045 +:04A414000000000044 +:04A415000000000043 +:04A416000000000042 +:04A417000000000041 +:04A418000000000040 +:04A41900000000003F +:04A41A00000000003E +:04A41B00000000003D +:04A41C00000000003C +:04A41D00000000003B +:04A41E00000000003A +:04A41F000000000039 +:04A420000000000038 +:04A421000000000037 +:04A422000000000036 +:04A423000000000035 +:04A424000000000034 +:04A425000000000033 +:04A426000000000032 +:04A427000000000031 +:04A428000000000030 +:04A42900000000002F +:04A42A00000000002E +:04A42B00000000002D +:04A42C00000000002C +:04A42D00000000002B +:04A42E00000000002A +:04A42F000000000029 +:04A430000000000028 +:04A431000000000027 +:04A432000000000026 +:04A433000000000025 +:04A434000000000024 +:04A435000000000023 +:04A436000000000022 +:04A437000000000021 +:04A438000000000020 +:04A43900000000001F +:04A43A00000000001E +:04A43B00000000001D +:04A43C00000000001C +:04A43D00000000001B +:04A43E00000000001A +:04A43F000000000019 +:04A440000000000018 +:04A441000000000017 +:04A442000000000016 +:04A443000000000015 +:04A444000000000014 +:04A445000000000013 +:04A446000000000012 +:04A447000000000011 +:04A448000000000010 +:04A44900000000000F +:04A44A00000000000E +:04A44B00000000000D +:04A44C00000000000C +:04A44D00000000000B +:04A44E00000000000A +:04A44F000000000009 +:04A450000000000008 +:04A451000000000007 +:04A452000000000006 +:04A453000000000005 +:04A454000000000004 +:04A455000000000003 +:04A456000000000002 +:04A457000000000001 +:04A458000000000000 +:04A4590000000000FF +:04A45A0000000000FE +:04A45B0000000000FD +:04A45C0000000000FC +:04A45D0000000000FB +:04A45E0000000000FA +:04A45F0000000000F9 +:04A4600000000000F8 +:04A4610000000000F7 +:04A4620000000000F6 +:04A4630000000000F5 +:04A4640000000000F4 +:04A4650000000000F3 +:04A4660000000000F2 +:04A4670000000000F1 +:04A4680000000000F0 +:04A4690000000000EF +:04A46A0000000000EE +:04A46B0000000000ED +:04A46C0000000000EC +:04A46D0000000000EB +:04A46E0000000000EA +:04A46F0000000000E9 +:04A4700000000000E8 +:04A4710000000000E7 +:04A4720000000000E6 +:04A4730000000000E5 +:04A4740000000000E4 +:04A4750000000000E3 +:04A4760000000000E2 +:04A4770000000000E1 +:04A4780000000000E0 +:04A4790000000000DF +:04A47A0000000000DE +:04A47B0000000000DD +:04A47C0000000000DC +:04A47D0000000000DB +:04A47E0000000000DA +:04A47F0000000000D9 +:04A4800000000000D8 +:04A4810000000000D7 +:04A4820000000000D6 +:04A4830000000000D5 +:04A4840000000000D4 +:04A4850000000000D3 +:04A4860000000000D2 +:04A4870000000000D1 +:04A4880000000000D0 +:04A4890000000000CF +:04A48A0000000000CE +:04A48B0000000000CD +:04A48C0000000000CC +:04A48D0000000000CB +:04A48E0000000000CA +:04A48F0000000000C9 +:04A4900000000000C8 +:04A4910000000000C7 +:04A4920000000000C6 +:04A4930000000000C5 +:04A4940000000000C4 +:04A4950000000000C3 +:04A4960000000000C2 +:04A4970000000000C1 +:04A4980000000000C0 +:04A4990000000000BF +:04A49A0000000000BE +:04A49B0000000000BD +:04A49C0000000000BC +:04A49D0000000000BB +:04A49E0000000000BA +:04A49F0000000000B9 +:04A4A00000000000B8 +:04A4A10000000000B7 +:04A4A20000000000B6 +:04A4A30000000000B5 +:04A4A40000000000B4 +:04A4A50000000000B3 +:04A4A60000000000B2 +:04A4A70000000000B1 +:04A4A80000000000B0 +:04A4A90000000000AF +:04A4AA0000000000AE +:04A4AB0000000000AD +:04A4AC0000000000AC +:04A4AD0000000000AB +:04A4AE0000000000AA +:04A4AF0000000000A9 +:04A4B00000000000A8 +:04A4B10000000000A7 +:04A4B20000000000A6 +:04A4B30000000000A5 +:04A4B40000000000A4 +:04A4B50000000000A3 +:04A4B60000000000A2 +:04A4B70000000000A1 +:04A4B80000000000A0 +:04A4B900000000009F +:04A4BA00000000009E +:04A4BB00000000009D +:04A4BC00000000009C +:04A4BD00000000009B +:04A4BE00000000009A +:04A4BF000000000099 +:04A4C0000000000098 +:04A4C1000000000097 +:04A4C2000000000096 +:04A4C3000000000095 +:04A4C4000000000094 +:04A4C5000000000093 +:04A4C6000000000092 +:04A4C7000000000091 +:04A4C8000000000090 +:04A4C900000000008F +:04A4CA00000000008E +:04A4CB00000000008D +:04A4CC00000000008C +:04A4CD00000000008B +:04A4CE00000000008A +:04A4CF000000000089 +:04A4D0000000000088 +:04A4D1000000000087 +:04A4D2000000000086 +:04A4D3000000000085 +:04A4D4000000000084 +:04A4D5000000000083 +:04A4D6000000000082 +:04A4D7000000000081 +:04A4D8000000000080 +:04A4D900000000007F +:04A4DA00000000007E +:04A4DB00000000007D +:04A4DC00000000007C +:04A4DD00000000007B +:04A4DE00000000007A +:04A4DF000000000079 +:04A4E0000000000078 +:04A4E1000000000077 +:04A4E2000000000076 +:04A4E3000000000075 +:04A4E4000000000074 +:04A4E5000000000073 +:04A4E6000000000072 +:04A4E7000000000071 +:04A4E8000000000070 +:04A4E900000000006F +:04A4EA00000000006E +:04A4EB00000000006D +:04A4EC00000000006C +:04A4ED00000000006B +:04A4EE00000000006A +:04A4EF000000000069 +:04A4F0000000000068 +:04A4F1000000000067 +:04A4F2000000000066 +:04A4F3000000000065 +:04A4F4000000000064 +:04A4F5000000000063 +:04A4F6000000000062 +:04A4F7000000000061 +:04A4F8000000000060 +:04A4F900000000005F +:04A4FA00000000005E +:04A4FB00000000005D +:04A4FC00000000005C +:04A4FD00000000005B +:04A4FE00000000005A +:04A4FF000000000059 +:04A500000000000057 +:04A501000000000056 +:04A502000000000055 +:04A503000000000054 +:04A504000000000053 +:04A505000000000052 +:04A506000000000051 +:04A507000000000050 +:04A50800000000004F +:04A50900000000004E +:04A50A00000000004D +:04A50B00000000004C +:04A50C00000000004B +:04A50D00000000004A +:04A50E000000000049 +:04A50F000000000048 +:04A510000000000047 +:04A511000000000046 +:04A512000000000045 +:04A513000000000044 +:04A514000000000043 +:04A515000000000042 +:04A516000000000041 +:04A517000000000040 +:04A51800000000003F +:04A51900000000003E +:04A51A00000000003D +:04A51B00000000003C +:04A51C00000000003B +:04A51D00000000003A +:04A51E000000000039 +:04A51F000000000038 +:04A520000000000037 +:04A521000000000036 +:04A522000000000035 +:04A523000000000034 +:04A524000000000033 +:04A525000000000032 +:04A526000000000031 +:04A527000000000030 +:04A52800000000002F +:04A52900000000002E +:04A52A00000000002D +:04A52B00000000002C +:04A52C00000000002B +:04A52D00000000002A +:04A52E000000000029 +:04A52F000000000028 +:04A530000000000027 +:04A531000000000026 +:04A532000000000025 +:04A533000000000024 +:04A534000000000023 +:04A535000000000022 +:04A536000000000021 +:04A537000000000020 +:04A53800000000001F +:04A53900000000001E +:04A53A00000000001D +:04A53B00000000001C +:04A53C00000000001B +:04A53D00000000001A +:04A53E000000000019 +:04A53F000000000018 +:04A540000000000017 +:04A541000000000016 +:04A542000000000015 +:04A543000000000014 +:04A544000000000013 +:04A545000000000012 +:04A546000000000011 +:04A547000000000010 +:04A54800000000000F +:04A54900000000000E +:04A54A00000000000D +:04A54B00000000000C +:04A54C00000000000B +:04A54D00000000000A +:04A54E000000000009 +:04A54F000000000008 +:04A550000000000007 +:04A551000000000006 +:04A552000000000005 +:04A553000000000004 +:04A554000000000003 +:04A555000000000002 +:04A556000000000001 +:04A557000000000000 +:04A5580000000000FF +:04A5590000000000FE +:04A55A0000000000FD +:04A55B0000000000FC +:04A55C0000000000FB +:04A55D0000000000FA +:04A55E0000000000F9 +:04A55F0000000000F8 +:04A5600000000000F7 +:04A5610000000000F6 +:04A5620000000000F5 +:04A5630000000000F4 +:04A5640000000000F3 +:04A5650000000000F2 +:04A5660000000000F1 +:04A5670000000000F0 +:04A5680000000000EF +:04A5690000000000EE +:04A56A0000000000ED +:04A56B0000000000EC +:04A56C0000000000EB +:04A56D0000000000EA +:04A56E0000000000E9 +:04A56F0000000000E8 +:04A5700000000000E7 +:04A5710000000000E6 +:04A5720000000000E5 +:04A5730000000000E4 +:04A5740000000000E3 +:04A5750000000000E2 +:04A5760000000000E1 +:04A5770000000000E0 +:04A5780000000000DF +:04A5790000000000DE +:04A57A0000000000DD +:04A57B0000000000DC +:04A57C0000000000DB +:04A57D0000000000DA +:04A57E0000000000D9 +:04A57F0000000000D8 +:04A5800000000000D7 +:04A5810000000000D6 +:04A5820000000000D5 +:04A5830000000000D4 +:04A5840000000000D3 +:04A5850000000000D2 +:04A5860000000000D1 +:04A5870000000000D0 +:04A5880000000000CF +:04A5890000000000CE +:04A58A0000000000CD +:04A58B0000000000CC +:04A58C0000000000CB +:04A58D0000000000CA +:04A58E0000000000C9 +:04A58F0000000000C8 +:04A5900000000000C7 +:04A5910000000000C6 +:04A5920000000000C5 +:04A5930000000000C4 +:04A5940000000000C3 +:04A5950000000000C2 +:04A5960000000000C1 +:04A5970000000000C0 +:04A5980000000000BF +:04A5990000000000BE +:04A59A0000000000BD +:04A59B0000000000BC +:04A59C0000000000BB +:04A59D0000000000BA +:04A59E0000000000B9 +:04A59F0000000000B8 +:04A5A00000000000B7 +:04A5A10000000000B6 +:04A5A20000000000B5 +:04A5A30000000000B4 +:04A5A40000000000B3 +:04A5A50000000000B2 +:04A5A60000000000B1 +:04A5A70000000000B0 +:04A5A80000000000AF +:04A5A90000000000AE +:04A5AA0000000000AD +:04A5AB0000000000AC +:04A5AC0000000000AB +:04A5AD0000000000AA +:04A5AE0000000000A9 +:04A5AF0000000000A8 +:04A5B00000000000A7 +:04A5B10000000000A6 +:04A5B20000000000A5 +:04A5B30000000000A4 +:04A5B40000000000A3 +:04A5B50000000000A2 +:04A5B60000000000A1 +:04A5B70000000000A0 +:04A5B800000000009F +:04A5B900000000009E +:04A5BA00000000009D +:04A5BB00000000009C +:04A5BC00000000009B +:04A5BD00000000009A +:04A5BE000000000099 +:04A5BF000000000098 +:04A5C0000000000097 +:04A5C1000000000096 +:04A5C2000000000095 +:04A5C3000000000094 +:04A5C4000000000093 +:04A5C5000000000092 +:04A5C6000000000091 +:04A5C7000000000090 +:04A5C800000000008F +:04A5C900000000008E +:04A5CA00000000008D +:04A5CB00000000008C +:04A5CC00000000008B +:04A5CD00000000008A +:04A5CE000000000089 +:04A5CF000000000088 +:04A5D0000000000087 +:04A5D1000000000086 +:04A5D2000000000085 +:04A5D3000000000084 +:04A5D4000000000083 +:04A5D5000000000082 +:04A5D6000000000081 +:04A5D7000000000080 +:04A5D800000000007F +:04A5D900000000007E +:04A5DA00000000007D +:04A5DB00000000007C +:04A5DC00000000007B +:04A5DD00000000007A +:04A5DE000000000079 +:04A5DF000000000078 +:04A5E0000000000077 +:04A5E1000000000076 +:04A5E2000000000075 +:04A5E3000000000074 +:04A5E4000000000073 +:04A5E5000000000072 +:04A5E6000000000071 +:04A5E7000000000070 +:04A5E800000000006F +:04A5E900000000006E +:04A5EA00000000006D +:04A5EB00000000006C +:04A5EC00000000006B +:04A5ED00000000006A +:04A5EE000000000069 +:04A5EF000000000068 +:04A5F0000000000067 +:04A5F1000000000066 +:04A5F2000000000065 +:04A5F3000000000064 +:04A5F4000000000063 +:04A5F5000000000062 +:04A5F6000000000061 +:04A5F7000000000060 +:04A5F800000000005F +:04A5F900000000005E +:04A5FA00000000005D +:04A5FB00000000005C +:04A5FC00000000005B +:04A5FD00000000005A +:04A5FE000000000059 +:04A5FF000000000058 +:04A600000000000056 +:04A601000000000055 +:04A602000000000054 +:04A603000000000053 +:04A604000000000052 +:04A605000000000051 +:04A606000000000050 +:04A60700000000004F +:04A60800000000004E +:04A60900000000004D +:04A60A00000000004C +:04A60B00000000004B +:04A60C00000000004A +:04A60D000000000049 +:04A60E000000000048 +:04A60F000000000047 +:04A610000000000046 +:04A611000000000045 +:04A612000000000044 +:04A613000000000043 +:04A614000000000042 +:04A615000000000041 +:04A616000000000040 +:04A61700000000003F +:04A61800000000003E +:04A61900000000003D +:04A61A00000000003C +:04A61B00000000003B +:04A61C00000000003A +:04A61D000000000039 +:04A61E000000000038 +:04A61F000000000037 +:04A620000000000036 +:04A621000000000035 +:04A622000000000034 +:04A623000000000033 +:04A624000000000032 +:04A625000000000031 +:04A626000000000030 +:04A62700000000002F +:04A62800000000002E +:04A62900000000002D +:04A62A00000000002C +:04A62B00000000002B +:04A62C00000000002A +:04A62D000000000029 +:04A62E000000000028 +:04A62F000000000027 +:04A630000000000026 +:04A631000000000025 +:04A632000000000024 +:04A633000000000023 +:04A634000000000022 +:04A635000000000021 +:04A636000000000020 +:04A63700000000001F +:04A63800000000001E +:04A63900000000001D +:04A63A00000000001C +:04A63B00000000001B +:04A63C00000000001A +:04A63D000000000019 +:04A63E000000000018 +:04A63F000000000017 +:04A640000000000016 +:04A641000000000015 +:04A642000000000014 +:04A643000000000013 +:04A644000000000012 +:04A645000000000011 +:04A646000000000010 +:04A64700000000000F +:04A64800000000000E +:04A64900000000000D +:04A64A00000000000C +:04A64B00000000000B +:04A64C00000000000A +:04A64D000000000009 +:04A64E000000000008 +:04A64F000000000007 +:04A650000000000006 +:04A651000000000005 +:04A652000000000004 +:04A653000000000003 +:04A654000000000002 +:04A655000000000001 +:04A656000000000000 +:04A6570000000000FF +:04A6580000000000FE +:04A6590000000000FD +:04A65A0000000000FC +:04A65B0000000000FB +:04A65C0000000000FA +:04A65D0000000000F9 +:04A65E0000000000F8 +:04A65F0000000000F7 +:04A6600000000000F6 +:04A6610000000000F5 +:04A6620000000000F4 +:04A6630000000000F3 +:04A6640000000000F2 +:04A6650000000000F1 +:04A6660000000000F0 +:04A6670000000000EF +:04A6680000000000EE +:04A6690000000000ED +:04A66A0000000000EC +:04A66B0000000000EB +:04A66C0000000000EA +:04A66D0000000000E9 +:04A66E0000000000E8 +:04A66F0000000000E7 +:04A6700000000000E6 +:04A6710000000000E5 +:04A6720000000000E4 +:04A6730000000000E3 +:04A6740000000000E2 +:04A6750000000000E1 +:04A6760000000000E0 +:04A6770000000000DF +:04A6780000000000DE +:04A6790000000000DD +:04A67A0000000000DC +:04A67B0000000000DB +:04A67C0000000000DA +:04A67D0000000000D9 +:04A67E0000000000D8 +:04A67F0000000000D7 +:04A6800000000000D6 +:04A6810000000000D5 +:04A6820000000000D4 +:04A6830000000000D3 +:04A6840000000000D2 +:04A6850000000000D1 +:04A6860000000000D0 +:04A6870000000000CF +:04A6880000000000CE +:04A6890000000000CD +:04A68A0000000000CC +:04A68B0000000000CB +:04A68C0000000000CA +:04A68D0000000000C9 +:04A68E0000000000C8 +:04A68F0000000000C7 +:04A6900000000000C6 +:04A6910000000000C5 +:04A6920000000000C4 +:04A6930000000000C3 +:04A6940000000000C2 +:04A6950000000000C1 +:04A6960000000000C0 +:04A6970000000000BF +:04A6980000000000BE +:04A6990000000000BD +:04A69A0000000000BC +:04A69B0000000000BB +:04A69C0000000000BA +:04A69D0000000000B9 +:04A69E0000000000B8 +:04A69F0000000000B7 +:04A6A00000000000B6 +:04A6A10000000000B5 +:04A6A20000000000B4 +:04A6A30000000000B3 +:04A6A40000000000B2 +:04A6A50000000000B1 +:04A6A60000000000B0 +:04A6A70000000000AF +:04A6A80000000000AE +:04A6A90000000000AD +:04A6AA0000000000AC +:04A6AB0000000000AB +:04A6AC0000000000AA +:04A6AD0000000000A9 +:04A6AE0000000000A8 +:04A6AF0000000000A7 +:04A6B00000000000A6 +:04A6B10000000000A5 +:04A6B20000000000A4 +:04A6B30000000000A3 +:04A6B40000000000A2 +:04A6B50000000000A1 +:04A6B60000000000A0 +:04A6B700000000009F +:04A6B800000000009E +:04A6B900000000009D +:04A6BA00000000009C +:04A6BB00000000009B +:04A6BC00000000009A +:04A6BD000000000099 +:04A6BE000000000098 +:04A6BF000000000097 +:04A6C0000000000096 +:04A6C1000000000095 +:04A6C2000000000094 +:04A6C3000000000093 +:04A6C4000000000092 +:04A6C5000000000091 +:04A6C6000000000090 +:04A6C700000000008F +:04A6C800000000008E +:04A6C900000000008D +:04A6CA00000000008C +:04A6CB00000000008B +:04A6CC00000000008A +:04A6CD000000000089 +:04A6CE000000000088 +:04A6CF000000000087 +:04A6D0000000000086 +:04A6D1000000000085 +:04A6D2000000000084 +:04A6D3000000000083 +:04A6D4000000000082 +:04A6D5000000000081 +:04A6D6000000000080 +:04A6D700000000007F +:04A6D800000000007E +:04A6D900000000007D +:04A6DA00000000007C +:04A6DB00000000007B +:04A6DC00000000007A +:04A6DD000000000079 +:04A6DE000000000078 +:04A6DF000000000077 +:04A6E0000000000076 +:04A6E1000000000075 +:04A6E2000000000074 +:04A6E3000000000073 +:04A6E4000000000072 +:04A6E5000000000071 +:04A6E6000000000070 +:04A6E700000000006F +:04A6E800000000006E +:04A6E900000000006D +:04A6EA00000000006C +:04A6EB00000000006B +:04A6EC00000000006A +:04A6ED000000000069 +:04A6EE000000000068 +:04A6EF000000000067 +:04A6F0000000000066 +:04A6F1000000000065 +:04A6F2000000000064 +:04A6F3000000000063 +:04A6F4000000000062 +:04A6F5000000000061 +:04A6F6000000000060 +:04A6F700000000005F +:04A6F800000000005E +:04A6F900000000005D +:04A6FA00000000005C +:04A6FB00000000005B +:04A6FC00000000005A +:04A6FD000000000059 +:04A6FE000000000058 +:04A6FF000000000057 +:04A700000000000055 +:04A701000000000054 +:04A702000000000053 +:04A703000000000052 +:04A704000000000051 +:04A705000000000050 +:04A70600000000004F +:04A70700000000004E +:04A70800000000004D +:04A70900000000004C +:04A70A00000000004B +:04A70B00000000004A +:04A70C000000000049 +:04A70D000000000048 +:04A70E000000000047 +:04A70F000000000046 +:04A710000000000045 +:04A711000000000044 +:04A712000000000043 +:04A713000000000042 +:04A714000000000041 +:04A715000000000040 +:04A71600000000003F +:04A71700000000003E +:04A71800000000003D +:04A71900000000003C +:04A71A00000000003B +:04A71B00000000003A +:04A71C000000000039 +:04A71D000000000038 +:04A71E000000000037 +:04A71F000000000036 +:04A720000000000035 +:04A721000000000034 +:04A722000000000033 +:04A723000000000032 +:04A724000000000031 +:04A725000000000030 +:04A72600000000002F +:04A72700000000002E +:04A72800000000002D +:04A72900000000002C +:04A72A00000000002B +:04A72B00000000002A +:04A72C000000000029 +:04A72D000000000028 +:04A72E000000000027 +:04A72F000000000026 +:04A730000000000025 +:04A731000000000024 +:04A732000000000023 +:04A733000000000022 +:04A734000000000021 +:04A735000000000020 +:04A73600000000001F +:04A73700000000001E +:04A73800000000001D +:04A73900000000001C +:04A73A00000000001B +:04A73B00000000001A +:04A73C000000000019 +:04A73D000000000018 +:04A73E000000000017 +:04A73F000000000016 +:04A740000000000015 +:04A741000000000014 +:04A742000000000013 +:04A743000000000012 +:04A744000000000011 +:04A745000000000010 +:04A74600000000000F +:04A74700000000000E +:04A74800000000000D +:04A74900000000000C +:04A74A00000000000B +:04A74B00000000000A +:04A74C000000000009 +:04A74D000000000008 +:04A74E000000000007 +:04A74F000000000006 +:04A750000000000005 +:04A751000000000004 +:04A752000000000003 +:04A753000000000002 +:04A754000000000001 +:04A755000000000000 +:04A7560000000000FF +:04A7570000000000FE +:04A7580000000000FD +:04A7590000000000FC +:04A75A0000000000FB +:04A75B0000000000FA +:04A75C0000000000F9 +:04A75D0000000000F8 +:04A75E0000000000F7 +:04A75F0000000000F6 +:04A7600000000000F5 +:04A7610000000000F4 +:04A7620000000000F3 +:04A7630000000000F2 +:04A7640000000000F1 +:04A7650000000000F0 +:04A7660000000000EF +:04A7670000000000EE +:04A7680000000000ED +:04A7690000000000EC +:04A76A0000000000EB +:04A76B0000000000EA +:04A76C0000000000E9 +:04A76D0000000000E8 +:04A76E0000000000E7 +:04A76F0000000000E6 +:04A7700000000000E5 +:04A7710000000000E4 +:04A7720000000000E3 +:04A7730000000000E2 +:04A7740000000000E1 +:04A7750000000000E0 +:04A7760000000000DF +:04A7770000000000DE +:04A7780000000000DD +:04A7790000000000DC +:04A77A0000000000DB +:04A77B0000000000DA +:04A77C0000000000D9 +:04A77D0000000000D8 +:04A77E0000000000D7 +:04A77F0000000000D6 +:04A7800000000000D5 +:04A7810000000000D4 +:04A7820000000000D3 +:04A7830000000000D2 +:04A7840000000000D1 +:04A7850000000000D0 +:04A7860000000000CF +:04A7870000000000CE +:04A7880000000000CD +:04A7890000000000CC +:04A78A0000000000CB +:04A78B0000000000CA +:04A78C0000000000C9 +:04A78D0000000000C8 +:04A78E0000000000C7 +:04A78F0000000000C6 +:04A7900000000000C5 +:04A7910000000000C4 +:04A7920000000000C3 +:04A7930000000000C2 +:04A7940000000000C1 +:04A7950000000000C0 +:04A7960000000000BF +:04A7970000000000BE +:04A7980000000000BD +:04A7990000000000BC +:04A79A0000000000BB +:04A79B0000000000BA +:04A79C0000000000B9 +:04A79D0000000000B8 +:04A79E0000000000B7 +:04A79F0000000000B6 +:04A7A00000000000B5 +:04A7A10000000000B4 +:04A7A20000000000B3 +:04A7A30000000000B2 +:04A7A40000000000B1 +:04A7A50000000000B0 +:04A7A60000000000AF +:04A7A70000000000AE +:04A7A80000000000AD +:04A7A90000000000AC +:04A7AA0000000000AB +:04A7AB0000000000AA +:04A7AC0000000000A9 +:04A7AD0000000000A8 +:04A7AE0000000000A7 +:04A7AF0000000000A6 +:04A7B00000000000A5 +:04A7B10000000000A4 +:04A7B20000000000A3 +:04A7B30000000000A2 +:04A7B40000000000A1 +:04A7B50000000000A0 +:04A7B600000000009F +:04A7B700000000009E +:04A7B800000000009D +:04A7B900000000009C +:04A7BA00000000009B +:04A7BB00000000009A +:04A7BC000000000099 +:04A7BD000000000098 +:04A7BE000000000097 +:04A7BF000000000096 +:04A7C0000000000095 +:04A7C1000000000094 +:04A7C2000000000093 +:04A7C3000000000092 +:04A7C4000000000091 +:04A7C5000000000090 +:04A7C600000000008F +:04A7C700000000008E +:04A7C800000000008D +:04A7C900000000008C +:04A7CA00000000008B +:04A7CB00000000008A +:04A7CC000000000089 +:04A7CD000000000088 +:04A7CE000000000087 +:04A7CF000000000086 +:04A7D0000000000085 +:04A7D1000000000084 +:04A7D2000000000083 +:04A7D3000000000082 +:04A7D4000000000081 +:04A7D5000000000080 +:04A7D600000000007F +:04A7D700000000007E +:04A7D800000000007D +:04A7D900000000007C +:04A7DA00000000007B +:04A7DB00000000007A +:04A7DC000000000079 +:04A7DD000000000078 +:04A7DE000000000077 +:04A7DF000000000076 +:04A7E0000000000075 +:04A7E1000000000074 +:04A7E2000000000073 +:04A7E3000000000072 +:04A7E4000000000071 +:04A7E5000000000070 +:04A7E600000000006F +:04A7E700000000006E +:04A7E800000000006D +:04A7E900000000006C +:04A7EA00000000006B +:04A7EB00000000006A +:04A7EC000000000069 +:04A7ED000000000068 +:04A7EE000000000067 +:04A7EF000000000066 +:04A7F0000000000065 +:04A7F1000000000064 +:04A7F2000000000063 +:04A7F3000000000062 +:04A7F4000000000061 +:04A7F5000000000060 +:04A7F600000000005F +:04A7F700000000005E +:04A7F800000000005D +:04A7F900000000005C +:04A7FA00000000005B +:04A7FB00000000005A +:04A7FC000000000059 +:04A7FD000000000058 +:04A7FE000000000057 +:04A7FF000000000056 +:04A800000000000054 +:04A801000000000053 +:04A802000000000052 +:04A803000000000051 +:04A804000000000050 +:04A80500000000004F +:04A80600000000004E +:04A80700000000004D +:04A80800000000004C +:04A80900000000004B +:04A80A00000000004A +:04A80B000000000049 +:04A80C000000000048 +:04A80D000000000047 +:04A80E000000000046 +:04A80F000000000045 +:04A810000000000044 +:04A811000000000043 +:04A812000000000042 +:04A813000000000041 +:04A814000000000040 +:04A81500000000003F +:04A81600000000003E +:04A81700000000003D +:04A81800000000003C +:04A81900000000003B +:04A81A00000000003A +:04A81B000000000039 +:04A81C000000000038 +:04A81D000000000037 +:04A81E000000000036 +:04A81F000000000035 +:04A820000000000034 +:04A821000000000033 +:04A822000000000032 +:04A823000000000031 +:04A824000000000030 +:04A82500000000002F +:04A82600000000002E +:04A82700000000002D +:04A82800000000002C +:04A82900000000002B +:04A82A00000000002A +:04A82B000000000029 +:04A82C000000000028 +:04A82D000000000027 +:04A82E000000000026 +:04A82F000000000025 +:04A830000000000024 +:04A831000000000023 +:04A832000000000022 +:04A833000000000021 +:04A834000000000020 +:04A83500000000001F +:04A83600000000001E +:04A83700000000001D +:04A83800000000001C +:04A83900000000001B +:04A83A00000000001A +:04A83B000000000019 +:04A83C000000000018 +:04A83D000000000017 +:04A83E000000000016 +:04A83F000000000015 +:04A840000000000014 +:04A841000000000013 +:04A842000000000012 +:04A843000000000011 +:04A844000000000010 +:04A84500000000000F +:04A84600000000000E +:04A84700000000000D +:04A84800000000000C +:04A84900000000000B +:04A84A00000000000A +:04A84B000000000009 +:04A84C000000000008 +:04A84D000000000007 +:04A84E000000000006 +:04A84F000000000005 +:04A850000000000004 +:04A851000000000003 +:04A852000000000002 +:04A853000000000001 +:04A854000000000000 +:04A8550000000000FF +:04A8560000000000FE +:04A8570000000000FD +:04A8580000000000FC +:04A8590000000000FB +:04A85A0000000000FA +:04A85B0000000000F9 +:04A85C0000000000F8 +:04A85D0000000000F7 +:04A85E0000000000F6 +:04A85F0000000000F5 +:04A8600000000000F4 +:04A8610000000000F3 +:04A8620000000000F2 +:04A8630000000000F1 +:04A8640000000000F0 +:04A8650000000000EF +:04A8660000000000EE +:04A8670000000000ED +:04A8680000000000EC +:04A8690000000000EB +:04A86A0000000000EA +:04A86B0000000000E9 +:04A86C0000000000E8 +:04A86D0000000000E7 +:04A86E0000000000E6 +:04A86F0000000000E5 +:04A8700000000000E4 +:04A8710000000000E3 +:04A8720000000000E2 +:04A8730000000000E1 +:04A8740000000000E0 +:04A8750000000000DF +:04A8760000000000DE +:04A8770000000000DD +:04A8780000000000DC +:04A8790000000000DB +:04A87A0000000000DA +:04A87B0000000000D9 +:04A87C0000000000D8 +:04A87D0000000000D7 +:04A87E0000000000D6 +:04A87F0000000000D5 +:04A8800000000000D4 +:04A8810000000000D3 +:04A8820000000000D2 +:04A8830000000000D1 +:04A8840000000000D0 +:04A8850000000000CF +:04A8860000000000CE +:04A8870000000000CD +:04A8880000000000CC +:04A8890000000000CB +:04A88A0000000000CA +:04A88B0000000000C9 +:04A88C0000000000C8 +:04A88D0000000000C7 +:04A88E0000000000C6 +:04A88F0000000000C5 +:04A8900000000000C4 +:04A8910000000000C3 +:04A8920000000000C2 +:04A8930000000000C1 +:04A8940000000000C0 +:04A8950000000000BF +:04A8960000000000BE +:04A8970000000000BD +:04A8980000000000BC +:04A8990000000000BB +:04A89A0000000000BA +:04A89B0000000000B9 +:04A89C0000000000B8 +:04A89D0000000000B7 +:04A89E0000000000B6 +:04A89F0000000000B5 +:04A8A00000000000B4 +:04A8A10000000000B3 +:04A8A20000000000B2 +:04A8A30000000000B1 +:04A8A40000000000B0 +:04A8A50000000000AF +:04A8A60000000000AE +:04A8A70000000000AD +:04A8A80000000000AC +:04A8A90000000000AB +:04A8AA0000000000AA +:04A8AB0000000000A9 +:04A8AC0000000000A8 +:04A8AD0000000000A7 +:04A8AE0000000000A6 +:04A8AF0000000000A5 +:04A8B00000000000A4 +:04A8B10000000000A3 +:04A8B20000000000A2 +:04A8B30000000000A1 +:04A8B40000000000A0 +:04A8B500000000009F +:04A8B600000000009E +:04A8B700000000009D +:04A8B800000000009C +:04A8B900000000009B +:04A8BA00000000009A +:04A8BB000000000099 +:04A8BC000000000098 +:04A8BD000000000097 +:04A8BE000000000096 +:04A8BF000000000095 +:04A8C0000000000094 +:04A8C1000000000093 +:04A8C2000000000092 +:04A8C3000000000091 +:04A8C4000000000090 +:04A8C500000000008F +:04A8C600000000008E +:04A8C700000000008D +:04A8C800000000008C +:04A8C900000000008B +:04A8CA00000000008A +:04A8CB000000000089 +:04A8CC000000000088 +:04A8CD000000000087 +:04A8CE000000000086 +:04A8CF000000000085 +:04A8D0000000000084 +:04A8D1000000000083 +:04A8D2000000000082 +:04A8D3000000000081 +:04A8D4000000000080 +:04A8D500000000007F +:04A8D600000000007E +:04A8D700000000007D +:04A8D800000000007C +:04A8D900000000007B +:04A8DA00000000007A +:04A8DB000000000079 +:04A8DC000000000078 +:04A8DD000000000077 +:04A8DE000000000076 +:04A8DF000000000075 +:04A8E0000000000074 +:04A8E1000000000073 +:04A8E2000000000072 +:04A8E3000000000071 +:04A8E4000000000070 +:04A8E500000000006F +:04A8E600000000006E +:04A8E700000000006D +:04A8E800000000006C +:04A8E900000000006B +:04A8EA00000000006A +:04A8EB000000000069 +:04A8EC000000000068 +:04A8ED000000000067 +:04A8EE000000000066 +:04A8EF000000000065 +:04A8F0000000000064 +:04A8F1000000000063 +:04A8F2000000000062 +:04A8F3000000000061 +:04A8F4000000000060 +:04A8F500000000005F +:04A8F600000000005E +:04A8F700000000005D +:04A8F800000000005C +:04A8F900000000005B +:04A8FA00000000005A +:04A8FB000000000059 +:04A8FC000000000058 +:04A8FD000000000057 +:04A8FE000000000056 +:04A8FF000000000055 +:04A900000000000053 +:04A901000000000052 +:04A902000000000051 +:04A903000000000050 +:04A90400000000004F +:04A90500000000004E +:04A90600000000004D +:04A90700000000004C +:04A90800000000004B +:04A90900000000004A +:04A90A000000000049 +:04A90B000000000048 +:04A90C000000000047 +:04A90D000000000046 +:04A90E000000000045 +:04A90F000000000044 +:04A910000000000043 +:04A911000000000042 +:04A912000000000041 +:04A913000000000040 +:04A91400000000003F +:04A91500000000003E +:04A91600000000003D +:04A91700000000003C +:04A91800000000003B +:04A91900000000003A +:04A91A000000000039 +:04A91B000000000038 +:04A91C000000000037 +:04A91D000000000036 +:04A91E000000000035 +:04A91F000000000034 +:04A920000000000033 +:04A921000000000032 +:04A922000000000031 +:04A923000000000030 +:04A92400000000002F +:04A92500000000002E +:04A92600000000002D +:04A92700000000002C +:04A92800000000002B +:04A92900000000002A +:04A92A000000000029 +:04A92B000000000028 +:04A92C000000000027 +:04A92D000000000026 +:04A92E000000000025 +:04A92F000000000024 +:04A930000000000023 +:04A931000000000022 +:04A932000000000021 +:04A933000000000020 +:04A93400000000001F +:04A93500000000001E +:04A93600000000001D +:04A93700000000001C +:04A93800000000001B +:04A93900000000001A +:04A93A000000000019 +:04A93B000000000018 +:04A93C000000000017 +:04A93D000000000016 +:04A93E000000000015 +:04A93F000000000014 +:04A940000000000013 +:04A941000000000012 +:04A942000000000011 +:04A943000000000010 +:04A94400000000000F +:04A94500000000000E +:04A94600000000000D +:04A94700000000000C +:04A94800000000000B +:04A94900000000000A +:04A94A000000000009 +:04A94B000000000008 +:04A94C000000000007 +:04A94D000000000006 +:04A94E000000000005 +:04A94F000000000004 +:04A950000000000003 +:04A951000000000002 +:04A952000000000001 +:04A953000000000000 +:04A9540000000000FF +:04A9550000000000FE +:04A9560000000000FD +:04A9570000000000FC +:04A9580000000000FB +:04A9590000000000FA +:04A95A0000000000F9 +:04A95B0000000000F8 +:04A95C0000000000F7 +:04A95D0000000000F6 +:04A95E0000000000F5 +:04A95F0000000000F4 +:04A9600000000000F3 +:04A9610000000000F2 +:04A9620000000000F1 +:04A9630000000000F0 +:04A9640000000000EF +:04A9650000000000EE +:04A9660000000000ED +:04A9670000000000EC +:04A9680000000000EB +:04A9690000000000EA +:04A96A0000000000E9 +:04A96B0000000000E8 +:04A96C0000000000E7 +:04A96D0000000000E6 +:04A96E0000000000E5 +:04A96F0000000000E4 +:04A9700000000000E3 +:04A9710000000000E2 +:04A9720000000000E1 +:04A9730000000000E0 +:04A9740000000000DF +:04A9750000000000DE +:04A9760000000000DD +:04A9770000000000DC +:04A9780000000000DB +:04A9790000000000DA +:04A97A0000000000D9 +:04A97B0000000000D8 +:04A97C0000000000D7 +:04A97D0000000000D6 +:04A97E0000000000D5 +:04A97F0000000000D4 +:04A9800000000000D3 +:04A9810000000000D2 +:04A9820000000000D1 +:04A9830000000000D0 +:04A9840000000000CF +:04A9850000000000CE +:04A9860000000000CD +:04A9870000000000CC +:04A9880000000000CB +:04A9890000000000CA +:04A98A0000000000C9 +:04A98B0000000000C8 +:04A98C0000000000C7 +:04A98D0000000000C6 +:04A98E0000000000C5 +:04A98F0000000000C4 +:04A9900000000000C3 +:04A9910000000000C2 +:04A9920000000000C1 +:04A9930000000000C0 +:04A9940000000000BF +:04A9950000000000BE +:04A9960000000000BD +:04A9970000000000BC +:04A9980000000000BB +:04A9990000000000BA +:04A99A0000000000B9 +:04A99B0000000000B8 +:04A99C0000000000B7 +:04A99D0000000000B6 +:04A99E0000000000B5 +:04A99F0000000000B4 +:04A9A00000000000B3 +:04A9A10000000000B2 +:04A9A20000000000B1 +:04A9A30000000000B0 +:04A9A40000000000AF +:04A9A50000000000AE +:04A9A60000000000AD +:04A9A70000000000AC +:04A9A80000000000AB +:04A9A90000000000AA +:04A9AA0000000000A9 +:04A9AB0000000000A8 +:04A9AC0000000000A7 +:04A9AD0000000000A6 +:04A9AE0000000000A5 +:04A9AF0000000000A4 +:04A9B00000000000A3 +:04A9B10000000000A2 +:04A9B20000000000A1 +:04A9B30000000000A0 +:04A9B400000000009F +:04A9B500000000009E +:04A9B600000000009D +:04A9B700000000009C +:04A9B800000000009B +:04A9B900000000009A +:04A9BA000000000099 +:04A9BB000000000098 +:04A9BC000000000097 +:04A9BD000000000096 +:04A9BE000000000095 +:04A9BF000000000094 +:04A9C0000000000093 +:04A9C1000000000092 +:04A9C2000000000091 +:04A9C3000000000090 +:04A9C400000000008F +:04A9C500000000008E +:04A9C600000000008D +:04A9C700000000008C +:04A9C800000000008B +:04A9C900000000008A +:04A9CA000000000089 +:04A9CB000000000088 +:04A9CC000000000087 +:04A9CD000000000086 +:04A9CE000000000085 +:04A9CF000000000084 +:04A9D0000000000083 +:04A9D1000000000082 +:04A9D2000000000081 +:04A9D3000000000080 +:04A9D400000000007F +:04A9D500000000007E +:04A9D600000000007D +:04A9D700000000007C +:04A9D800000000007B +:04A9D900000000007A +:04A9DA000000000079 +:04A9DB000000000078 +:04A9DC000000000077 +:04A9DD000000000076 +:04A9DE000000000075 +:04A9DF000000000074 +:04A9E0000000000073 +:04A9E1000000000072 +:04A9E2000000000071 +:04A9E3000000000070 +:04A9E400000000006F +:04A9E500000000006E +:04A9E600000000006D +:04A9E700000000006C +:04A9E800000000006B +:04A9E900000000006A +:04A9EA000000000069 +:04A9EB000000000068 +:04A9EC000000000067 +:04A9ED000000000066 +:04A9EE000000000065 +:04A9EF000000000064 +:04A9F0000000000063 +:04A9F1000000000062 +:04A9F2000000000061 +:04A9F3000000000060 +:04A9F400000000005F +:04A9F500000000005E +:04A9F600000000005D +:04A9F700000000005C +:04A9F800000000005B +:04A9F900000000005A +:04A9FA000000000059 +:04A9FB000000000058 +:04A9FC000000000057 +:04A9FD000000000056 +:04A9FE000000000055 +:04A9FF000000000054 +:04AA00000000000052 +:04AA01000000000051 +:04AA02000000000050 +:04AA0300000000004F +:04AA0400000000004E +:04AA0500000000004D +:04AA0600000000004C +:04AA0700000000004B +:04AA0800000000004A +:04AA09000000000049 +:04AA0A000000000048 +:04AA0B000000000047 +:04AA0C000000000046 +:04AA0D000000000045 +:04AA0E000000000044 +:04AA0F000000000043 +:04AA10000000000042 +:04AA11000000000041 +:04AA12000000000040 +:04AA1300000000003F +:04AA1400000000003E +:04AA1500000000003D +:04AA1600000000003C +:04AA1700000000003B +:04AA1800000000003A +:04AA19000000000039 +:04AA1A000000000038 +:04AA1B000000000037 +:04AA1C000000000036 +:04AA1D000000000035 +:04AA1E000000000034 +:04AA1F000000000033 +:04AA20000000000032 +:04AA21000000000031 +:04AA22000000000030 +:04AA2300000000002F +:04AA2400000000002E +:04AA2500000000002D +:04AA2600000000002C +:04AA2700000000002B +:04AA2800000000002A +:04AA29000000000029 +:04AA2A000000000028 +:04AA2B000000000027 +:04AA2C000000000026 +:04AA2D000000000025 +:04AA2E000000000024 +:04AA2F000000000023 +:04AA30000000000022 +:04AA31000000000021 +:04AA32000000000020 +:04AA3300000000001F +:04AA3400000000001E +:04AA3500000000001D +:04AA3600000000001C +:04AA3700000000001B +:04AA3800000000001A +:04AA39000000000019 +:04AA3A000000000018 +:04AA3B000000000017 +:04AA3C000000000016 +:04AA3D000000000015 +:04AA3E000000000014 +:04AA3F000000000013 +:04AA40000000000012 +:04AA41000000000011 +:04AA42000000000010 +:04AA4300000000000F +:04AA4400000000000E +:04AA4500000000000D +:04AA4600000000000C +:04AA4700000000000B +:04AA4800000000000A +:04AA49000000000009 +:04AA4A000000000008 +:04AA4B000000000007 +:04AA4C000000000006 +:04AA4D000000000005 +:04AA4E000000000004 +:04AA4F000000000003 +:04AA50000000000002 +:04AA51000000000001 +:04AA52000000000000 +:04AA530000000000FF +:04AA540000000000FE +:04AA550000000000FD +:04AA560000000000FC +:04AA570000000000FB +:04AA580000000000FA +:04AA590000000000F9 +:04AA5A0000000000F8 +:04AA5B0000000000F7 +:04AA5C0000000000F6 +:04AA5D0000000000F5 +:04AA5E0000000000F4 +:04AA5F0000000000F3 +:04AA600000000000F2 +:04AA610000000000F1 +:04AA620000000000F0 +:04AA630000000000EF +:04AA640000000000EE +:04AA650000000000ED +:04AA660000000000EC +:04AA670000000000EB +:04AA680000000000EA +:04AA690000000000E9 +:04AA6A0000000000E8 +:04AA6B0000000000E7 +:04AA6C0000000000E6 +:04AA6D0000000000E5 +:04AA6E0000000000E4 +:04AA6F0000000000E3 +:04AA700000000000E2 +:04AA710000000000E1 +:04AA720000000000E0 +:04AA730000000000DF +:04AA740000000000DE +:04AA750000000000DD +:04AA760000000000DC +:04AA770000000000DB +:04AA780000000000DA +:04AA790000000000D9 +:04AA7A0000000000D8 +:04AA7B0000000000D7 +:04AA7C0000000000D6 +:04AA7D0000000000D5 +:04AA7E0000000000D4 +:04AA7F0000000000D3 +:04AA800000000000D2 +:04AA810000000000D1 +:04AA820000000000D0 +:04AA830000000000CF +:04AA840000000000CE +:04AA850000000000CD +:04AA860000000000CC +:04AA870000000000CB +:04AA880000000000CA +:04AA890000000000C9 +:04AA8A0000000000C8 +:04AA8B0000000000C7 +:04AA8C0000000000C6 +:04AA8D0000000000C5 +:04AA8E0000000000C4 +:04AA8F0000000000C3 +:04AA900000000000C2 +:04AA910000000000C1 +:04AA920000000000C0 +:04AA930000000000BF +:04AA940000000000BE +:04AA950000000000BD +:04AA960000000000BC +:04AA970000000000BB +:04AA980000000000BA +:04AA990000000000B9 +:04AA9A0000000000B8 +:04AA9B0000000000B7 +:04AA9C0000000000B6 +:04AA9D0000000000B5 +:04AA9E0000000000B4 +:04AA9F0000000000B3 +:04AAA00000000000B2 +:04AAA10000000000B1 +:04AAA20000000000B0 +:04AAA30000000000AF +:04AAA40000000000AE +:04AAA50000000000AD +:04AAA60000000000AC +:04AAA70000000000AB +:04AAA80000000000AA +:04AAA90000000000A9 +:04AAAA0000000000A8 +:04AAAB0000000000A7 +:04AAAC0000000000A6 +:04AAAD0000000000A5 +:04AAAE0000000000A4 +:04AAAF0000000000A3 +:04AAB00000000000A2 +:04AAB10000000000A1 +:04AAB20000000000A0 +:04AAB300000000009F +:04AAB400000000009E +:04AAB500000000009D +:04AAB600000000009C +:04AAB700000000009B +:04AAB800000000009A +:04AAB9000000000099 +:04AABA000000000098 +:04AABB000000000097 +:04AABC000000000096 +:04AABD000000000095 +:04AABE000000000094 +:04AABF000000000093 +:04AAC0000000000092 +:04AAC1000000000091 +:04AAC2000000000090 +:04AAC300000000008F +:04AAC400000000008E +:04AAC500000000008D +:04AAC600000000008C +:04AAC700000000008B +:04AAC800000000008A +:04AAC9000000000089 +:04AACA000000000088 +:04AACB000000000087 +:04AACC000000000086 +:04AACD000000000085 +:04AACE000000000084 +:04AACF000000000083 +:04AAD0000000000082 +:04AAD1000000000081 +:04AAD2000000000080 +:04AAD300000000007F +:04AAD400000000007E +:04AAD500000000007D +:04AAD600000000007C +:04AAD700000000007B +:04AAD800000000007A +:04AAD9000000000079 +:04AADA000000000078 +:04AADB000000000077 +:04AADC000000000076 +:04AADD000000000075 +:04AADE000000000074 +:04AADF000000000073 +:04AAE0000000000072 +:04AAE1000000000071 +:04AAE2000000000070 +:04AAE300000000006F +:04AAE400000000006E +:04AAE500000000006D +:04AAE600000000006C +:04AAE700000000006B +:04AAE800000000006A +:04AAE9000000000069 +:04AAEA000000000068 +:04AAEB000000000067 +:04AAEC000000000066 +:04AAED000000000065 +:04AAEE000000000064 +:04AAEF000000000063 +:04AAF0000000000062 +:04AAF1000000000061 +:04AAF2000000000060 +:04AAF300000000005F +:04AAF400000000005E +:04AAF500000000005D +:04AAF600000000005C +:04AAF700000000005B +:04AAF800000000005A +:04AAF9000000000059 +:04AAFA000000000058 +:04AAFB000000000057 +:04AAFC000000000056 +:04AAFD000000000055 +:04AAFE000000000054 +:04AAFF000000000053 +:04AB00000000000051 +:04AB01000000000050 +:04AB0200000000004F +:04AB0300000000004E +:04AB0400000000004D +:04AB0500000000004C +:04AB0600000000004B +:04AB0700000000004A +:04AB08000000000049 +:04AB09000000000048 +:04AB0A000000000047 +:04AB0B000000000046 +:04AB0C000000000045 +:04AB0D000000000044 +:04AB0E000000000043 +:04AB0F000000000042 +:04AB10000000000041 +:04AB11000000000040 +:04AB1200000000003F +:04AB1300000000003E +:04AB1400000000003D +:04AB1500000000003C +:04AB1600000000003B +:04AB1700000000003A +:04AB18000000000039 +:04AB19000000000038 +:04AB1A000000000037 +:04AB1B000000000036 +:04AB1C000000000035 +:04AB1D000000000034 +:04AB1E000000000033 +:04AB1F000000000032 +:04AB20000000000031 +:04AB21000000000030 +:04AB2200000000002F +:04AB2300000000002E +:04AB2400000000002D +:04AB2500000000002C +:04AB2600000000002B +:04AB2700000000002A +:04AB28000000000029 +:04AB29000000000028 +:04AB2A000000000027 +:04AB2B000000000026 +:04AB2C000000000025 +:04AB2D000000000024 +:04AB2E000000000023 +:04AB2F000000000022 +:04AB30000000000021 +:04AB31000000000020 +:04AB3200000000001F +:04AB3300000000001E +:04AB3400000000001D +:04AB3500000000001C +:04AB3600000000001B +:04AB3700000000001A +:04AB38000000000019 +:04AB39000000000018 +:04AB3A000000000017 +:04AB3B000000000016 +:04AB3C000000000015 +:04AB3D000000000014 +:04AB3E000000000013 +:04AB3F000000000012 +:04AB40000000000011 +:04AB41000000000010 +:04AB4200000000000F +:04AB4300000000000E +:04AB4400000000000D +:04AB4500000000000C +:04AB4600000000000B +:04AB4700000000000A +:04AB48000000000009 +:04AB49000000000008 +:04AB4A000000000007 +:04AB4B000000000006 +:04AB4C000000000005 +:04AB4D000000000004 +:04AB4E000000000003 +:04AB4F000000000002 +:04AB50000000000001 +:04AB51000000000000 +:04AB520000000000FF +:04AB530000000000FE +:04AB540000000000FD +:04AB550000000000FC +:04AB560000000000FB +:04AB570000000000FA +:04AB580000000000F9 +:04AB590000000000F8 +:04AB5A0000000000F7 +:04AB5B0000000000F6 +:04AB5C0000000000F5 +:04AB5D0000000000F4 +:04AB5E0000000000F3 +:04AB5F0000000000F2 +:04AB600000000000F1 +:04AB610000000000F0 +:04AB620000000000EF +:04AB630000000000EE +:04AB640000000000ED +:04AB650000000000EC +:04AB660000000000EB +:04AB670000000000EA +:04AB680000000000E9 +:04AB690000000000E8 +:04AB6A0000000000E7 +:04AB6B0000000000E6 +:04AB6C0000000000E5 +:04AB6D0000000000E4 +:04AB6E0000000000E3 +:04AB6F0000000000E2 +:04AB700000000000E1 +:04AB710000000000E0 +:04AB720000000000DF +:04AB730000000000DE +:04AB740000000000DD +:04AB750000000000DC +:04AB760000000000DB +:04AB770000000000DA +:04AB780000000000D9 +:04AB790000000000D8 +:04AB7A0000000000D7 +:04AB7B0000000000D6 +:04AB7C0000000000D5 +:04AB7D0000000000D4 +:04AB7E0000000000D3 +:04AB7F0000000000D2 +:04AB800000000000D1 +:04AB810000000000D0 +:04AB820000000000CF +:04AB830000000000CE +:04AB840000000000CD +:04AB850000000000CC +:04AB860000000000CB +:04AB870000000000CA +:04AB880000000000C9 +:04AB890000000000C8 +:04AB8A0000000000C7 +:04AB8B0000000000C6 +:04AB8C0000000000C5 +:04AB8D0000000000C4 +:04AB8E0000000000C3 +:04AB8F0000000000C2 +:04AB900000000000C1 +:04AB910000000000C0 +:04AB920000000000BF +:04AB930000000000BE +:04AB940000000000BD +:04AB950000000000BC +:04AB960000000000BB +:04AB970000000000BA +:04AB980000000000B9 +:04AB990000000000B8 +:04AB9A0000000000B7 +:04AB9B0000000000B6 +:04AB9C0000000000B5 +:04AB9D0000000000B4 +:04AB9E0000000000B3 +:04AB9F0000000000B2 +:04ABA00000000000B1 +:04ABA10000000000B0 +:04ABA20000000000AF +:04ABA30000000000AE +:04ABA40000000000AD +:04ABA50000000000AC +:04ABA60000000000AB +:04ABA70000000000AA +:04ABA80000000000A9 +:04ABA90000000000A8 +:04ABAA0000000000A7 +:04ABAB0000000000A6 +:04ABAC0000000000A5 +:04ABAD0000000000A4 +:04ABAE0000000000A3 +:04ABAF0000000000A2 +:04ABB00000000000A1 +:04ABB10000000000A0 +:04ABB200000000009F +:04ABB300000000009E +:04ABB400000000009D +:04ABB500000000009C +:04ABB600000000009B +:04ABB700000000009A +:04ABB8000000000099 +:04ABB9000000000098 +:04ABBA000000000097 +:04ABBB000000000096 +:04ABBC000000000095 +:04ABBD000000000094 +:04ABBE000000000093 +:04ABBF000000000092 +:04ABC0000000000091 +:04ABC1000000000090 +:04ABC200000000008F +:04ABC300000000008E +:04ABC400000000008D +:04ABC500000000008C +:04ABC600000000008B +:04ABC700000000008A +:04ABC8000000000089 +:04ABC9000000000088 +:04ABCA000000000087 +:04ABCB000000000086 +:04ABCC000000000085 +:04ABCD000000000084 +:04ABCE000000000083 +:04ABCF000000000082 +:04ABD0000000000081 +:04ABD1000000000080 +:04ABD200000000007F +:04ABD300000000007E +:04ABD400000000007D +:04ABD500000000007C +:04ABD600000000007B +:04ABD700000000007A +:04ABD8000000000079 +:04ABD9000000000078 +:04ABDA000000000077 +:04ABDB000000000076 +:04ABDC000000000075 +:04ABDD000000000074 +:04ABDE000000000073 +:04ABDF000000000072 +:04ABE0000000000071 +:04ABE1000000000070 +:04ABE200000000006F +:04ABE300000000006E +:04ABE400000000006D +:04ABE500000000006C +:04ABE600000000006B +:04ABE700000000006A +:04ABE8000000000069 +:04ABE9000000000068 +:04ABEA000000000067 +:04ABEB000000000066 +:04ABEC000000000065 +:04ABED000000000064 +:04ABEE000000000063 +:04ABEF000000000062 +:04ABF0000000000061 +:04ABF1000000000060 +:04ABF200000000005F +:04ABF300000000005E +:04ABF400000000005D +:04ABF500000000005C +:04ABF600000000005B +:04ABF700000000005A +:04ABF8000000000059 +:04ABF9000000000058 +:04ABFA000000000057 +:04ABFB000000000056 +:04ABFC000000000055 +:04ABFD000000000054 +:04ABFE000000000053 +:04ABFF000000000052 +:04AC00000000000050 +:04AC0100000000004F +:04AC0200000000004E +:04AC0300000000004D +:04AC0400000000004C +:04AC0500000000004B +:04AC0600000000004A +:04AC07000000000049 +:04AC08000000000048 +:04AC09000000000047 +:04AC0A000000000046 +:04AC0B000000000045 +:04AC0C000000000044 +:04AC0D000000000043 +:04AC0E000000000042 +:04AC0F000000000041 +:04AC10000000000040 +:04AC1100000000003F +:04AC1200000000003E +:04AC1300000000003D +:04AC1400000000003C +:04AC1500000000003B +:04AC1600000000003A +:04AC17000000000039 +:04AC18000000000038 +:04AC19000000000037 +:04AC1A000000000036 +:04AC1B000000000035 +:04AC1C000000000034 +:04AC1D000000000033 +:04AC1E000000000032 +:04AC1F000000000031 +:04AC20000000000030 +:04AC2100000000002F +:04AC2200000000002E +:04AC2300000000002D +:04AC2400000000002C +:04AC2500000000002B +:04AC2600000000002A +:04AC27000000000029 +:04AC28000000000028 +:04AC29000000000027 +:04AC2A000000000026 +:04AC2B000000000025 +:04AC2C000000000024 +:04AC2D000000000023 +:04AC2E000000000022 +:04AC2F000000000021 +:04AC30000000000020 +:04AC3100000000001F +:04AC3200000000001E +:04AC3300000000001D +:04AC3400000000001C +:04AC3500000000001B +:04AC3600000000001A +:04AC37000000000019 +:04AC38000000000018 +:04AC39000000000017 +:04AC3A000000000016 +:04AC3B000000000015 +:04AC3C000000000014 +:04AC3D000000000013 +:04AC3E000000000012 +:04AC3F000000000011 +:04AC40000000000010 +:04AC4100000000000F +:04AC4200000000000E +:04AC4300000000000D +:04AC4400000000000C +:04AC4500000000000B +:04AC4600000000000A +:04AC47000000000009 +:04AC48000000000008 +:04AC49000000000007 +:04AC4A000000000006 +:04AC4B000000000005 +:04AC4C000000000004 +:04AC4D000000000003 +:04AC4E000000000002 +:04AC4F000000000001 +:04AC50000000000000 +:04AC510000000000FF +:04AC520000000000FE +:04AC530000000000FD +:04AC540000000000FC +:04AC550000000000FB +:04AC560000000000FA +:04AC570000000000F9 +:04AC580000000000F8 +:04AC590000000000F7 +:04AC5A0000000000F6 +:04AC5B0000000000F5 +:04AC5C0000000000F4 +:04AC5D0000000000F3 +:04AC5E0000000000F2 +:04AC5F0000000000F1 +:04AC600000000000F0 +:04AC610000000000EF +:04AC620000000000EE +:04AC630000000000ED +:04AC640000000000EC +:04AC650000000000EB +:04AC660000000000EA +:04AC670000000000E9 +:04AC680000000000E8 +:04AC690000000000E7 +:04AC6A0000000000E6 +:04AC6B0000000000E5 +:04AC6C0000000000E4 +:04AC6D0000000000E3 +:04AC6E0000000000E2 +:04AC6F0000000000E1 +:04AC700000000000E0 +:04AC710000000000DF +:04AC720000000000DE +:04AC730000000000DD +:04AC740000000000DC +:04AC750000000000DB +:04AC760000000000DA +:04AC770000000000D9 +:04AC780000000000D8 +:04AC790000000000D7 +:04AC7A0000000000D6 +:04AC7B0000000000D5 +:04AC7C0000000000D4 +:04AC7D0000000000D3 +:04AC7E0000000000D2 +:04AC7F0000000000D1 +:04AC800000000000D0 +:04AC810000000000CF +:04AC820000000000CE +:04AC830000000000CD +:04AC840000000000CC +:04AC850000000000CB +:04AC860000000000CA +:04AC870000000000C9 +:04AC880000000000C8 +:04AC890000000000C7 +:04AC8A0000000000C6 +:04AC8B0000000000C5 +:04AC8C0000000000C4 +:04AC8D0000000000C3 +:04AC8E0000000000C2 +:04AC8F0000000000C1 +:04AC900000000000C0 +:04AC910000000000BF +:04AC920000000000BE +:04AC930000000000BD +:04AC940000000000BC +:04AC950000000000BB +:04AC960000000000BA +:04AC970000000000B9 +:04AC980000000000B8 +:04AC990000000000B7 +:04AC9A0000000000B6 +:04AC9B0000000000B5 +:04AC9C0000000000B4 +:04AC9D0000000000B3 +:04AC9E0000000000B2 +:04AC9F0000000000B1 +:04ACA00000000000B0 +:04ACA10000000000AF +:04ACA20000000000AE +:04ACA30000000000AD +:04ACA40000000000AC +:04ACA50000000000AB +:04ACA60000000000AA +:04ACA70000000000A9 +:04ACA80000000000A8 +:04ACA90000000000A7 +:04ACAA0000000000A6 +:04ACAB0000000000A5 +:04ACAC0000000000A4 +:04ACAD0000000000A3 +:04ACAE0000000000A2 +:04ACAF0000000000A1 +:04ACB00000000000A0 +:04ACB100000000009F +:04ACB200000000009E +:04ACB300000000009D +:04ACB400000000009C +:04ACB500000000009B +:04ACB600000000009A +:04ACB7000000000099 +:04ACB8000000000098 +:04ACB9000000000097 +:04ACBA000000000096 +:04ACBB000000000095 +:04ACBC000000000094 +:04ACBD000000000093 +:04ACBE000000000092 +:04ACBF000000000091 +:04ACC0000000000090 +:04ACC100000000008F +:04ACC200000000008E +:04ACC300000000008D +:04ACC400000000008C +:04ACC500000000008B +:04ACC600000000008A +:04ACC7000000000089 +:04ACC8000000000088 +:04ACC9000000000087 +:04ACCA000000000086 +:04ACCB000000000085 +:04ACCC000000000084 +:04ACCD000000000083 +:04ACCE000000000082 +:04ACCF000000000081 +:04ACD0000000000080 +:04ACD100000000007F +:04ACD200000000007E +:04ACD300000000007D +:04ACD400000000007C +:04ACD500000000007B +:04ACD600000000007A +:04ACD7000000000079 +:04ACD8000000000078 +:04ACD9000000000077 +:04ACDA000000000076 +:04ACDB000000000075 +:04ACDC000000000074 +:04ACDD000000000073 +:04ACDE000000000072 +:04ACDF000000000071 +:04ACE0000000000070 +:04ACE100000000006F +:04ACE200000000006E +:04ACE300000000006D +:04ACE400000000006C +:04ACE500000000006B +:04ACE600000000006A +:04ACE7000000000069 +:04ACE8000000000068 +:04ACE9000000000067 +:04ACEA000000000066 +:04ACEB000000000065 +:04ACEC000000000064 +:04ACED000000000063 +:04ACEE000000000062 +:04ACEF000000000061 +:04ACF0000000000060 +:04ACF100000000005F +:04ACF200000000005E +:04ACF300000000005D +:04ACF400000000005C +:04ACF500000000005B +:04ACF600000000005A +:04ACF7000000000059 +:04ACF8000000000058 +:04ACF9000000000057 +:04ACFA000000000056 +:04ACFB000000000055 +:04ACFC000000000054 +:04ACFD000000000053 +:04ACFE000000000052 +:04ACFF000000000051 +:04AD0000000000004F +:04AD0100000000004E +:04AD0200000000004D +:04AD0300000000004C +:04AD0400000000004B +:04AD0500000000004A +:04AD06000000000049 +:04AD07000000000048 +:04AD08000000000047 +:04AD09000000000046 +:04AD0A000000000045 +:04AD0B000000000044 +:04AD0C000000000043 +:04AD0D000000000042 +:04AD0E000000000041 +:04AD0F000000000040 +:04AD1000000000003F +:04AD1100000000003E +:04AD1200000000003D +:04AD1300000000003C +:04AD1400000000003B +:04AD1500000000003A +:04AD16000000000039 +:04AD17000000000038 +:04AD18000000000037 +:04AD19000000000036 +:04AD1A000000000035 +:04AD1B000000000034 +:04AD1C000000000033 +:04AD1D000000000032 +:04AD1E000000000031 +:04AD1F000000000030 +:04AD2000000000002F +:04AD2100000000002E +:04AD2200000000002D +:04AD2300000000002C +:04AD2400000000002B +:04AD2500000000002A +:04AD26000000000029 +:04AD27000000000028 +:04AD28000000000027 +:04AD29000000000026 +:04AD2A000000000025 +:04AD2B000000000024 +:04AD2C000000000023 +:04AD2D000000000022 +:04AD2E000000000021 +:04AD2F000000000020 +:04AD3000000000001F +:04AD3100000000001E +:04AD3200000000001D +:04AD3300000000001C +:04AD3400000000001B +:04AD3500000000001A +:04AD36000000000019 +:04AD37000000000018 +:04AD38000000000017 +:04AD39000000000016 +:04AD3A000000000015 +:04AD3B000000000014 +:04AD3C000000000013 +:04AD3D000000000012 +:04AD3E000000000011 +:04AD3F000000000010 +:04AD4000000000000F +:04AD4100000000000E +:04AD4200000000000D +:04AD4300000000000C +:04AD4400000000000B +:04AD4500000000000A +:04AD46000000000009 +:04AD47000000000008 +:04AD48000000000007 +:04AD49000000000006 +:04AD4A000000000005 +:04AD4B000000000004 +:04AD4C000000000003 +:04AD4D000000000002 +:04AD4E000000000001 +:04AD4F000000000000 +:04AD500000000000FF +:04AD510000000000FE +:04AD520000000000FD +:04AD530000000000FC +:04AD540000000000FB +:04AD550000000000FA +:04AD560000000000F9 +:04AD570000000000F8 +:04AD580000000000F7 +:04AD590000000000F6 +:04AD5A0000000000F5 +:04AD5B0000000000F4 +:04AD5C0000000000F3 +:04AD5D0000000000F2 +:04AD5E0000000000F1 +:04AD5F0000000000F0 +:04AD600000000000EF +:04AD610000000000EE +:04AD620000000000ED +:04AD630000000000EC +:04AD640000000000EB +:04AD650000000000EA +:04AD660000000000E9 +:04AD670000000000E8 +:04AD680000000000E7 +:04AD690000000000E6 +:04AD6A0000000000E5 +:04AD6B0000000000E4 +:04AD6C0000000000E3 +:04AD6D0000000000E2 +:04AD6E0000000000E1 +:04AD6F0000000000E0 +:04AD700000000000DF +:04AD710000000000DE +:04AD720000000000DD +:04AD730000000000DC +:04AD740000000000DB +:04AD750000000000DA +:04AD760000000000D9 +:04AD770000000000D8 +:04AD780000000000D7 +:04AD790000000000D6 +:04AD7A0000000000D5 +:04AD7B0000000000D4 +:04AD7C0000000000D3 +:04AD7D0000000000D2 +:04AD7E0000000000D1 +:04AD7F0000000000D0 +:04AD800000000000CF +:04AD810000000000CE +:04AD820000000000CD +:04AD830000000000CC +:04AD840000000000CB +:04AD850000000000CA +:04AD860000000000C9 +:04AD870000000000C8 +:04AD880000000000C7 +:04AD890000000000C6 +:04AD8A0000000000C5 +:04AD8B0000000000C4 +:04AD8C0000000000C3 +:04AD8D0000000000C2 +:04AD8E0000000000C1 +:04AD8F0000000000C0 +:04AD900000000000BF +:04AD910000000000BE +:04AD920000000000BD +:04AD930000000000BC +:04AD940000000000BB +:04AD950000000000BA +:04AD960000000000B9 +:04AD970000000000B8 +:04AD980000000000B7 +:04AD990000000000B6 +:04AD9A0000000000B5 +:04AD9B0000000000B4 +:04AD9C0000000000B3 +:04AD9D0000000000B2 +:04AD9E0000000000B1 +:04AD9F0000000000B0 +:04ADA00000000000AF +:04ADA10000000000AE +:04ADA20000000000AD +:04ADA30000000000AC +:04ADA40000000000AB +:04ADA50000000000AA +:04ADA60000000000A9 +:04ADA70000000000A8 +:04ADA80000000000A7 +:04ADA90000000000A6 +:04ADAA0000000000A5 +:04ADAB0000000000A4 +:04ADAC0000000000A3 +:04ADAD0000000000A2 +:04ADAE0000000000A1 +:04ADAF0000000000A0 +:04ADB000000000009F +:04ADB100000000009E +:04ADB200000000009D +:04ADB300000000009C +:04ADB400000000009B +:04ADB500000000009A +:04ADB6000000000099 +:04ADB7000000000098 +:04ADB8000000000097 +:04ADB9000000000096 +:04ADBA000000000095 +:04ADBB000000000094 +:04ADBC000000000093 +:04ADBD000000000092 +:04ADBE000000000091 +:04ADBF000000000090 +:04ADC000000000008F +:04ADC100000000008E +:04ADC200000000008D +:04ADC300000000008C +:04ADC400000000008B +:04ADC500000000008A +:04ADC6000000000089 +:04ADC7000000000088 +:04ADC8000000000087 +:04ADC9000000000086 +:04ADCA000000000085 +:04ADCB000000000084 +:04ADCC000000000083 +:04ADCD000000000082 +:04ADCE000000000081 +:04ADCF000000000080 +:04ADD000000000007F +:04ADD100000000007E +:04ADD200000000007D +:04ADD300000000007C +:04ADD400000000007B +:04ADD500000000007A +:04ADD6000000000079 +:04ADD7000000000078 +:04ADD8000000000077 +:04ADD9000000000076 +:04ADDA000000000075 +:04ADDB000000000074 +:04ADDC000000000073 +:04ADDD000000000072 +:04ADDE000000000071 +:04ADDF000000000070 +:04ADE000000000006F +:04ADE100000000006E +:04ADE200000000006D +:04ADE300000000006C +:04ADE400000000006B +:04ADE500000000006A +:04ADE6000000000069 +:04ADE7000000000068 +:04ADE8000000000067 +:04ADE9000000000066 +:04ADEA000000000065 +:04ADEB000000000064 +:04ADEC000000000063 +:04ADED000000000062 +:04ADEE000000000061 +:04ADEF000000000060 +:04ADF000000000005F +:04ADF100000000005E +:04ADF200000000005D +:04ADF300000000005C +:04ADF400000000005B +:04ADF500000000005A +:04ADF6000000000059 +:04ADF7000000000058 +:04ADF8000000000057 +:04ADF9000000000056 +:04ADFA000000000055 +:04ADFB000000000054 +:04ADFC000000000053 +:04ADFD000000000052 +:04ADFE000000000051 +:04ADFF000000000050 +:04AE0000000000004E +:04AE0100000000004D +:04AE0200000000004C +:04AE0300000000004B +:04AE0400000000004A +:04AE05000000000049 +:04AE06000000000048 +:04AE07000000000047 +:04AE08000000000046 +:04AE09000000000045 +:04AE0A000000000044 +:04AE0B000000000043 +:04AE0C000000000042 +:04AE0D000000000041 +:04AE0E000000000040 +:04AE0F00000000003F +:04AE1000000000003E +:04AE1100000000003D +:04AE1200000000003C +:04AE1300000000003B +:04AE1400000000003A +:04AE15000000000039 +:04AE16000000000038 +:04AE17000000000037 +:04AE18000000000036 +:04AE19000000000035 +:04AE1A000000000034 +:04AE1B000000000033 +:04AE1C000000000032 +:04AE1D000000000031 +:04AE1E000000000030 +:04AE1F00000000002F +:04AE2000000000002E +:04AE2100000000002D +:04AE2200000000002C +:04AE2300000000002B +:04AE2400000000002A +:04AE25000000000029 +:04AE26000000000028 +:04AE27000000000027 +:04AE28000000000026 +:04AE29000000000025 +:04AE2A000000000024 +:04AE2B000000000023 +:04AE2C000000000022 +:04AE2D000000000021 +:04AE2E000000000020 +:04AE2F00000000001F +:04AE3000000000001E +:04AE3100000000001D +:04AE3200000000001C +:04AE3300000000001B +:04AE3400000000001A +:04AE35000000000019 +:04AE36000000000018 +:04AE37000000000017 +:04AE38000000000016 +:04AE39000000000015 +:04AE3A000000000014 +:04AE3B000000000013 +:04AE3C000000000012 +:04AE3D000000000011 +:04AE3E000000000010 +:04AE3F00000000000F +:04AE4000000000000E +:04AE4100000000000D +:04AE4200000000000C +:04AE4300000000000B +:04AE4400000000000A +:04AE45000000000009 +:04AE46000000000008 +:04AE47000000000007 +:04AE48000000000006 +:04AE49000000000005 +:04AE4A000000000004 +:04AE4B000000000003 +:04AE4C000000000002 +:04AE4D000000000001 +:04AE4E000000000000 +:04AE4F0000000000FF +:04AE500000000000FE +:04AE510000000000FD +:04AE520000000000FC +:04AE530000000000FB +:04AE540000000000FA +:04AE550000000000F9 +:04AE560000000000F8 +:04AE570000000000F7 +:04AE580000000000F6 +:04AE590000000000F5 +:04AE5A0000000000F4 +:04AE5B0000000000F3 +:04AE5C0000000000F2 +:04AE5D0000000000F1 +:04AE5E0000000000F0 +:04AE5F0000000000EF +:04AE600000000000EE +:04AE610000000000ED +:04AE620000000000EC +:04AE630000000000EB +:04AE640000000000EA +:04AE650000000000E9 +:04AE660000000000E8 +:04AE670000000000E7 +:04AE680000000000E6 +:04AE690000000000E5 +:04AE6A0000000000E4 +:04AE6B0000000000E3 +:04AE6C0000000000E2 +:04AE6D0000000000E1 +:04AE6E0000000000E0 +:04AE6F0000000000DF +:04AE700000000000DE +:04AE710000000000DD +:04AE720000000000DC +:04AE730000000000DB +:04AE740000000000DA +:04AE750000000000D9 +:04AE760000000000D8 +:04AE770000000000D7 +:04AE780000000000D6 +:04AE790000000000D5 +:04AE7A0000000000D4 +:04AE7B0000000000D3 +:04AE7C0000000000D2 +:04AE7D0000000000D1 +:04AE7E0000000000D0 +:04AE7F0000000000CF +:04AE800000000000CE +:04AE810000000000CD +:04AE820000000000CC +:04AE830000000000CB +:04AE840000000000CA +:04AE850000000000C9 +:04AE860000000000C8 +:04AE870000000000C7 +:04AE880000000000C6 +:04AE890000000000C5 +:04AE8A0000000000C4 +:04AE8B0000000000C3 +:04AE8C0000000000C2 +:04AE8D0000000000C1 +:04AE8E0000000000C0 +:04AE8F0000000000BF +:04AE900000000000BE +:04AE910000000000BD +:04AE920000000000BC +:04AE930000000000BB +:04AE940000000000BA +:04AE950000000000B9 +:04AE960000000000B8 +:04AE970000000000B7 +:04AE980000000000B6 +:04AE990000000000B5 +:04AE9A0000000000B4 +:04AE9B0000000000B3 +:04AE9C0000000000B2 +:04AE9D0000000000B1 +:04AE9E0000000000B0 +:04AE9F0000000000AF +:04AEA00000000000AE +:04AEA10000000000AD +:04AEA20000000000AC +:04AEA30000000000AB +:04AEA40000000000AA +:04AEA50000000000A9 +:04AEA60000000000A8 +:04AEA70000000000A7 +:04AEA80000000000A6 +:04AEA90000000000A5 +:04AEAA0000000000A4 +:04AEAB0000000000A3 +:04AEAC0000000000A2 +:04AEAD0000000000A1 +:04AEAE0000000000A0 +:04AEAF00000000009F +:04AEB000000000009E +:04AEB100000000009D +:04AEB200000000009C +:04AEB300000000009B +:04AEB400000000009A +:04AEB5000000000099 +:04AEB6000000000098 +:04AEB7000000000097 +:04AEB8000000000096 +:04AEB9000000000095 +:04AEBA000000000094 +:04AEBB000000000093 +:04AEBC000000000092 +:04AEBD000000000091 +:04AEBE000000000090 +:04AEBF00000000008F +:04AEC000000000008E +:04AEC100000000008D +:04AEC200000000008C +:04AEC300000000008B +:04AEC400000000008A +:04AEC5000000000089 +:04AEC6000000000088 +:04AEC7000000000087 +:04AEC8000000000086 +:04AEC9000000000085 +:04AECA000000000084 +:04AECB000000000083 +:04AECC000000000082 +:04AECD000000000081 +:04AECE000000000080 +:04AECF00000000007F +:04AED000000000007E +:04AED100000000007D +:04AED200000000007C +:04AED300000000007B +:04AED400000000007A +:04AED5000000000079 +:04AED6000000000078 +:04AED7000000000077 +:04AED8000000000076 +:04AED9000000000075 +:04AEDA000000000074 +:04AEDB000000000073 +:04AEDC000000000072 +:04AEDD000000000071 +:04AEDE000000000070 +:04AEDF00000000006F +:04AEE000000000006E +:04AEE100000000006D +:04AEE200000000006C +:04AEE300000000006B +:04AEE400000000006A +:04AEE5000000000069 +:04AEE6000000000068 +:04AEE7000000000067 +:04AEE8000000000066 +:04AEE9000000000065 +:04AEEA000000000064 +:04AEEB000000000063 +:04AEEC000000000062 +:04AEED000000000061 +:04AEEE000000000060 +:04AEEF00000000005F +:04AEF000000000005E +:04AEF100000000005D +:04AEF200000000005C +:04AEF300000000005B +:04AEF400000000005A +:04AEF5000000000059 +:04AEF6000000000058 +:04AEF7000000000057 +:04AEF8000000000056 +:04AEF9000000000055 +:04AEFA000000000054 +:04AEFB000000000053 +:04AEFC000000000052 +:04AEFD000000000051 +:04AEFE000000000050 +:04AEFF00000000004F +:04AF0000000000004D +:04AF0100000000004C +:04AF0200000000004B +:04AF0300000000004A +:04AF04000000000049 +:04AF05000000000048 +:04AF06000000000047 +:04AF07000000000046 +:04AF08000000000045 +:04AF09000000000044 +:04AF0A000000000043 +:04AF0B000000000042 +:04AF0C000000000041 +:04AF0D000000000040 +:04AF0E00000000003F +:04AF0F00000000003E +:04AF1000000000003D +:04AF1100000000003C +:04AF1200000000003B +:04AF1300000000003A +:04AF14000000000039 +:04AF15000000000038 +:04AF16000000000037 +:04AF17000000000036 +:04AF18000000000035 +:04AF19000000000034 +:04AF1A000000000033 +:04AF1B000000000032 +:04AF1C000000000031 +:04AF1D000000000030 +:04AF1E00000000002F +:04AF1F00000000002E +:04AF2000000000002D +:04AF2100000000002C +:04AF2200000000002B +:04AF2300000000002A +:04AF24000000000029 +:04AF25000000000028 +:04AF26000000000027 +:04AF27000000000026 +:04AF28000000000025 +:04AF29000000000024 +:04AF2A000000000023 +:04AF2B000000000022 +:04AF2C000000000021 +:04AF2D000000000020 +:04AF2E00000000001F +:04AF2F00000000001E +:04AF3000000000001D +:04AF3100000000001C +:04AF3200000000001B +:04AF3300000000001A +:04AF34000000000019 +:04AF35000000000018 +:04AF36000000000017 +:04AF37000000000016 +:04AF38000000000015 +:04AF39000000000014 +:04AF3A000000000013 +:04AF3B000000000012 +:04AF3C000000000011 +:04AF3D000000000010 +:04AF3E00000000000F +:04AF3F00000000000E +:04AF4000000000000D +:04AF4100000000000C +:04AF4200000000000B +:04AF4300000000000A +:04AF44000000000009 +:04AF45000000000008 +:04AF46000000000007 +:04AF47000000000006 +:04AF48000000000005 +:04AF49000000000004 +:04AF4A000000000003 +:04AF4B000000000002 +:04AF4C000000000001 +:04AF4D000000000000 +:04AF4E0000000000FF +:04AF4F0000000000FE +:04AF500000000000FD +:04AF510000000000FC +:04AF520000000000FB +:04AF530000000000FA +:04AF540000000000F9 +:04AF550000000000F8 +:04AF560000000000F7 +:04AF570000000000F6 +:04AF580000000000F5 +:04AF590000000000F4 +:04AF5A0000000000F3 +:04AF5B0000000000F2 +:04AF5C0000000000F1 +:04AF5D0000000000F0 +:04AF5E0000000000EF +:04AF5F0000000000EE +:04AF600000000000ED +:04AF610000000000EC +:04AF620000000000EB +:04AF630000000000EA +:04AF640000000000E9 +:04AF650000000000E8 +:04AF660000000000E7 +:04AF670000000000E6 +:04AF680000000000E5 +:04AF690000000000E4 +:04AF6A0000000000E3 +:04AF6B0000000000E2 +:04AF6C0000000000E1 +:04AF6D0000000000E0 +:04AF6E0000000000DF +:04AF6F0000000000DE +:04AF700000000000DD +:04AF710000000000DC +:04AF720000000000DB +:04AF730000000000DA +:04AF740000000000D9 +:04AF750000000000D8 +:04AF760000000000D7 +:04AF770000000000D6 +:04AF780000000000D5 +:04AF790000000000D4 +:04AF7A0000000000D3 +:04AF7B0000000000D2 +:04AF7C0000000000D1 +:04AF7D0000000000D0 +:04AF7E0000000000CF +:04AF7F0000000000CE +:04AF800000000000CD +:04AF810000000000CC +:04AF820000000000CB +:04AF830000000000CA +:04AF840000000000C9 +:04AF850000000000C8 +:04AF860000000000C7 +:04AF870000000000C6 +:04AF880000000000C5 +:04AF890000000000C4 +:04AF8A0000000000C3 +:04AF8B0000000000C2 +:04AF8C0000000000C1 +:04AF8D0000000000C0 +:04AF8E0000000000BF +:04AF8F0000000000BE +:04AF900000000000BD +:04AF910000000000BC +:04AF920000000000BB +:04AF930000000000BA +:04AF940000000000B9 +:04AF950000000000B8 +:04AF960000000000B7 +:04AF970000000000B6 +:04AF980000000000B5 +:04AF990000000000B4 +:04AF9A0000000000B3 +:04AF9B0000000000B2 +:04AF9C0000000000B1 +:04AF9D0000000000B0 +:04AF9E0000000000AF +:04AF9F0000000000AE +:04AFA00000000000AD +:04AFA10000000000AC +:04AFA20000000000AB +:04AFA30000000000AA +:04AFA40000000000A9 +:04AFA50000000000A8 +:04AFA60000000000A7 +:04AFA70000000000A6 +:04AFA80000000000A5 +:04AFA90000000000A4 +:04AFAA0000000000A3 +:04AFAB0000000000A2 +:04AFAC0000000000A1 +:04AFAD0000000000A0 +:04AFAE00000000009F +:04AFAF00000000009E +:04AFB000000000009D +:04AFB100000000009C +:04AFB200000000009B +:04AFB300000000009A +:04AFB4000000000099 +:04AFB5000000000098 +:04AFB6000000000097 +:04AFB7000000000096 +:04AFB8000000000095 +:04AFB9000000000094 +:04AFBA000000000093 +:04AFBB000000000092 +:04AFBC000000000091 +:04AFBD000000000090 +:04AFBE00000000008F +:04AFBF00000000008E +:04AFC000000000008D +:04AFC100000000008C +:04AFC200000000008B +:04AFC300000000008A +:04AFC4000000000089 +:04AFC5000000000088 +:04AFC6000000000087 +:04AFC7000000000086 +:04AFC8000000000085 +:04AFC9000000000084 +:04AFCA000000000083 +:04AFCB000000000082 +:04AFCC000000000081 +:04AFCD000000000080 +:04AFCE00000000007F +:04AFCF00000000007E +:04AFD000000000007D +:04AFD100000000007C +:04AFD200000000007B +:04AFD300000000007A +:04AFD4000000000079 +:04AFD5000000000078 +:04AFD6000000000077 +:04AFD7000000000076 +:04AFD8000000000075 +:04AFD9000000000074 +:04AFDA000000000073 +:04AFDB000000000072 +:04AFDC000000000071 +:04AFDD000000000070 +:04AFDE00000000006F +:04AFDF00000000006E +:04AFE000000000006D +:04AFE100000000006C +:04AFE200000000006B +:04AFE300000000006A +:04AFE4000000000069 +:04AFE5000000000068 +:04AFE6000000000067 +:04AFE7000000000066 +:04AFE8000000000065 +:04AFE9000000000064 +:04AFEA000000000063 +:04AFEB000000000062 +:04AFEC000000000061 +:04AFED000000000060 +:04AFEE00000000005F +:04AFEF00000000005E +:04AFF000000000005D +:04AFF100000000005C +:04AFF200000000005B +:04AFF300000000005A +:04AFF4000000000059 +:04AFF5000000000058 +:04AFF6000000000057 +:04AFF7000000000056 +:04AFF8000000000055 +:04AFF9000000000054 +:04AFFA000000000053 +:04AFFB000000000052 +:04AFFC000000000051 +:04AFFD000000000050 +:04AFFE00000000004F +:04AFFF00000000004E +:04B00000000000004C +:04B00100000000004B +:04B00200000000004A +:04B003000000000049 +:04B004000000000048 +:04B005000000000047 +:04B006000000000046 +:04B007000000000045 +:04B008000000000044 +:04B009000000000043 +:04B00A000000000042 +:04B00B000000000041 +:04B00C000000000040 +:04B00D00000000003F +:04B00E00000000003E +:04B00F00000000003D +:04B01000000000003C +:04B01100000000003B +:04B01200000000003A +:04B013000000000039 +:04B014000000000038 +:04B015000000000037 +:04B016000000000036 +:04B017000000000035 +:04B018000000000034 +:04B019000000000033 +:04B01A000000000032 +:04B01B000000000031 +:04B01C000000000030 +:04B01D00000000002F +:04B01E00000000002E +:04B01F00000000002D +:04B02000000000002C +:04B02100000000002B +:04B02200000000002A +:04B023000000000029 +:04B024000000000028 +:04B025000000000027 +:04B026000000000026 +:04B027000000000025 +:04B028000000000024 +:04B029000000000023 +:04B02A000000000022 +:04B02B000000000021 +:04B02C000000000020 +:04B02D00000000001F +:04B02E00000000001E +:04B02F00000000001D +:04B03000000000001C +:04B03100000000001B +:04B03200000000001A +:04B033000000000019 +:04B034000000000018 +:04B035000000000017 +:04B036000000000016 +:04B037000000000015 +:04B038000000000014 +:04B039000000000013 +:04B03A000000000012 +:04B03B000000000011 +:04B03C000000000010 +:04B03D00000000000F +:04B03E00000000000E +:04B03F00000000000D +:04B04000000000000C +:04B04100000000000B +:04B04200000000000A +:04B043000000000009 +:04B044000000000008 +:04B045000000000007 +:04B046000000000006 +:04B047000000000005 +:04B048000000000004 +:04B049000000000003 +:04B04A000000000002 +:04B04B000000000001 +:04B04C000000000000 +:04B04D0000000000FF +:04B04E0000000000FE +:04B04F0000000000FD +:04B0500000000000FC +:04B0510000000000FB +:04B0520000000000FA +:04B0530000000000F9 +:04B0540000000000F8 +:04B0550000000000F7 +:04B0560000000000F6 +:04B0570000000000F5 +:04B0580000000000F4 +:04B0590000000000F3 +:04B05A0000000000F2 +:04B05B0000000000F1 +:04B05C0000000000F0 +:04B05D0000000000EF +:04B05E0000000000EE +:04B05F0000000000ED +:04B0600000000000EC +:04B0610000000000EB +:04B0620000000000EA +:04B0630000000000E9 +:04B0640000000000E8 +:04B0650000000000E7 +:04B0660000000000E6 +:04B0670000000000E5 +:04B0680000000000E4 +:04B0690000000000E3 +:04B06A0000000000E2 +:04B06B0000000000E1 +:04B06C0000000000E0 +:04B06D0000000000DF +:04B06E0000000000DE +:04B06F0000000000DD +:04B0700000000000DC +:04B0710000000000DB +:04B0720000000000DA +:04B0730000000000D9 +:04B0740000000000D8 +:04B0750000000000D7 +:04B0760000000000D6 +:04B0770000000000D5 +:04B0780000000000D4 +:04B0790000000000D3 +:04B07A0000000000D2 +:04B07B0000000000D1 +:04B07C0000000000D0 +:04B07D0000000000CF +:04B07E0000000000CE +:04B07F0000000000CD +:04B0800000000000CC +:04B0810000000000CB +:04B0820000000000CA +:04B0830000000000C9 +:04B0840000000000C8 +:04B0850000000000C7 +:04B0860000000000C6 +:04B0870000000000C5 +:04B0880000000000C4 +:04B0890000000000C3 +:04B08A0000000000C2 +:04B08B0000000000C1 +:04B08C0000000000C0 +:04B08D0000000000BF +:04B08E0000000000BE +:04B08F0000000000BD +:04B0900000000000BC +:04B0910000000000BB +:04B0920000000000BA +:04B0930000000000B9 +:04B0940000000000B8 +:04B0950000000000B7 +:04B0960000000000B6 +:04B0970000000000B5 +:04B0980000000000B4 +:04B0990000000000B3 +:04B09A0000000000B2 +:04B09B0000000000B1 +:04B09C0000000000B0 +:04B09D0000000000AF +:04B09E0000000000AE +:04B09F0000000000AD +:04B0A00000000000AC +:04B0A10000000000AB +:04B0A20000000000AA +:04B0A30000000000A9 +:04B0A40000000000A8 +:04B0A50000000000A7 +:04B0A60000000000A6 +:04B0A70000000000A5 +:04B0A80000000000A4 +:04B0A90000000000A3 +:04B0AA0000000000A2 +:04B0AB0000000000A1 +:04B0AC0000000000A0 +:04B0AD00000000009F +:04B0AE00000000009E +:04B0AF00000000009D +:04B0B000000000009C +:04B0B100000000009B +:04B0B200000000009A +:04B0B3000000000099 +:04B0B4000000000098 +:04B0B5000000000097 +:04B0B6000000000096 +:04B0B7000000000095 +:04B0B8000000000094 +:04B0B9000000000093 +:04B0BA000000000092 +:04B0BB000000000091 +:04B0BC000000000090 +:04B0BD00000000008F +:04B0BE00000000008E +:04B0BF00000000008D +:04B0C000000000008C +:04B0C100000000008B +:04B0C200000000008A +:04B0C3000000000089 +:04B0C4000000000088 +:04B0C5000000000087 +:04B0C6000000000086 +:04B0C7000000000085 +:04B0C8000000000084 +:04B0C9000000000083 +:04B0CA000000000082 +:04B0CB000000000081 +:04B0CC000000000080 +:04B0CD00000000007F +:04B0CE00000000007E +:04B0CF00000000007D +:04B0D000000000007C +:04B0D100000000007B +:04B0D200000000007A +:04B0D3000000000079 +:04B0D4000000000078 +:04B0D5000000000077 +:04B0D6000000000076 +:04B0D7000000000075 +:04B0D8000000000074 +:04B0D9000000000073 +:04B0DA000000000072 +:04B0DB000000000071 +:04B0DC000000000070 +:04B0DD00000000006F +:04B0DE00000000006E +:04B0DF00000000006D +:04B0E000000000006C +:04B0E100000000006B +:04B0E200000000006A +:04B0E3000000000069 +:04B0E4000000000068 +:04B0E5000000000067 +:04B0E6000000000066 +:04B0E7000000000065 +:04B0E8000000000064 +:04B0E9000000000063 +:04B0EA000000000062 +:04B0EB000000000061 +:04B0EC000000000060 +:04B0ED00000000005F +:04B0EE00000000005E +:04B0EF00000000005D +:04B0F000000000005C +:04B0F100000000005B +:04B0F200000000005A +:04B0F3000000000059 +:04B0F4000000000058 +:04B0F5000000000057 +:04B0F6000000000056 +:04B0F7000000000055 +:04B0F8000000000054 +:04B0F9000000000053 +:04B0FA000000000052 +:04B0FB000000000051 +:04B0FC000000000050 +:04B0FD00000000004F +:04B0FE00000000004E +:04B0FF00000000004D +:04B10000000000004B +:04B10100000000004A +:04B102000000000049 +:04B103000000000048 +:04B104000000000047 +:04B105000000000046 +:04B106000000000045 +:04B107000000000044 +:04B108000000000043 +:04B109000000000042 +:04B10A000000000041 +:04B10B000000000040 +:04B10C00000000003F +:04B10D00000000003E +:04B10E00000000003D +:04B10F00000000003C +:04B11000000000003B +:04B11100000000003A +:04B112000000000039 +:04B113000000000038 +:04B114000000000037 +:04B115000000000036 +:04B116000000000035 +:04B117000000000034 +:04B118000000000033 +:04B119000000000032 +:04B11A000000000031 +:04B11B000000000030 +:04B11C00000000002F +:04B11D00000000002E +:04B11E00000000002D +:04B11F00000000002C +:04B12000000000002B +:04B12100000000002A +:04B122000000000029 +:04B123000000000028 +:04B124000000000027 +:04B125000000000026 +:04B126000000000025 +:04B127000000000024 +:04B128000000000023 +:04B129000000000022 +:04B12A000000000021 +:04B12B000000000020 +:04B12C00000000001F +:04B12D00000000001E +:04B12E00000000001D +:04B12F00000000001C +:04B13000000000001B +:04B13100000000001A +:04B132000000000019 +:04B133000000000018 +:04B134000000000017 +:04B135000000000016 +:04B136000000000015 +:04B137000000000014 +:04B138000000000013 +:04B139000000000012 +:04B13A000000000011 +:04B13B000000000010 +:04B13C00000000000F +:04B13D00000000000E +:04B13E00000000000D +:04B13F00000000000C +:04B14000000000000B +:04B14100000000000A +:04B142000000000009 +:04B143000000000008 +:04B144000000000007 +:04B145000000000006 +:04B146000000000005 +:04B147000000000004 +:04B148000000000003 +:04B149000000000002 +:04B14A000000000001 +:04B14B000000000000 +:04B14C0000000000FF +:04B14D0000000000FE +:04B14E0000000000FD +:04B14F0000000000FC +:04B1500000000000FB +:04B1510000000000FA +:04B1520000000000F9 +:04B1530000000000F8 +:04B1540000000000F7 +:04B1550000000000F6 +:04B1560000000000F5 +:04B1570000000000F4 +:04B1580000000000F3 +:04B1590000000000F2 +:04B15A0000000000F1 +:04B15B0000000000F0 +:04B15C0000000000EF +:04B15D0000000000EE +:04B15E0000000000ED +:04B15F0000000000EC +:04B1600000000000EB +:04B1610000000000EA +:04B1620000000000E9 +:04B1630000000000E8 +:04B1640000000000E7 +:04B1650000000000E6 +:04B1660000000000E5 +:04B1670000000000E4 +:04B1680000000000E3 +:04B1690000000000E2 +:04B16A0000000000E1 +:04B16B0000000000E0 +:04B16C0000000000DF +:04B16D0000000000DE +:04B16E0000000000DD +:04B16F0000000000DC +:04B1700000000000DB +:04B1710000000000DA +:04B1720000000000D9 +:04B1730000000000D8 +:04B1740000000000D7 +:04B1750000000000D6 +:04B1760000000000D5 +:04B1770000000000D4 +:04B1780000000000D3 +:04B1790000000000D2 +:04B17A0000000000D1 +:04B17B0000000000D0 +:04B17C0000000000CF +:04B17D0000000000CE +:04B17E0000000000CD +:04B17F0000000000CC +:04B1800000000000CB +:04B1810000000000CA +:04B1820000000000C9 +:04B1830000000000C8 +:04B1840000000000C7 +:04B1850000000000C6 +:04B1860000000000C5 +:04B1870000000000C4 +:04B1880000000000C3 +:04B1890000000000C2 +:04B18A0000000000C1 +:04B18B0000000000C0 +:04B18C0000000000BF +:04B18D0000000000BE +:04B18E0000000000BD +:04B18F0000000000BC +:04B1900000000000BB +:04B1910000000000BA +:04B1920000000000B9 +:04B1930000000000B8 +:04B1940000000000B7 +:04B1950000000000B6 +:04B1960000000000B5 +:04B1970000000000B4 +:04B1980000000000B3 +:04B1990000000000B2 +:04B19A0000000000B1 +:04B19B0000000000B0 +:04B19C0000000000AF +:04B19D0000000000AE +:04B19E0000000000AD +:04B19F0000000000AC +:04B1A00000000000AB +:04B1A10000000000AA +:04B1A20000000000A9 +:04B1A30000000000A8 +:04B1A40000000000A7 +:04B1A50000000000A6 +:04B1A60000000000A5 +:04B1A70000000000A4 +:04B1A80000000000A3 +:04B1A90000000000A2 +:04B1AA0000000000A1 +:04B1AB0000000000A0 +:04B1AC00000000009F +:04B1AD00000000009E +:04B1AE00000000009D +:04B1AF00000000009C +:04B1B000000000009B +:04B1B100000000009A +:04B1B2000000000099 +:04B1B3000000000098 +:04B1B4000000000097 +:04B1B5000000000096 +:04B1B6000000000095 +:04B1B7000000000094 +:04B1B8000000000093 +:04B1B9000000000092 +:04B1BA000000000091 +:04B1BB000000000090 +:04B1BC00000000008F +:04B1BD00000000008E +:04B1BE00000000008D +:04B1BF00000000008C +:04B1C000000000008B +:04B1C100000000008A +:04B1C2000000000089 +:04B1C3000000000088 +:04B1C4000000000087 +:04B1C5000000000086 +:04B1C6000000000085 +:04B1C7000000000084 +:04B1C8000000000083 +:04B1C9000000000082 +:04B1CA000000000081 +:04B1CB000000000080 +:04B1CC00000000007F +:04B1CD00000000007E +:04B1CE00000000007D +:04B1CF00000000007C +:04B1D000000000007B +:04B1D100000000007A +:04B1D2000000000079 +:04B1D3000000000078 +:04B1D4000000000077 +:04B1D5000000000076 +:04B1D6000000000075 +:04B1D7000000000074 +:04B1D8000000000073 +:04B1D9000000000072 +:04B1DA000000000071 +:04B1DB000000000070 +:04B1DC00000000006F +:04B1DD00000000006E +:04B1DE00000000006D +:04B1DF00000000006C +:04B1E000000000006B +:04B1E100000000006A +:04B1E2000000000069 +:04B1E3000000000068 +:04B1E4000000000067 +:04B1E5000000000066 +:04B1E6000000000065 +:04B1E7000000000064 +:04B1E8000000000063 +:04B1E9000000000062 +:04B1EA000000000061 +:04B1EB000000000060 +:04B1EC00000000005F +:04B1ED00000000005E +:04B1EE00000000005D +:04B1EF00000000005C +:04B1F000000000005B +:04B1F100000000005A +:04B1F2000000000059 +:04B1F3000000000058 +:04B1F4000000000057 +:04B1F5000000000056 +:04B1F6000000000055 +:04B1F7000000000054 +:04B1F8000000000053 +:04B1F9000000000052 +:04B1FA000000000051 +:04B1FB000000000050 +:04B1FC00000000004F +:04B1FD00000000004E +:04B1FE00000000004D +:04B1FF00000000004C +:04B20000000000004A +:04B201000000000049 +:04B202000000000048 +:04B203000000000047 +:04B204000000000046 +:04B205000000000045 +:04B206000000000044 +:04B207000000000043 +:04B208000000000042 +:04B209000000000041 +:04B20A000000000040 +:04B20B00000000003F +:04B20C00000000003E +:04B20D00000000003D +:04B20E00000000003C +:04B20F00000000003B +:04B21000000000003A +:04B211000000000039 +:04B212000000000038 +:04B213000000000037 +:04B214000000000036 +:04B215000000000035 +:04B216000000000034 +:04B217000000000033 +:04B218000000000032 +:04B219000000000031 +:04B21A000000000030 +:04B21B00000000002F +:04B21C00000000002E +:04B21D00000000002D +:04B21E00000000002C +:04B21F00000000002B +:04B22000000000002A +:04B221000000000029 +:04B222000000000028 +:04B223000000000027 +:04B224000000000026 +:04B225000000000025 +:04B226000000000024 +:04B227000000000023 +:04B228000000000022 +:04B229000000000021 +:04B22A000000000020 +:04B22B00000000001F +:04B22C00000000001E +:04B22D00000000001D +:04B22E00000000001C +:04B22F00000000001B +:04B23000000000001A +:04B231000000000019 +:04B232000000000018 +:04B233000000000017 +:04B234000000000016 +:04B235000000000015 +:04B236000000000014 +:04B237000000000013 +:04B238000000000012 +:04B239000000000011 +:04B23A000000000010 +:04B23B00000000000F +:04B23C00000000000E +:04B23D00000000000D +:04B23E00000000000C +:04B23F00000000000B +:04B24000000000000A +:04B241000000000009 +:04B242000000000008 +:04B243000000000007 +:04B244000000000006 +:04B245000000000005 +:04B246000000000004 +:04B247000000000003 +:04B248000000000002 +:04B249000000000001 +:04B24A000000000000 +:04B24B0000000000FF +:04B24C0000000000FE +:04B24D0000000000FD +:04B24E0000000000FC +:04B24F0000000000FB +:04B2500000000000FA +:04B2510000000000F9 +:04B2520000000000F8 +:04B2530000000000F7 +:04B2540000000000F6 +:04B2550000000000F5 +:04B2560000000000F4 +:04B2570000000000F3 +:04B2580000000000F2 +:04B2590000000000F1 +:04B25A0000000000F0 +:04B25B0000000000EF +:04B25C0000000000EE +:04B25D0000000000ED +:04B25E0000000000EC +:04B25F0000000000EB +:04B2600000000000EA +:04B2610000000000E9 +:04B2620000000000E8 +:04B2630000000000E7 +:04B2640000000000E6 +:04B2650000000000E5 +:04B2660000000000E4 +:04B2670000000000E3 +:04B2680000000000E2 +:04B2690000000000E1 +:04B26A0000000000E0 +:04B26B0000000000DF +:04B26C0000000000DE +:04B26D0000000000DD +:04B26E0000000000DC +:04B26F0000000000DB +:04B2700000000000DA +:04B2710000000000D9 +:04B2720000000000D8 +:04B2730000000000D7 +:04B2740000000000D6 +:04B2750000000000D5 +:04B2760000000000D4 +:04B2770000000000D3 +:04B2780000000000D2 +:04B2790000000000D1 +:04B27A0000000000D0 +:04B27B0000000000CF +:04B27C0000000000CE +:04B27D0000000000CD +:04B27E0000000000CC +:04B27F0000000000CB +:04B2800000000000CA +:04B2810000000000C9 +:04B2820000000000C8 +:04B2830000000000C7 +:04B2840000000000C6 +:04B2850000000000C5 +:04B2860000000000C4 +:04B2870000000000C3 +:04B2880000000000C2 +:04B2890000000000C1 +:04B28A0000000000C0 +:04B28B0000000000BF +:04B28C0000000000BE +:04B28D0000000000BD +:04B28E0000000000BC +:04B28F0000000000BB +:04B2900000000000BA +:04B2910000000000B9 +:04B2920000000000B8 +:04B2930000000000B7 +:04B2940000000000B6 +:04B2950000000000B5 +:04B2960000000000B4 +:04B2970000000000B3 +:04B2980000000000B2 +:04B2990000000000B1 +:04B29A0000000000B0 +:04B29B0000000000AF +:04B29C0000000000AE +:04B29D0000000000AD +:04B29E0000000000AC +:04B29F0000000000AB +:04B2A00000000000AA +:04B2A10000000000A9 +:04B2A20000000000A8 +:04B2A30000000000A7 +:04B2A40000000000A6 +:04B2A50000000000A5 +:04B2A60000000000A4 +:04B2A70000000000A3 +:04B2A80000000000A2 +:04B2A90000000000A1 +:04B2AA0000000000A0 +:04B2AB00000000009F +:04B2AC00000000009E +:04B2AD00000000009D +:04B2AE00000000009C +:04B2AF00000000009B +:04B2B000000000009A +:04B2B1000000000099 +:04B2B2000000000098 +:04B2B3000000000097 +:04B2B4000000000096 +:04B2B5000000000095 +:04B2B6000000000094 +:04B2B7000000000093 +:04B2B8000000000092 +:04B2B9000000000091 +:04B2BA000000000090 +:04B2BB00000000008F +:04B2BC00000000008E +:04B2BD00000000008D +:04B2BE00000000008C +:04B2BF00000000008B +:04B2C000000000008A +:04B2C1000000000089 +:04B2C2000000000088 +:04B2C3000000000087 +:04B2C4000000000086 +:04B2C5000000000085 +:04B2C6000000000084 +:04B2C7000000000083 +:04B2C8000000000082 +:04B2C9000000000081 +:04B2CA000000000080 +:04B2CB00000000007F +:04B2CC00000000007E +:04B2CD00000000007D +:04B2CE00000000007C +:04B2CF00000000007B +:04B2D000000000007A +:04B2D1000000000079 +:04B2D2000000000078 +:04B2D3000000000077 +:04B2D4000000000076 +:04B2D5000000000075 +:04B2D6000000000074 +:04B2D7000000000073 +:04B2D8000000000072 +:04B2D9000000000071 +:04B2DA000000000070 +:04B2DB00000000006F +:04B2DC00000000006E +:04B2DD00000000006D +:04B2DE00000000006C +:04B2DF00000000006B +:04B2E000000000006A +:04B2E1000000000069 +:04B2E2000000000068 +:04B2E3000000000067 +:04B2E4000000000066 +:04B2E5000000000065 +:04B2E6000000000064 +:04B2E7000000000063 +:04B2E8000000000062 +:04B2E9000000000061 +:04B2EA000000000060 +:04B2EB00000000005F +:04B2EC00000000005E +:04B2ED00000000005D +:04B2EE00000000005C +:04B2EF00000000005B +:04B2F000000000005A +:04B2F1000000000059 +:04B2F2000000000058 +:04B2F3000000000057 +:04B2F4000000000056 +:04B2F5000000000055 +:04B2F6000000000054 +:04B2F7000000000053 +:04B2F8000000000052 +:04B2F9000000000051 +:04B2FA000000000050 +:04B2FB00000000004F +:04B2FC00000000004E +:04B2FD00000000004D +:04B2FE00000000004C +:04B2FF00000000004B +:04B300000000000049 +:04B301000000000048 +:04B302000000000047 +:04B303000000000046 +:04B304000000000045 +:04B305000000000044 +:04B306000000000043 +:04B307000000000042 +:04B308000000000041 +:04B309000000000040 +:04B30A00000000003F +:04B30B00000000003E +:04B30C00000000003D +:04B30D00000000003C +:04B30E00000000003B +:04B30F00000000003A +:04B310000000000039 +:04B311000000000038 +:04B312000000000037 +:04B313000000000036 +:04B314000000000035 +:04B315000000000034 +:04B316000000000033 +:04B317000000000032 +:04B318000000000031 +:04B319000000000030 +:04B31A00000000002F +:04B31B00000000002E +:04B31C00000000002D +:04B31D00000000002C +:04B31E00000000002B +:04B31F00000000002A +:04B320000000000029 +:04B321000000000028 +:04B322000000000027 +:04B323000000000026 +:04B324000000000025 +:04B325000000000024 +:04B326000000000023 +:04B327000000000022 +:04B328000000000021 +:04B329000000000020 +:04B32A00000000001F +:04B32B00000000001E +:04B32C00000000001D +:04B32D00000000001C +:04B32E00000000001B +:04B32F00000000001A +:04B330000000000019 +:04B331000000000018 +:04B332000000000017 +:04B333000000000016 +:04B334000000000015 +:04B335000000000014 +:04B336000000000013 +:04B337000000000012 +:04B338000000000011 +:04B339000000000010 +:04B33A00000000000F +:04B33B00000000000E +:04B33C00000000000D +:04B33D00000000000C +:04B33E00000000000B +:04B33F00000000000A +:04B340000000000009 +:04B341000000000008 +:04B342000000000007 +:04B343000000000006 +:04B344000000000005 +:04B345000000000004 +:04B346000000000003 +:04B347000000000002 +:04B348000000000001 +:04B349000000000000 +:04B34A0000000000FF +:04B34B0000000000FE +:04B34C0000000000FD +:04B34D0000000000FC +:04B34E0000000000FB +:04B34F0000000000FA +:04B3500000000000F9 +:04B3510000000000F8 +:04B3520000000000F7 +:04B3530000000000F6 +:04B3540000000000F5 +:04B3550000000000F4 +:04B3560000000000F3 +:04B3570000000000F2 +:04B3580000000000F1 +:04B3590000000000F0 +:04B35A0000000000EF +:04B35B0000000000EE +:04B35C0000000000ED +:04B35D0000000000EC +:04B35E0000000000EB +:04B35F0000000000EA +:04B3600000000000E9 +:04B3610000000000E8 +:04B3620000000000E7 +:04B3630000000000E6 +:04B3640000000000E5 +:04B3650000000000E4 +:04B3660000000000E3 +:04B3670000000000E2 +:04B3680000000000E1 +:04B3690000000000E0 +:04B36A0000000000DF +:04B36B0000000000DE +:04B36C0000000000DD +:04B36D0000000000DC +:04B36E0000000000DB +:04B36F0000000000DA +:04B3700000000000D9 +:04B3710000000000D8 +:04B3720000000000D7 +:04B3730000000000D6 +:04B3740000000000D5 +:04B3750000000000D4 +:04B3760000000000D3 +:04B3770000000000D2 +:04B3780000000000D1 +:04B3790000000000D0 +:04B37A0000000000CF +:04B37B0000000000CE +:04B37C0000000000CD +:04B37D0000000000CC +:04B37E0000000000CB +:04B37F0000000000CA +:04B3800000000000C9 +:04B3810000000000C8 +:04B3820000000000C7 +:04B3830000000000C6 +:04B3840000000000C5 +:04B3850000000000C4 +:04B3860000000000C3 +:04B3870000000000C2 +:04B3880000000000C1 +:04B3890000000000C0 +:04B38A0000000000BF +:04B38B0000000000BE +:04B38C0000000000BD +:04B38D0000000000BC +:04B38E0000000000BB +:04B38F0000000000BA +:04B3900000000000B9 +:04B3910000000000B8 +:04B3920000000000B7 +:04B3930000000000B6 +:04B3940000000000B5 +:04B3950000000000B4 +:04B3960000000000B3 +:04B3970000000000B2 +:04B3980000000000B1 +:04B3990000000000B0 +:04B39A0000000000AF +:04B39B0000000000AE +:04B39C0000000000AD +:04B39D0000000000AC +:04B39E0000000000AB +:04B39F0000000000AA +:04B3A00000000000A9 +:04B3A10000000000A8 +:04B3A20000000000A7 +:04B3A30000000000A6 +:04B3A40000000000A5 +:04B3A50000000000A4 +:04B3A60000000000A3 +:04B3A70000000000A2 +:04B3A80000000000A1 +:04B3A90000000000A0 +:04B3AA00000000009F +:04B3AB00000000009E +:04B3AC00000000009D +:04B3AD00000000009C +:04B3AE00000000009B +:04B3AF00000000009A +:04B3B0000000000099 +:04B3B1000000000098 +:04B3B2000000000097 +:04B3B3000000000096 +:04B3B4000000000095 +:04B3B5000000000094 +:04B3B6000000000093 +:04B3B7000000000092 +:04B3B8000000000091 +:04B3B9000000000090 +:04B3BA00000000008F +:04B3BB00000000008E +:04B3BC00000000008D +:04B3BD00000000008C +:04B3BE00000000008B +:04B3BF00000000008A +:04B3C0000000000089 +:04B3C1000000000088 +:04B3C2000000000087 +:04B3C3000000000086 +:04B3C4000000000085 +:04B3C5000000000084 +:04B3C6000000000083 +:04B3C7000000000082 +:04B3C8000000000081 +:04B3C9000000000080 +:04B3CA00000000007F +:04B3CB00000000007E +:04B3CC00000000007D +:04B3CD00000000007C +:04B3CE00000000007B +:04B3CF00000000007A +:04B3D0000000000079 +:04B3D1000000000078 +:04B3D2000000000077 +:04B3D3000000000076 +:04B3D4000000000075 +:04B3D5000000000074 +:04B3D6000000000073 +:04B3D7000000000072 +:04B3D8000000000071 +:04B3D9000000000070 +:04B3DA00000000006F +:04B3DB00000000006E +:04B3DC00000000006D +:04B3DD00000000006C +:04B3DE00000000006B +:04B3DF00000000006A +:04B3E0000000000069 +:04B3E1000000000068 +:04B3E2000000000067 +:04B3E3000000000066 +:04B3E4000000000065 +:04B3E5000000000064 +:04B3E6000000000063 +:04B3E7000000000062 +:04B3E8000000000061 +:04B3E9000000000060 +:04B3EA00000000005F +:04B3EB00000000005E +:04B3EC00000000005D +:04B3ED00000000005C +:04B3EE00000000005B +:04B3EF00000000005A +:04B3F0000000000059 +:04B3F1000000000058 +:04B3F2000000000057 +:04B3F3000000000056 +:04B3F4000000000055 +:04B3F5000000000054 +:04B3F6000000000053 +:04B3F7000000000052 +:04B3F8000000000051 +:04B3F9000000000050 +:04B3FA00000000004F +:04B3FB00000000004E +:04B3FC00000000004D +:04B3FD00000000004C +:04B3FE00000000004B +:04B3FF00000000004A +:04B400000000000048 +:04B401000000000047 +:04B402000000000046 +:04B403000000000045 +:04B404000000000044 +:04B405000000000043 +:04B406000000000042 +:04B407000000000041 +:04B408000000000040 +:04B40900000000003F +:04B40A00000000003E +:04B40B00000000003D +:04B40C00000000003C +:04B40D00000000003B +:04B40E00000000003A +:04B40F000000000039 +:04B410000000000038 +:04B411000000000037 +:04B412000000000036 +:04B413000000000035 +:04B414000000000034 +:04B415000000000033 +:04B416000000000032 +:04B417000000000031 +:04B418000000000030 +:04B41900000000002F +:04B41A00000000002E +:04B41B00000000002D +:04B41C00000000002C +:04B41D00000000002B +:04B41E00000000002A +:04B41F000000000029 +:04B420000000000028 +:04B421000000000027 +:04B422000000000026 +:04B423000000000025 +:04B424000000000024 +:04B425000000000023 +:04B426000000000022 +:04B427000000000021 +:04B428000000000020 +:04B42900000000001F +:04B42A00000000001E +:04B42B00000000001D +:04B42C00000000001C +:04B42D00000000001B +:04B42E00000000001A +:04B42F000000000019 +:04B430000000000018 +:04B431000000000017 +:04B432000000000016 +:04B433000000000015 +:04B434000000000014 +:04B435000000000013 +:04B436000000000012 +:04B437000000000011 +:04B438000000000010 +:04B43900000000000F +:04B43A00000000000E +:04B43B00000000000D +:04B43C00000000000C +:04B43D00000000000B +:04B43E00000000000A +:04B43F000000000009 +:04B440000000000008 +:04B441000000000007 +:04B442000000000006 +:04B443000000000005 +:04B444000000000004 +:04B445000000000003 +:04B446000000000002 +:04B447000000000001 +:04B448000000000000 +:04B4490000000000FF +:04B44A0000000000FE +:04B44B0000000000FD +:04B44C0000000000FC +:04B44D0000000000FB +:04B44E0000000000FA +:04B44F0000000000F9 +:04B4500000000000F8 +:04B4510000000000F7 +:04B4520000000000F6 +:04B4530000000000F5 +:04B4540000000000F4 +:04B4550000000000F3 +:04B4560000000000F2 +:04B4570000000000F1 +:04B4580000000000F0 +:04B4590000000000EF +:04B45A0000000000EE +:04B45B0000000000ED +:04B45C0000000000EC +:04B45D0000000000EB +:04B45E0000000000EA +:04B45F0000000000E9 +:04B4600000000000E8 +:04B4610000000000E7 +:04B4620000000000E6 +:04B4630000000000E5 +:04B4640000000000E4 +:04B4650000000000E3 +:04B4660000000000E2 +:04B4670000000000E1 +:04B4680000000000E0 +:04B4690000000000DF +:04B46A0000000000DE +:04B46B0000000000DD +:04B46C0000000000DC +:04B46D0000000000DB +:04B46E0000000000DA +:04B46F0000000000D9 +:04B4700000000000D8 +:04B4710000000000D7 +:04B4720000000000D6 +:04B4730000000000D5 +:04B4740000000000D4 +:04B4750000000000D3 +:04B4760000000000D2 +:04B4770000000000D1 +:04B4780000000000D0 +:04B4790000000000CF +:04B47A0000000000CE +:04B47B0000000000CD +:04B47C0000000000CC +:04B47D0000000000CB +:04B47E0000000000CA +:04B47F0000000000C9 +:04B4800000000000C8 +:04B4810000000000C7 +:04B4820000000000C6 +:04B4830000000000C5 +:04B4840000000000C4 +:04B4850000000000C3 +:04B4860000000000C2 +:04B4870000000000C1 +:04B4880000000000C0 +:04B4890000000000BF +:04B48A0000000000BE +:04B48B0000000000BD +:04B48C0000000000BC +:04B48D0000000000BB +:04B48E0000000000BA +:04B48F0000000000B9 +:04B4900000000000B8 +:04B4910000000000B7 +:04B4920000000000B6 +:04B4930000000000B5 +:04B4940000000000B4 +:04B4950000000000B3 +:04B4960000000000B2 +:04B4970000000000B1 +:04B4980000000000B0 +:04B4990000000000AF +:04B49A0000000000AE +:04B49B0000000000AD +:04B49C0000000000AC +:04B49D0000000000AB +:04B49E0000000000AA +:04B49F0000000000A9 +:04B4A00000000000A8 +:04B4A10000000000A7 +:04B4A20000000000A6 +:04B4A30000000000A5 +:04B4A40000000000A4 +:04B4A50000000000A3 +:04B4A60000000000A2 +:04B4A70000000000A1 +:04B4A80000000000A0 +:04B4A900000000009F +:04B4AA00000000009E +:04B4AB00000000009D +:04B4AC00000000009C +:04B4AD00000000009B +:04B4AE00000000009A +:04B4AF000000000099 +:04B4B0000000000098 +:04B4B1000000000097 +:04B4B2000000000096 +:04B4B3000000000095 +:04B4B4000000000094 +:04B4B5000000000093 +:04B4B6000000000092 +:04B4B7000000000091 +:04B4B8000000000090 +:04B4B900000000008F +:04B4BA00000000008E +:04B4BB00000000008D +:04B4BC00000000008C +:04B4BD00000000008B +:04B4BE00000000008A +:04B4BF000000000089 +:04B4C0000000000088 +:04B4C1000000000087 +:04B4C2000000000086 +:04B4C3000000000085 +:04B4C4000000000084 +:04B4C5000000000083 +:04B4C6000000000082 +:04B4C7000000000081 +:04B4C8000000000080 +:04B4C900000000007F +:04B4CA00000000007E +:04B4CB00000000007D +:04B4CC00000000007C +:04B4CD00000000007B +:04B4CE00000000007A +:04B4CF000000000079 +:04B4D0000000000078 +:04B4D1000000000077 +:04B4D2000000000076 +:04B4D3000000000075 +:04B4D4000000000074 +:04B4D5000000000073 +:04B4D6000000000072 +:04B4D7000000000071 +:04B4D8000000000070 +:04B4D900000000006F +:04B4DA00000000006E +:04B4DB00000000006D +:04B4DC00000000006C +:04B4DD00000000006B +:04B4DE00000000006A +:04B4DF000000000069 +:04B4E0000000000068 +:04B4E1000000000067 +:04B4E2000000000066 +:04B4E3000000000065 +:04B4E4000000000064 +:04B4E5000000000063 +:04B4E6000000000062 +:04B4E7000000000061 +:04B4E8000000000060 +:04B4E900000000005F +:04B4EA00000000005E +:04B4EB00000000005D +:04B4EC00000000005C +:04B4ED00000000005B +:04B4EE00000000005A +:04B4EF000000000059 +:04B4F0000000000058 +:04B4F1000000000057 +:04B4F2000000000056 +:04B4F3000000000055 +:04B4F4000000000054 +:04B4F5000000000053 +:04B4F6000000000052 +:04B4F7000000000051 +:04B4F8000000000050 +:04B4F900000000004F +:04B4FA00000000004E +:04B4FB00000000004D +:04B4FC00000000004C +:04B4FD00000000004B +:04B4FE00000000004A +:04B4FF000000000049 +:04B500000000000047 +:04B501000000000046 +:04B502000000000045 +:04B503000000000044 +:04B504000000000043 +:04B505000000000042 +:04B506000000000041 +:04B507000000000040 +:04B50800000000003F +:04B50900000000003E +:04B50A00000000003D +:04B50B00000000003C +:04B50C00000000003B +:04B50D00000000003A +:04B50E000000000039 +:04B50F000000000038 +:04B510000000000037 +:04B511000000000036 +:04B512000000000035 +:04B513000000000034 +:04B514000000000033 +:04B515000000000032 +:04B516000000000031 +:04B517000000000030 +:04B51800000000002F +:04B51900000000002E +:04B51A00000000002D +:04B51B00000000002C +:04B51C00000000002B +:04B51D00000000002A +:04B51E000000000029 +:04B51F000000000028 +:04B520000000000027 +:04B521000000000026 +:04B522000000000025 +:04B523000000000024 +:04B524000000000023 +:04B525000000000022 +:04B526000000000021 +:04B527000000000020 +:04B52800000000001F +:04B52900000000001E +:04B52A00000000001D +:04B52B00000000001C +:04B52C00000000001B +:04B52D00000000001A +:04B52E000000000019 +:04B52F000000000018 +:04B530000000000017 +:04B531000000000016 +:04B532000000000015 +:04B533000000000014 +:04B534000000000013 +:04B535000000000012 +:04B536000000000011 +:04B537000000000010 +:04B53800000000000F +:04B53900000000000E +:04B53A00000000000D +:04B53B00000000000C +:04B53C00000000000B +:04B53D00000000000A +:04B53E000000000009 +:04B53F000000000008 +:04B540000000000007 +:04B541000000000006 +:04B542000000000005 +:04B543000000000004 +:04B544000000000003 +:04B545000000000002 +:04B546000000000001 +:04B547000000000000 +:04B5480000000000FF +:04B5490000000000FE +:04B54A0000000000FD +:04B54B0000000000FC +:04B54C0000000000FB +:04B54D0000000000FA +:04B54E0000000000F9 +:04B54F0000000000F8 +:04B5500000000000F7 +:04B5510000000000F6 +:04B5520000000000F5 +:04B5530000000000F4 +:04B5540000000000F3 +:04B5550000000000F2 +:04B5560000000000F1 +:04B5570000000000F0 +:04B5580000000000EF +:04B5590000000000EE +:04B55A0000000000ED +:04B55B0000000000EC +:04B55C0000000000EB +:04B55D0000000000EA +:04B55E0000000000E9 +:04B55F0000000000E8 +:04B5600000000000E7 +:04B5610000000000E6 +:04B5620000000000E5 +:04B5630000000000E4 +:04B5640000000000E3 +:04B5650000000000E2 +:04B5660000000000E1 +:04B5670000000000E0 +:04B5680000000000DF +:04B5690000000000DE +:04B56A0000000000DD +:04B56B0000000000DC +:04B56C0000000000DB +:04B56D0000000000DA +:04B56E0000000000D9 +:04B56F0000000000D8 +:04B5700000000000D7 +:04B5710000000000D6 +:04B5720000000000D5 +:04B5730000000000D4 +:04B5740000000000D3 +:04B5750000000000D2 +:04B5760000000000D1 +:04B5770000000000D0 +:04B5780000000000CF +:04B5790000000000CE +:04B57A0000000000CD +:04B57B0000000000CC +:04B57C0000000000CB +:04B57D0000000000CA +:04B57E0000000000C9 +:04B57F0000000000C8 +:04B5800000000000C7 +:04B5810000000000C6 +:04B5820000000000C5 +:04B5830000000000C4 +:04B5840000000000C3 +:04B5850000000000C2 +:04B5860000000000C1 +:04B5870000000000C0 +:04B5880000000000BF +:04B5890000000000BE +:04B58A0000000000BD +:04B58B0000000000BC +:04B58C0000000000BB +:04B58D0000000000BA +:04B58E0000000000B9 +:04B58F0000000000B8 +:04B5900000000000B7 +:04B5910000000000B6 +:04B5920000000000B5 +:04B5930000000000B4 +:04B5940000000000B3 +:04B5950000000000B2 +:04B5960000000000B1 +:04B5970000000000B0 +:04B5980000000000AF +:04B5990000000000AE +:04B59A0000000000AD +:04B59B0000000000AC +:04B59C0000000000AB +:04B59D0000000000AA +:04B59E0000000000A9 +:04B59F0000000000A8 +:04B5A00000000000A7 +:04B5A10000000000A6 +:04B5A20000000000A5 +:04B5A30000000000A4 +:04B5A40000000000A3 +:04B5A50000000000A2 +:04B5A60000000000A1 +:04B5A70000000000A0 +:04B5A800000000009F +:04B5A900000000009E +:04B5AA00000000009D +:04B5AB00000000009C +:04B5AC00000000009B +:04B5AD00000000009A +:04B5AE000000000099 +:04B5AF000000000098 +:04B5B0000000000097 +:04B5B1000000000096 +:04B5B2000000000095 +:04B5B3000000000094 +:04B5B4000000000093 +:04B5B5000000000092 +:04B5B6000000000091 +:04B5B7000000000090 +:04B5B800000000008F +:04B5B900000000008E +:04B5BA00000000008D +:04B5BB00000000008C +:04B5BC00000000008B +:04B5BD00000000008A +:04B5BE000000000089 +:04B5BF000000000088 +:04B5C0000000000087 +:04B5C1000000000086 +:04B5C2000000000085 +:04B5C3000000000084 +:04B5C4000000000083 +:04B5C5000000000082 +:04B5C6000000000081 +:04B5C7000000000080 +:04B5C800000000007F +:04B5C900000000007E +:04B5CA00000000007D +:04B5CB00000000007C +:04B5CC00000000007B +:04B5CD00000000007A +:04B5CE000000000079 +:04B5CF000000000078 +:04B5D0000000000077 +:04B5D1000000000076 +:04B5D2000000000075 +:04B5D3000000000074 +:04B5D4000000000073 +:04B5D5000000000072 +:04B5D6000000000071 +:04B5D7000000000070 +:04B5D800000000006F +:04B5D900000000006E +:04B5DA00000000006D +:04B5DB00000000006C +:04B5DC00000000006B +:04B5DD00000000006A +:04B5DE000000000069 +:04B5DF000000000068 +:04B5E0000000000067 +:04B5E1000000000066 +:04B5E2000000000065 +:04B5E3000000000064 +:04B5E4000000000063 +:04B5E5000000000062 +:04B5E6000000000061 +:04B5E7000000000060 +:04B5E800000000005F +:04B5E900000000005E +:04B5EA00000000005D +:04B5EB00000000005C +:04B5EC00000000005B +:04B5ED00000000005A +:04B5EE000000000059 +:04B5EF000000000058 +:04B5F0000000000057 +:04B5F1000000000056 +:04B5F2000000000055 +:04B5F3000000000054 +:04B5F4000000000053 +:04B5F5000000000052 +:04B5F6000000000051 +:04B5F7000000000050 +:04B5F800000000004F +:04B5F900000000004E +:04B5FA00000000004D +:04B5FB00000000004C +:04B5FC00000000004B +:04B5FD00000000004A +:04B5FE000000000049 +:04B5FF000000000048 +:04B600000000000046 +:04B601000000000045 +:04B602000000000044 +:04B603000000000043 +:04B604000000000042 +:04B605000000000041 +:04B606000000000040 +:04B60700000000003F +:04B60800000000003E +:04B60900000000003D +:04B60A00000000003C +:04B60B00000000003B +:04B60C00000000003A +:04B60D000000000039 +:04B60E000000000038 +:04B60F000000000037 +:04B610000000000036 +:04B611000000000035 +:04B612000000000034 +:04B613000000000033 +:04B614000000000032 +:04B615000000000031 +:04B616000000000030 +:04B61700000000002F +:04B61800000000002E +:04B61900000000002D +:04B61A00000000002C +:04B61B00000000002B +:04B61C00000000002A +:04B61D000000000029 +:04B61E000000000028 +:04B61F000000000027 +:04B620000000000026 +:04B621000000000025 +:04B622000000000024 +:04B623000000000023 +:04B624000000000022 +:04B625000000000021 +:04B626000000000020 +:04B62700000000001F +:04B62800000000001E +:04B62900000000001D +:04B62A00000000001C +:04B62B00000000001B +:04B62C00000000001A +:04B62D000000000019 +:04B62E000000000018 +:04B62F000000000017 +:04B630000000000016 +:04B631000000000015 +:04B632000000000014 +:04B633000000000013 +:04B634000000000012 +:04B635000000000011 +:04B636000000000010 +:04B63700000000000F +:04B63800000000000E +:04B63900000000000D +:04B63A00000000000C +:04B63B00000000000B +:04B63C00000000000A +:04B63D000000000009 +:04B63E000000000008 +:04B63F000000000007 +:04B640000000000006 +:04B641000000000005 +:04B642000000000004 +:04B643000000000003 +:04B644000000000002 +:04B645000000000001 +:04B646000000000000 +:04B6470000000000FF +:04B6480000000000FE +:04B6490000000000FD +:04B64A0000000000FC +:04B64B0000000000FB +:04B64C0000000000FA +:04B64D0000000000F9 +:04B64E0000000000F8 +:04B64F0000000000F7 +:04B6500000000000F6 +:04B6510000000000F5 +:04B6520000000000F4 +:04B6530000000000F3 +:04B6540000000000F2 +:04B6550000000000F1 +:04B6560000000000F0 +:04B6570000000000EF +:04B6580000000000EE +:04B6590000000000ED +:04B65A0000000000EC +:04B65B0000000000EB +:04B65C0000000000EA +:04B65D0000000000E9 +:04B65E0000000000E8 +:04B65F0000000000E7 +:04B6600000000000E6 +:04B6610000000000E5 +:04B6620000000000E4 +:04B6630000000000E3 +:04B6640000000000E2 +:04B6650000000000E1 +:04B6660000000000E0 +:04B6670000000000DF +:04B6680000000000DE +:04B6690000000000DD +:04B66A0000000000DC +:04B66B0000000000DB +:04B66C0000000000DA +:04B66D0000000000D9 +:04B66E0000000000D8 +:04B66F0000000000D7 +:04B6700000000000D6 +:04B6710000000000D5 +:04B6720000000000D4 +:04B6730000000000D3 +:04B6740000000000D2 +:04B6750000000000D1 +:04B6760000000000D0 +:04B6770000000000CF +:04B6780000000000CE +:04B6790000000000CD +:04B67A0000000000CC +:04B67B0000000000CB +:04B67C0000000000CA +:04B67D0000000000C9 +:04B67E0000000000C8 +:04B67F0000000000C7 +:04B6800000000000C6 +:04B6810000000000C5 +:04B6820000000000C4 +:04B6830000000000C3 +:04B6840000000000C2 +:04B6850000000000C1 +:04B6860000000000C0 +:04B6870000000000BF +:04B6880000000000BE +:04B6890000000000BD +:04B68A0000000000BC +:04B68B0000000000BB +:04B68C0000000000BA +:04B68D0000000000B9 +:04B68E0000000000B8 +:04B68F0000000000B7 +:04B6900000000000B6 +:04B6910000000000B5 +:04B6920000000000B4 +:04B6930000000000B3 +:04B6940000000000B2 +:04B6950000000000B1 +:04B6960000000000B0 +:04B6970000000000AF +:04B6980000000000AE +:04B6990000000000AD +:04B69A0000000000AC +:04B69B0000000000AB +:04B69C0000000000AA +:04B69D0000000000A9 +:04B69E0000000000A8 +:04B69F0000000000A7 +:04B6A00000000000A6 +:04B6A10000000000A5 +:04B6A20000000000A4 +:04B6A30000000000A3 +:04B6A40000000000A2 +:04B6A50000000000A1 +:04B6A60000000000A0 +:04B6A700000000009F +:04B6A800000000009E +:04B6A900000000009D +:04B6AA00000000009C +:04B6AB00000000009B +:04B6AC00000000009A +:04B6AD000000000099 +:04B6AE000000000098 +:04B6AF000000000097 +:04B6B0000000000096 +:04B6B1000000000095 +:04B6B2000000000094 +:04B6B3000000000093 +:04B6B4000000000092 +:04B6B5000000000091 +:04B6B6000000000090 +:04B6B700000000008F +:04B6B800000000008E +:04B6B900000000008D +:04B6BA00000000008C +:04B6BB00000000008B +:04B6BC00000000008A +:04B6BD000000000089 +:04B6BE000000000088 +:04B6BF000000000087 +:04B6C0000000000086 +:04B6C1000000000085 +:04B6C2000000000084 +:04B6C3000000000083 +:04B6C4000000000082 +:04B6C5000000000081 +:04B6C6000000000080 +:04B6C700000000007F +:04B6C800000000007E +:04B6C900000000007D +:04B6CA00000000007C +:04B6CB00000000007B +:04B6CC00000000007A +:04B6CD000000000079 +:04B6CE000000000078 +:04B6CF000000000077 +:04B6D0000000000076 +:04B6D1000000000075 +:04B6D2000000000074 +:04B6D3000000000073 +:04B6D4000000000072 +:04B6D5000000000071 +:04B6D6000000000070 +:04B6D700000000006F +:04B6D800000000006E +:04B6D900000000006D +:04B6DA00000000006C +:04B6DB00000000006B +:04B6DC00000000006A +:04B6DD000000000069 +:04B6DE000000000068 +:04B6DF000000000067 +:04B6E0000000000066 +:04B6E1000000000065 +:04B6E2000000000064 +:04B6E3000000000063 +:04B6E4000000000062 +:04B6E5000000000061 +:04B6E6000000000060 +:04B6E700000000005F +:04B6E800000000005E +:04B6E900000000005D +:04B6EA00000000005C +:04B6EB00000000005B +:04B6EC00000000005A +:04B6ED000000000059 +:04B6EE000000000058 +:04B6EF000000000057 +:04B6F0000000000056 +:04B6F1000000000055 +:04B6F2000000000054 +:04B6F3000000000053 +:04B6F4000000000052 +:04B6F5000000000051 +:04B6F6000000000050 +:04B6F700000000004F +:04B6F800000000004E +:04B6F900000000004D +:04B6FA00000000004C +:04B6FB00000000004B +:04B6FC00000000004A +:04B6FD000000000049 +:04B6FE000000000048 +:04B6FF000000000047 +:04B700000000000045 +:04B701000000000044 +:04B702000000000043 +:04B703000000000042 +:04B704000000000041 +:04B705000000000040 +:04B70600000000003F +:04B70700000000003E +:04B70800000000003D +:04B70900000000003C +:04B70A00000000003B +:04B70B00000000003A +:04B70C000000000039 +:04B70D000000000038 +:04B70E000000000037 +:04B70F000000000036 +:04B710000000000035 +:04B711000000000034 +:04B712000000000033 +:04B713000000000032 +:04B714000000000031 +:04B715000000000030 +:04B71600000000002F +:04B71700000000002E +:04B71800000000002D +:04B71900000000002C +:04B71A00000000002B +:04B71B00000000002A +:04B71C000000000029 +:04B71D000000000028 +:04B71E000000000027 +:04B71F000000000026 +:04B720000000000025 +:04B721000000000024 +:04B722000000000023 +:04B723000000000022 +:04B724000000000021 +:04B725000000000020 +:04B72600000000001F +:04B72700000000001E +:04B72800000000001D +:04B72900000000001C +:04B72A00000000001B +:04B72B00000000001A +:04B72C000000000019 +:04B72D000000000018 +:04B72E000000000017 +:04B72F000000000016 +:04B730000000000015 +:04B731000000000014 +:04B732000000000013 +:04B733000000000012 +:04B734000000000011 +:04B735000000000010 +:04B73600000000000F +:04B73700000000000E +:04B73800000000000D +:04B73900000000000C +:04B73A00000000000B +:04B73B00000000000A +:04B73C000000000009 +:04B73D000000000008 +:04B73E000000000007 +:04B73F000000000006 +:04B740000000000005 +:04B741000000000004 +:04B742000000000003 +:04B743000000000002 +:04B744000000000001 +:04B745000000000000 +:04B7460000000000FF +:04B7470000000000FE +:04B7480000000000FD +:04B7490000000000FC +:04B74A0000000000FB +:04B74B0000000000FA +:04B74C0000000000F9 +:04B74D0000000000F8 +:04B74E0000000000F7 +:04B74F0000000000F6 +:04B7500000000000F5 +:04B7510000000000F4 +:04B7520000000000F3 +:04B7530000000000F2 +:04B7540000000000F1 +:04B7550000000000F0 +:04B7560000000000EF +:04B7570000000000EE +:04B7580000000000ED +:04B7590000000000EC +:04B75A0000000000EB +:04B75B0000000000EA +:04B75C0000000000E9 +:04B75D0000000000E8 +:04B75E0000000000E7 +:04B75F0000000000E6 +:04B7600000000000E5 +:04B7610000000000E4 +:04B7620000000000E3 +:04B7630000000000E2 +:04B7640000000000E1 +:04B7650000000000E0 +:04B7660000000000DF +:04B7670000000000DE +:04B7680000000000DD +:04B7690000000000DC +:04B76A0000000000DB +:04B76B0000000000DA +:04B76C0000000000D9 +:04B76D0000000000D8 +:04B76E0000000000D7 +:04B76F0000000000D6 +:04B7700000000000D5 +:04B7710000000000D4 +:04B7720000000000D3 +:04B7730000000000D2 +:04B7740000000000D1 +:04B7750000000000D0 +:04B7760000000000CF +:04B7770000000000CE +:04B7780000000000CD +:04B7790000000000CC +:04B77A0000000000CB +:04B77B0000000000CA +:04B77C0000000000C9 +:04B77D0000000000C8 +:04B77E0000000000C7 +:04B77F0000000000C6 +:04B7800000000000C5 +:04B7810000000000C4 +:04B7820000000000C3 +:04B7830000000000C2 +:04B7840000000000C1 +:04B7850000000000C0 +:04B7860000000000BF +:04B7870000000000BE +:04B7880000000000BD +:04B7890000000000BC +:04B78A0000000000BB +:04B78B0000000000BA +:04B78C0000000000B9 +:04B78D0000000000B8 +:04B78E0000000000B7 +:04B78F0000000000B6 +:04B7900000000000B5 +:04B7910000000000B4 +:04B7920000000000B3 +:04B7930000000000B2 +:04B7940000000000B1 +:04B7950000000000B0 +:04B7960000000000AF +:04B7970000000000AE +:04B7980000000000AD +:04B7990000000000AC +:04B79A0000000000AB +:04B79B0000000000AA +:04B79C0000000000A9 +:04B79D0000000000A8 +:04B79E0000000000A7 +:04B79F0000000000A6 +:04B7A00000000000A5 +:04B7A10000000000A4 +:04B7A20000000000A3 +:04B7A30000000000A2 +:04B7A40000000000A1 +:04B7A50000000000A0 +:04B7A600000000009F +:04B7A700000000009E +:04B7A800000000009D +:04B7A900000000009C +:04B7AA00000000009B +:04B7AB00000000009A +:04B7AC000000000099 +:04B7AD000000000098 +:04B7AE000000000097 +:04B7AF000000000096 +:04B7B0000000000095 +:04B7B1000000000094 +:04B7B2000000000093 +:04B7B3000000000092 +:04B7B4000000000091 +:04B7B5000000000090 +:04B7B600000000008F +:04B7B700000000008E +:04B7B800000000008D +:04B7B900000000008C +:04B7BA00000000008B +:04B7BB00000000008A +:04B7BC000000000089 +:04B7BD000000000088 +:04B7BE000000000087 +:04B7BF000000000086 +:04B7C0000000000085 +:04B7C1000000000084 +:04B7C2000000000083 +:04B7C3000000000082 +:04B7C4000000000081 +:04B7C5000000000080 +:04B7C600000000007F +:04B7C700000000007E +:04B7C800000000007D +:04B7C900000000007C +:04B7CA00000000007B +:04B7CB00000000007A +:04B7CC000000000079 +:04B7CD000000000078 +:04B7CE000000000077 +:04B7CF000000000076 +:04B7D0000000000075 +:04B7D1000000000074 +:04B7D2000000000073 +:04B7D3000000000072 +:04B7D4000000000071 +:04B7D5000000000070 +:04B7D600000000006F +:04B7D700000000006E +:04B7D800000000006D +:04B7D900000000006C +:04B7DA00000000006B +:04B7DB00000000006A +:04B7DC000000000069 +:04B7DD000000000068 +:04B7DE000000000067 +:04B7DF000000000066 +:04B7E0000000000065 +:04B7E1000000000064 +:04B7E2000000000063 +:04B7E3000000000062 +:04B7E4000000000061 +:04B7E5000000000060 +:04B7E600000000005F +:04B7E700000000005E +:04B7E800000000005D +:04B7E900000000005C +:04B7EA00000000005B +:04B7EB00000000005A +:04B7EC000000000059 +:04B7ED000000000058 +:04B7EE000000000057 +:04B7EF000000000056 +:04B7F0000000000055 +:04B7F1000000000054 +:04B7F2000000000053 +:04B7F3000000000052 +:04B7F4000000000051 +:04B7F5000000000050 +:04B7F600000000004F +:04B7F700000000004E +:04B7F800000000004D +:04B7F900000000004C +:04B7FA00000000004B +:04B7FB00000000004A +:04B7FC000000000049 +:04B7FD000000000048 +:04B7FE000000000047 +:04B7FF000000000046 +:04B800000000000044 +:04B801000000000043 +:04B802000000000042 +:04B803000000000041 +:04B804000000000040 +:04B80500000000003F +:04B80600000000003E +:04B80700000000003D +:04B80800000000003C +:04B80900000000003B +:04B80A00000000003A +:04B80B000000000039 +:04B80C000000000038 +:04B80D000000000037 +:04B80E000000000036 +:04B80F000000000035 +:04B810000000000034 +:04B811000000000033 +:04B812000000000032 +:04B813000000000031 +:04B814000000000030 +:04B81500000000002F +:04B81600000000002E +:04B81700000000002D +:04B81800000000002C +:04B81900000000002B +:04B81A00000000002A +:04B81B000000000029 +:04B81C000000000028 +:04B81D000000000027 +:04B81E000000000026 +:04B81F000000000025 +:04B820000000000024 +:04B821000000000023 +:04B822000000000022 +:04B823000000000021 +:04B824000000000020 +:04B82500000000001F +:04B82600000000001E +:04B82700000000001D +:04B82800000000001C +:04B82900000000001B +:04B82A00000000001A +:04B82B000000000019 +:04B82C000000000018 +:04B82D000000000017 +:04B82E000000000016 +:04B82F000000000015 +:04B830000000000014 +:04B831000000000013 +:04B832000000000012 +:04B833000000000011 +:04B834000000000010 +:04B83500000000000F +:04B83600000000000E +:04B83700000000000D +:04B83800000000000C +:04B83900000000000B +:04B83A00000000000A +:04B83B000000000009 +:04B83C000000000008 +:04B83D000000000007 +:04B83E000000000006 +:04B83F000000000005 +:04B840000000000004 +:04B841000000000003 +:04B842000000000002 +:04B843000000000001 +:04B844000000000000 +:04B8450000000000FF +:04B8460000000000FE +:04B8470000000000FD +:04B8480000000000FC +:04B8490000000000FB +:04B84A0000000000FA +:04B84B0000000000F9 +:04B84C0000000000F8 +:04B84D0000000000F7 +:04B84E0000000000F6 +:04B84F0000000000F5 +:04B8500000000000F4 +:04B8510000000000F3 +:04B8520000000000F2 +:04B8530000000000F1 +:04B8540000000000F0 +:04B8550000000000EF +:04B8560000000000EE +:04B8570000000000ED +:04B8580000000000EC +:04B8590000000000EB +:04B85A0000000000EA +:04B85B0000000000E9 +:04B85C0000000000E8 +:04B85D0000000000E7 +:04B85E0000000000E6 +:04B85F0000000000E5 +:04B8600000000000E4 +:04B8610000000000E3 +:04B8620000000000E2 +:04B8630000000000E1 +:04B8640000000000E0 +:04B8650000000000DF +:04B8660000000000DE +:04B8670000000000DD +:04B8680000000000DC +:04B8690000000000DB +:04B86A0000000000DA +:04B86B0000000000D9 +:04B86C0000000000D8 +:04B86D0000000000D7 +:04B86E0000000000D6 +:04B86F0000000000D5 +:04B8700000000000D4 +:04B8710000000000D3 +:04B8720000000000D2 +:04B8730000000000D1 +:04B8740000000000D0 +:04B8750000000000CF +:04B8760000000000CE +:04B8770000000000CD +:04B8780000000000CC +:04B8790000000000CB +:04B87A0000000000CA +:04B87B0000000000C9 +:04B87C0000000000C8 +:04B87D0000000000C7 +:04B87E0000000000C6 +:04B87F0000000000C5 +:04B8800000000000C4 +:04B8810000000000C3 +:04B8820000000000C2 +:04B8830000000000C1 +:04B8840000000000C0 +:04B8850000000000BF +:04B8860000000000BE +:04B8870000000000BD +:04B8880000000000BC +:04B8890000000000BB +:04B88A0000000000BA +:04B88B0000000000B9 +:04B88C0000000000B8 +:04B88D0000000000B7 +:04B88E0000000000B6 +:04B88F0000000000B5 +:04B8900000000000B4 +:04B8910000000000B3 +:04B8920000000000B2 +:04B8930000000000B1 +:04B8940000000000B0 +:04B8950000000000AF +:04B8960000000000AE +:04B8970000000000AD +:04B8980000000000AC +:04B8990000000000AB +:04B89A0000000000AA +:04B89B0000000000A9 +:04B89C0000000000A8 +:04B89D0000000000A7 +:04B89E0000000000A6 +:04B89F0000000000A5 +:04B8A00000000000A4 +:04B8A10000000000A3 +:04B8A20000000000A2 +:04B8A30000000000A1 +:04B8A40000000000A0 +:04B8A500000000009F +:04B8A600000000009E +:04B8A700000000009D +:04B8A800000000009C +:04B8A900000000009B +:04B8AA00000000009A +:04B8AB000000000099 +:04B8AC000000000098 +:04B8AD000000000097 +:04B8AE000000000096 +:04B8AF000000000095 +:04B8B0000000000094 +:04B8B1000000000093 +:04B8B2000000000092 +:04B8B3000000000091 +:04B8B4000000000090 +:04B8B500000000008F +:04B8B600000000008E +:04B8B700000000008D +:04B8B800000000008C +:04B8B900000000008B +:04B8BA00000000008A +:04B8BB000000000089 +:04B8BC000000000088 +:04B8BD000000000087 +:04B8BE000000000086 +:04B8BF000000000085 +:04B8C0000000000084 +:04B8C1000000000083 +:04B8C2000000000082 +:04B8C3000000000081 +:04B8C4000000000080 +:04B8C500000000007F +:04B8C600000000007E +:04B8C700000000007D +:04B8C800000000007C +:04B8C900000000007B +:04B8CA00000000007A +:04B8CB000000000079 +:04B8CC000000000078 +:04B8CD000000000077 +:04B8CE000000000076 +:04B8CF000000000075 +:04B8D0000000000074 +:04B8D1000000000073 +:04B8D2000000000072 +:04B8D3000000000071 +:04B8D4000000000070 +:04B8D500000000006F +:04B8D600000000006E +:04B8D700000000006D +:04B8D800000000006C +:04B8D900000000006B +:04B8DA00000000006A +:04B8DB000000000069 +:04B8DC000000000068 +:04B8DD000000000067 +:04B8DE000000000066 +:04B8DF000000000065 +:04B8E0000000000064 +:04B8E1000000000063 +:04B8E2000000000062 +:04B8E3000000000061 +:04B8E4000000000060 +:04B8E500000000005F +:04B8E600000000005E +:04B8E700000000005D +:04B8E800000000005C +:04B8E900000000005B +:04B8EA00000000005A +:04B8EB000000000059 +:04B8EC000000000058 +:04B8ED000000000057 +:04B8EE000000000056 +:04B8EF000000000055 +:04B8F0000000000054 +:04B8F1000000000053 +:04B8F2000000000052 +:04B8F3000000000051 +:04B8F4000000000050 +:04B8F500000000004F +:04B8F600000000004E +:04B8F700000000004D +:04B8F800000000004C +:04B8F900000000004B +:04B8FA00000000004A +:04B8FB000000000049 +:04B8FC000000000048 +:04B8FD000000000047 +:04B8FE000000000046 +:04B8FF000000000045 +:04B900000000000043 +:04B901000000000042 +:04B902000000000041 +:04B903000000000040 +:04B90400000000003F +:04B90500000000003E +:04B90600000000003D +:04B90700000000003C +:04B90800000000003B +:04B90900000000003A +:04B90A000000000039 +:04B90B000000000038 +:04B90C000000000037 +:04B90D000000000036 +:04B90E000000000035 +:04B90F000000000034 +:04B910000000000033 +:04B911000000000032 +:04B912000000000031 +:04B913000000000030 +:04B91400000000002F +:04B91500000000002E +:04B91600000000002D +:04B91700000000002C +:04B91800000000002B +:04B91900000000002A +:04B91A000000000029 +:04B91B000000000028 +:04B91C000000000027 +:04B91D000000000026 +:04B91E000000000025 +:04B91F000000000024 +:04B920000000000023 +:04B921000000000022 +:04B922000000000021 +:04B923000000000020 +:04B92400000000001F +:04B92500000000001E +:04B92600000000001D +:04B92700000000001C +:04B92800000000001B +:04B92900000000001A +:04B92A000000000019 +:04B92B000000000018 +:04B92C000000000017 +:04B92D000000000016 +:04B92E000000000015 +:04B92F000000000014 +:04B930000000000013 +:04B931000000000012 +:04B932000000000011 +:04B933000000000010 +:04B93400000000000F +:04B93500000000000E +:04B93600000000000D +:04B93700000000000C +:04B93800000000000B +:04B93900000000000A +:04B93A000000000009 +:04B93B000000000008 +:04B93C000000000007 +:04B93D000000000006 +:04B93E000000000005 +:04B93F000000000004 +:04B940000000000003 +:04B941000000000002 +:04B942000000000001 +:04B943000000000000 +:04B9440000000000FF +:04B9450000000000FE +:04B9460000000000FD +:04B9470000000000FC +:04B9480000000000FB +:04B9490000000000FA +:04B94A0000000000F9 +:04B94B0000000000F8 +:04B94C0000000000F7 +:04B94D0000000000F6 +:04B94E0000000000F5 +:04B94F0000000000F4 +:04B9500000000000F3 +:04B9510000000000F2 +:04B9520000000000F1 +:04B9530000000000F0 +:04B9540000000000EF +:04B9550000000000EE +:04B9560000000000ED +:04B9570000000000EC +:04B9580000000000EB +:04B9590000000000EA +:04B95A0000000000E9 +:04B95B0000000000E8 +:04B95C0000000000E7 +:04B95D0000000000E6 +:04B95E0000000000E5 +:04B95F0000000000E4 +:04B9600000000000E3 +:04B9610000000000E2 +:04B9620000000000E1 +:04B9630000000000E0 +:04B9640000000000DF +:04B9650000000000DE +:04B9660000000000DD +:04B9670000000000DC +:04B9680000000000DB +:04B9690000000000DA +:04B96A0000000000D9 +:04B96B0000000000D8 +:04B96C0000000000D7 +:04B96D0000000000D6 +:04B96E0000000000D5 +:04B96F0000000000D4 +:04B9700000000000D3 +:04B9710000000000D2 +:04B9720000000000D1 +:04B9730000000000D0 +:04B9740000000000CF +:04B9750000000000CE +:04B9760000000000CD +:04B9770000000000CC +:04B9780000000000CB +:04B9790000000000CA +:04B97A0000000000C9 +:04B97B0000000000C8 +:04B97C0000000000C7 +:04B97D0000000000C6 +:04B97E0000000000C5 +:04B97F0000000000C4 +:04B9800000000000C3 +:04B9810000000000C2 +:04B9820000000000C1 +:04B9830000000000C0 +:04B9840000000000BF +:04B9850000000000BE +:04B9860000000000BD +:04B9870000000000BC +:04B9880000000000BB +:04B9890000000000BA +:04B98A0000000000B9 +:04B98B0000000000B8 +:04B98C0000000000B7 +:04B98D0000000000B6 +:04B98E0000000000B5 +:04B98F0000000000B4 +:04B9900000000000B3 +:04B9910000000000B2 +:04B9920000000000B1 +:04B9930000000000B0 +:04B9940000000000AF +:04B9950000000000AE +:04B9960000000000AD +:04B9970000000000AC +:04B9980000000000AB +:04B9990000000000AA +:04B99A0000000000A9 +:04B99B0000000000A8 +:04B99C0000000000A7 +:04B99D0000000000A6 +:04B99E0000000000A5 +:04B99F0000000000A4 +:04B9A00000000000A3 +:04B9A10000000000A2 +:04B9A20000000000A1 +:04B9A30000000000A0 +:04B9A400000000009F +:04B9A500000000009E +:04B9A600000000009D +:04B9A700000000009C +:04B9A800000000009B +:04B9A900000000009A +:04B9AA000000000099 +:04B9AB000000000098 +:04B9AC000000000097 +:04B9AD000000000096 +:04B9AE000000000095 +:04B9AF000000000094 +:04B9B0000000000093 +:04B9B1000000000092 +:04B9B2000000000091 +:04B9B3000000000090 +:04B9B400000000008F +:04B9B500000000008E +:04B9B600000000008D +:04B9B700000000008C +:04B9B800000000008B +:04B9B900000000008A +:04B9BA000000000089 +:04B9BB000000000088 +:04B9BC000000000087 +:04B9BD000000000086 +:04B9BE000000000085 +:04B9BF000000000084 +:04B9C0000000000083 +:04B9C1000000000082 +:04B9C2000000000081 +:04B9C3000000000080 +:04B9C400000000007F +:04B9C500000000007E +:04B9C600000000007D +:04B9C700000000007C +:04B9C800000000007B +:04B9C900000000007A +:04B9CA000000000079 +:04B9CB000000000078 +:04B9CC000000000077 +:04B9CD000000000076 +:04B9CE000000000075 +:04B9CF000000000074 +:04B9D0000000000073 +:04B9D1000000000072 +:04B9D2000000000071 +:04B9D3000000000070 +:04B9D400000000006F +:04B9D500000000006E +:04B9D600000000006D +:04B9D700000000006C +:04B9D800000000006B +:04B9D900000000006A +:04B9DA000000000069 +:04B9DB000000000068 +:04B9DC000000000067 +:04B9DD000000000066 +:04B9DE000000000065 +:04B9DF000000000064 +:04B9E0000000000063 +:04B9E1000000000062 +:04B9E2000000000061 +:04B9E3000000000060 +:04B9E400000000005F +:04B9E500000000005E +:04B9E600000000005D +:04B9E700000000005C +:04B9E800000000005B +:04B9E900000000005A +:04B9EA000000000059 +:04B9EB000000000058 +:04B9EC000000000057 +:04B9ED000000000056 +:04B9EE000000000055 +:04B9EF000000000054 +:04B9F0000000000053 +:04B9F1000000000052 +:04B9F2000000000051 +:04B9F3000000000050 +:04B9F400000000004F +:04B9F500000000004E +:04B9F600000000004D +:04B9F700000000004C +:04B9F800000000004B +:04B9F900000000004A +:04B9FA000000000049 +:04B9FB000000000048 +:04B9FC000000000047 +:04B9FD000000000046 +:04B9FE000000000045 +:04B9FF000000000044 +:04BA00000000000042 +:04BA01000000000041 +:04BA02000000000040 +:04BA0300000000003F +:04BA0400000000003E +:04BA0500000000003D +:04BA0600000000003C +:04BA0700000000003B +:04BA0800000000003A +:04BA09000000000039 +:04BA0A000000000038 +:04BA0B000000000037 +:04BA0C000000000036 +:04BA0D000000000035 +:04BA0E000000000034 +:04BA0F000000000033 +:04BA10000000000032 +:04BA11000000000031 +:04BA12000000000030 +:04BA1300000000002F +:04BA1400000000002E +:04BA1500000000002D +:04BA1600000000002C +:04BA1700000000002B +:04BA1800000000002A +:04BA19000000000029 +:04BA1A000000000028 +:04BA1B000000000027 +:04BA1C000000000026 +:04BA1D000000000025 +:04BA1E000000000024 +:04BA1F000000000023 +:04BA20000000000022 +:04BA21000000000021 +:04BA22000000000020 +:04BA2300000000001F +:04BA2400000000001E +:04BA2500000000001D +:04BA2600000000001C +:04BA2700000000001B +:04BA2800000000001A +:04BA29000000000019 +:04BA2A000000000018 +:04BA2B000000000017 +:04BA2C000000000016 +:04BA2D000000000015 +:04BA2E000000000014 +:04BA2F000000000013 +:04BA30000000000012 +:04BA31000000000011 +:04BA32000000000010 +:04BA3300000000000F +:04BA3400000000000E +:04BA3500000000000D +:04BA3600000000000C +:04BA3700000000000B +:04BA3800000000000A +:04BA39000000000009 +:04BA3A000000000008 +:04BA3B000000000007 +:04BA3C000000000006 +:04BA3D000000000005 +:04BA3E000000000004 +:04BA3F000000000003 +:04BA40000000000002 +:04BA41000000000001 +:04BA42000000000000 +:04BA430000000000FF +:04BA440000000000FE +:04BA450000000000FD +:04BA460000000000FC +:04BA470000000000FB +:04BA480000000000FA +:04BA490000000000F9 +:04BA4A0000000000F8 +:04BA4B0000000000F7 +:04BA4C0000000000F6 +:04BA4D0000000000F5 +:04BA4E0000000000F4 +:04BA4F0000000000F3 +:04BA500000000000F2 +:04BA510000000000F1 +:04BA520000000000F0 +:04BA530000000000EF +:04BA540000000000EE +:04BA550000000000ED +:04BA560000000000EC +:04BA570000000000EB +:04BA580000000000EA +:04BA590000000000E9 +:04BA5A0000000000E8 +:04BA5B0000000000E7 +:04BA5C0000000000E6 +:04BA5D0000000000E5 +:04BA5E0000000000E4 +:04BA5F0000000000E3 +:04BA600000000000E2 +:04BA610000000000E1 +:04BA620000000000E0 +:04BA630000000000DF +:04BA640000000000DE +:04BA650000000000DD +:04BA660000000000DC +:04BA670000000000DB +:04BA680000000000DA +:04BA690000000000D9 +:04BA6A0000000000D8 +:04BA6B0000000000D7 +:04BA6C0000000000D6 +:04BA6D0000000000D5 +:04BA6E0000000000D4 +:04BA6F0000000000D3 +:04BA700000000000D2 +:04BA710000000000D1 +:04BA720000000000D0 +:04BA730000000000CF +:04BA740000000000CE +:04BA750000000000CD +:04BA760000000000CC +:04BA770000000000CB +:04BA780000000000CA +:04BA790000000000C9 +:04BA7A0000000000C8 +:04BA7B0000000000C7 +:04BA7C0000000000C6 +:04BA7D0000000000C5 +:04BA7E0000000000C4 +:04BA7F0000000000C3 +:04BA800000000000C2 +:04BA810000000000C1 +:04BA820000000000C0 +:04BA830000000000BF +:04BA840000000000BE +:04BA850000000000BD +:04BA860000000000BC +:04BA870000000000BB +:04BA880000000000BA +:04BA890000000000B9 +:04BA8A0000000000B8 +:04BA8B0000000000B7 +:04BA8C0000000000B6 +:04BA8D0000000000B5 +:04BA8E0000000000B4 +:04BA8F0000000000B3 +:04BA900000000000B2 +:04BA910000000000B1 +:04BA920000000000B0 +:04BA930000000000AF +:04BA940000000000AE +:04BA950000000000AD +:04BA960000000000AC +:04BA970000000000AB +:04BA980000000000AA +:04BA990000000000A9 +:04BA9A0000000000A8 +:04BA9B0000000000A7 +:04BA9C0000000000A6 +:04BA9D0000000000A5 +:04BA9E0000000000A4 +:04BA9F0000000000A3 +:04BAA00000000000A2 +:04BAA10000000000A1 +:04BAA20000000000A0 +:04BAA300000000009F +:04BAA400000000009E +:04BAA500000000009D +:04BAA600000000009C +:04BAA700000000009B +:04BAA800000000009A +:04BAA9000000000099 +:04BAAA000000000098 +:04BAAB000000000097 +:04BAAC000000000096 +:04BAAD000000000095 +:04BAAE000000000094 +:04BAAF000000000093 +:04BAB0000000000092 +:04BAB1000000000091 +:04BAB2000000000090 +:04BAB300000000008F +:04BAB400000000008E +:04BAB500000000008D +:04BAB600000000008C +:04BAB700000000008B +:04BAB800000000008A +:04BAB9000000000089 +:04BABA000000000088 +:04BABB000000000087 +:04BABC000000000086 +:04BABD000000000085 +:04BABE000000000084 +:04BABF000000000083 +:04BAC0000000000082 +:04BAC1000000000081 +:04BAC2000000000080 +:04BAC300000000007F +:04BAC400000000007E +:04BAC500000000007D +:04BAC600000000007C +:04BAC700000000007B +:04BAC800000000007A +:04BAC9000000000079 +:04BACA000000000078 +:04BACB000000000077 +:04BACC000000000076 +:04BACD000000000075 +:04BACE000000000074 +:04BACF000000000073 +:04BAD0000000000072 +:04BAD1000000000071 +:04BAD2000000000070 +:04BAD300000000006F +:04BAD400000000006E +:04BAD500000000006D +:04BAD600000000006C +:04BAD700000000006B +:04BAD800000000006A +:04BAD9000000000069 +:04BADA000000000068 +:04BADB000000000067 +:04BADC000000000066 +:04BADD000000000065 +:04BADE000000000064 +:04BADF000000000063 +:04BAE0000000000062 +:04BAE1000000000061 +:04BAE2000000000060 +:04BAE300000000005F +:04BAE400000000005E +:04BAE500000000005D +:04BAE600000000005C +:04BAE700000000005B +:04BAE800000000005A +:04BAE9000000000059 +:04BAEA000000000058 +:04BAEB000000000057 +:04BAEC000000000056 +:04BAED000000000055 +:04BAEE000000000054 +:04BAEF000000000053 +:04BAF0000000000052 +:04BAF1000000000051 +:04BAF2000000000050 +:04BAF300000000004F +:04BAF400000000004E +:04BAF500000000004D +:04BAF600000000004C +:04BAF700000000004B +:04BAF800000000004A +:04BAF9000000000049 +:04BAFA000000000048 +:04BAFB000000000047 +:04BAFC000000000046 +:04BAFD000000000045 +:04BAFE000000000044 +:04BAFF000000000043 +:04BB00000000000041 +:04BB01000000000040 +:04BB0200000000003F +:04BB0300000000003E +:04BB0400000000003D +:04BB0500000000003C +:04BB0600000000003B +:04BB0700000000003A +:04BB08000000000039 +:04BB09000000000038 +:04BB0A000000000037 +:04BB0B000000000036 +:04BB0C000000000035 +:04BB0D000000000034 +:04BB0E000000000033 +:04BB0F000000000032 +:04BB10000000000031 +:04BB11000000000030 +:04BB1200000000002F +:04BB1300000000002E +:04BB1400000000002D +:04BB1500000000002C +:04BB1600000000002B +:04BB1700000000002A +:04BB18000000000029 +:04BB19000000000028 +:04BB1A000000000027 +:04BB1B000000000026 +:04BB1C000000000025 +:04BB1D000000000024 +:04BB1E000000000023 +:04BB1F000000000022 +:04BB20000000000021 +:04BB21000000000020 +:04BB2200000000001F +:04BB2300000000001E +:04BB2400000000001D +:04BB2500000000001C +:04BB2600000000001B +:04BB2700000000001A +:04BB28000000000019 +:04BB29000000000018 +:04BB2A000000000017 +:04BB2B000000000016 +:04BB2C000000000015 +:04BB2D000000000014 +:04BB2E000000000013 +:04BB2F000000000012 +:04BB30000000000011 +:04BB31000000000010 +:04BB3200000000000F +:04BB3300000000000E +:04BB3400000000000D +:04BB3500000000000C +:04BB3600000000000B +:04BB3700000000000A +:04BB38000000000009 +:04BB39000000000008 +:04BB3A000000000007 +:04BB3B000000000006 +:04BB3C000000000005 +:04BB3D000000000004 +:04BB3E000000000003 +:04BB3F000000000002 +:04BB40000000000001 +:04BB41000000000000 +:04BB420000000000FF +:04BB430000000000FE +:04BB440000000000FD +:04BB450000000000FC +:04BB460000000000FB +:04BB470000000000FA +:04BB480000000000F9 +:04BB490000000000F8 +:04BB4A0000000000F7 +:04BB4B0000000000F6 +:04BB4C0000000000F5 +:04BB4D0000000000F4 +:04BB4E0000000000F3 +:04BB4F0000000000F2 +:04BB500000000000F1 +:04BB510000000000F0 +:04BB520000000000EF +:04BB530000000000EE +:04BB540000000000ED +:04BB550000000000EC +:04BB560000000000EB +:04BB570000000000EA +:04BB580000000000E9 +:04BB590000000000E8 +:04BB5A0000000000E7 +:04BB5B0000000000E6 +:04BB5C0000000000E5 +:04BB5D0000000000E4 +:04BB5E0000000000E3 +:04BB5F0000000000E2 +:04BB600000000000E1 +:04BB610000000000E0 +:04BB620000000000DF +:04BB630000000000DE +:04BB640000000000DD +:04BB650000000000DC +:04BB660000000000DB +:04BB670000000000DA +:04BB680000000000D9 +:04BB690000000000D8 +:04BB6A0000000000D7 +:04BB6B0000000000D6 +:04BB6C0000000000D5 +:04BB6D0000000000D4 +:04BB6E0000000000D3 +:04BB6F0000000000D2 +:04BB700000000000D1 +:04BB710000000000D0 +:04BB720000000000CF +:04BB730000000000CE +:04BB740000000000CD +:04BB750000000000CC +:04BB760000000000CB +:04BB770000000000CA +:04BB780000000000C9 +:04BB790000000000C8 +:04BB7A0000000000C7 +:04BB7B0000000000C6 +:04BB7C0000000000C5 +:04BB7D0000000000C4 +:04BB7E0000000000C3 +:04BB7F0000000000C2 +:04BB800000000000C1 +:04BB810000000000C0 +:04BB820000000000BF +:04BB830000000000BE +:04BB840000000000BD +:04BB850000000000BC +:04BB860000000000BB +:04BB870000000000BA +:04BB880000000000B9 +:04BB890000000000B8 +:04BB8A0000000000B7 +:04BB8B0000000000B6 +:04BB8C0000000000B5 +:04BB8D0000000000B4 +:04BB8E0000000000B3 +:04BB8F0000000000B2 +:04BB900000000000B1 +:04BB910000000000B0 +:04BB920000000000AF +:04BB930000000000AE +:04BB940000000000AD +:04BB950000000000AC +:04BB960000000000AB +:04BB970000000000AA +:04BB980000000000A9 +:04BB990000000000A8 +:04BB9A0000000000A7 +:04BB9B0000000000A6 +:04BB9C0000000000A5 +:04BB9D0000000000A4 +:04BB9E0000000000A3 +:04BB9F0000000000A2 +:04BBA00000000000A1 +:04BBA10000000000A0 +:04BBA200000000009F +:04BBA300000000009E +:04BBA400000000009D +:04BBA500000000009C +:04BBA600000000009B +:04BBA700000000009A +:04BBA8000000000099 +:04BBA9000000000098 +:04BBAA000000000097 +:04BBAB000000000096 +:04BBAC000000000095 +:04BBAD000000000094 +:04BBAE000000000093 +:04BBAF000000000092 +:04BBB0000000000091 +:04BBB1000000000090 +:04BBB200000000008F +:04BBB300000000008E +:04BBB400000000008D +:04BBB500000000008C +:04BBB600000000008B +:04BBB700000000008A +:04BBB8000000000089 +:04BBB9000000000088 +:04BBBA000000000087 +:04BBBB000000000086 +:04BBBC000000000085 +:04BBBD000000000084 +:04BBBE000000000083 +:04BBBF000000000082 +:04BBC0000000000081 +:04BBC1000000000080 +:04BBC200000000007F +:04BBC300000000007E +:04BBC400000000007D +:04BBC500000000007C +:04BBC600000000007B +:04BBC700000000007A +:04BBC8000000000079 +:04BBC9000000000078 +:04BBCA000000000077 +:04BBCB000000000076 +:04BBCC000000000075 +:04BBCD000000000074 +:04BBCE000000000073 +:04BBCF000000000072 +:04BBD0000000000071 +:04BBD1000000000070 +:04BBD200000000006F +:04BBD300000000006E +:04BBD400000000006D +:04BBD500000000006C +:04BBD600000000006B +:04BBD700000000006A +:04BBD8000000000069 +:04BBD9000000000068 +:04BBDA000000000067 +:04BBDB000000000066 +:04BBDC000000000065 +:04BBDD000000000064 +:04BBDE000000000063 +:04BBDF000000000062 +:04BBE0000000000061 +:04BBE1000000000060 +:04BBE200000000005F +:04BBE300000000005E +:04BBE400000000005D +:04BBE500000000005C +:04BBE600000000005B +:04BBE700000000005A +:04BBE8000000000059 +:04BBE9000000000058 +:04BBEA000000000057 +:04BBEB000000000056 +:04BBEC000000000055 +:04BBED000000000054 +:04BBEE000000000053 +:04BBEF000000000052 +:04BBF0000000000051 +:04BBF1000000000050 +:04BBF200000000004F +:04BBF300000000004E +:04BBF400000000004D +:04BBF500000000004C +:04BBF600000000004B +:04BBF700000000004A +:04BBF8000000000049 +:04BBF9000000000048 +:04BBFA000000000047 +:04BBFB000000000046 +:04BBFC000000000045 +:04BBFD000000000044 +:04BBFE000000000043 +:04BBFF000000000042 +:04BC00000000000040 +:04BC0100000000003F +:04BC0200000000003E +:04BC0300000000003D +:04BC0400000000003C +:04BC0500000000003B +:04BC0600000000003A +:04BC07000000000039 +:04BC08000000000038 +:04BC09000000000037 +:04BC0A000000000036 +:04BC0B000000000035 +:04BC0C000000000034 +:04BC0D000000000033 +:04BC0E000000000032 +:04BC0F000000000031 +:04BC10000000000030 +:04BC1100000000002F +:04BC1200000000002E +:04BC1300000000002D +:04BC1400000000002C +:04BC1500000000002B +:04BC1600000000002A +:04BC17000000000029 +:04BC18000000000028 +:04BC19000000000027 +:04BC1A000000000026 +:04BC1B000000000025 +:04BC1C000000000024 +:04BC1D000000000023 +:04BC1E000000000022 +:04BC1F000000000021 +:04BC20000000000020 +:04BC2100000000001F +:04BC2200000000001E +:04BC2300000000001D +:04BC2400000000001C +:04BC2500000000001B +:04BC2600000000001A +:04BC27000000000019 +:04BC28000000000018 +:04BC29000000000017 +:04BC2A000000000016 +:04BC2B000000000015 +:04BC2C000000000014 +:04BC2D000000000013 +:04BC2E000000000012 +:04BC2F000000000011 +:04BC30000000000010 +:04BC3100000000000F +:04BC3200000000000E +:04BC3300000000000D +:04BC3400000000000C +:04BC3500000000000B +:04BC3600000000000A +:04BC37000000000009 +:04BC38000000000008 +:04BC39000000000007 +:04BC3A000000000006 +:04BC3B000000000005 +:04BC3C000000000004 +:04BC3D000000000003 +:04BC3E000000000002 +:04BC3F000000000001 +:04BC40000000000000 +:04BC410000000000FF +:04BC420000000000FE +:04BC430000000000FD +:04BC440000000000FC +:04BC450000000000FB +:04BC460000000000FA +:04BC470000000000F9 +:04BC480000000000F8 +:04BC490000000000F7 +:04BC4A0000000000F6 +:04BC4B0000000000F5 +:04BC4C0000000000F4 +:04BC4D0000000000F3 +:04BC4E0000000000F2 +:04BC4F0000000000F1 +:04BC500000000000F0 +:04BC510000000000EF +:04BC520000000000EE +:04BC530000000000ED +:04BC540000000000EC +:04BC550000000000EB +:04BC560000000000EA +:04BC570000000000E9 +:04BC580000000000E8 +:04BC590000000000E7 +:04BC5A0000000000E6 +:04BC5B0000000000E5 +:04BC5C0000000000E4 +:04BC5D0000000000E3 +:04BC5E0000000000E2 +:04BC5F0000000000E1 +:04BC600000000000E0 +:04BC610000000000DF +:04BC620000000000DE +:04BC630000000000DD +:04BC640000000000DC +:04BC650000000000DB +:04BC660000000000DA +:04BC670000000000D9 +:04BC680000000000D8 +:04BC690000000000D7 +:04BC6A0000000000D6 +:04BC6B0000000000D5 +:04BC6C0000000000D4 +:04BC6D0000000000D3 +:04BC6E0000000000D2 +:04BC6F0000000000D1 +:04BC700000000000D0 +:04BC710000000000CF +:04BC720000000000CE +:04BC730000000000CD +:04BC740000000000CC +:04BC750000000000CB +:04BC760000000000CA +:04BC770000000000C9 +:04BC780000000000C8 +:04BC790000000000C7 +:04BC7A0000000000C6 +:04BC7B0000000000C5 +:04BC7C0000000000C4 +:04BC7D0000000000C3 +:04BC7E0000000000C2 +:04BC7F0000000000C1 +:04BC800000000000C0 +:04BC810000000000BF +:04BC820000000000BE +:04BC830000000000BD +:04BC840000000000BC +:04BC850000000000BB +:04BC860000000000BA +:04BC870000000000B9 +:04BC880000000000B8 +:04BC890000000000B7 +:04BC8A0000000000B6 +:04BC8B0000000000B5 +:04BC8C0000000000B4 +:04BC8D0000000000B3 +:04BC8E0000000000B2 +:04BC8F0000000000B1 +:04BC900000000000B0 +:04BC910000000000AF +:04BC920000000000AE +:04BC930000000000AD +:04BC940000000000AC +:04BC950000000000AB +:04BC960000000000AA +:04BC970000000000A9 +:04BC980000000000A8 +:04BC990000000000A7 +:04BC9A0000000000A6 +:04BC9B0000000000A5 +:04BC9C0000000000A4 +:04BC9D0000000000A3 +:04BC9E0000000000A2 +:04BC9F0000000000A1 +:04BCA00000000000A0 +:04BCA100000000009F +:04BCA200000000009E +:04BCA300000000009D +:04BCA400000000009C +:04BCA500000000009B +:04BCA600000000009A +:04BCA7000000000099 +:04BCA8000000000098 +:04BCA9000000000097 +:04BCAA000000000096 +:04BCAB000000000095 +:04BCAC000000000094 +:04BCAD000000000093 +:04BCAE000000000092 +:04BCAF000000000091 +:04BCB0000000000090 +:04BCB100000000008F +:04BCB200000000008E +:04BCB300000000008D +:04BCB400000000008C +:04BCB500000000008B +:04BCB600000000008A +:04BCB7000000000089 +:04BCB8000000000088 +:04BCB9000000000087 +:04BCBA000000000086 +:04BCBB000000000085 +:04BCBC000000000084 +:04BCBD000000000083 +:04BCBE000000000082 +:04BCBF000000000081 +:04BCC0000000000080 +:04BCC100000000007F +:04BCC200000000007E +:04BCC300000000007D +:04BCC400000000007C +:04BCC500000000007B +:04BCC600000000007A +:04BCC7000000000079 +:04BCC8000000000078 +:04BCC9000000000077 +:04BCCA000000000076 +:04BCCB000000000075 +:04BCCC000000000074 +:04BCCD000000000073 +:04BCCE000000000072 +:04BCCF000000000071 +:04BCD0000000000070 +:04BCD100000000006F +:04BCD200000000006E +:04BCD300000000006D +:04BCD400000000006C +:04BCD500000000006B +:04BCD600000000006A +:04BCD7000000000069 +:04BCD8000000000068 +:04BCD9000000000067 +:04BCDA000000000066 +:04BCDB000000000065 +:04BCDC000000000064 +:04BCDD000000000063 +:04BCDE000000000062 +:04BCDF000000000061 +:04BCE0000000000060 +:04BCE100000000005F +:04BCE200000000005E +:04BCE300000000005D +:04BCE400000000005C +:04BCE500000000005B +:04BCE600000000005A +:04BCE7000000000059 +:04BCE8000000000058 +:04BCE9000000000057 +:04BCEA000000000056 +:04BCEB000000000055 +:04BCEC000000000054 +:04BCED000000000053 +:04BCEE000000000052 +:04BCEF000000000051 +:04BCF0000000000050 +:04BCF100000000004F +:04BCF200000000004E +:04BCF300000000004D +:04BCF400000000004C +:04BCF500000000004B +:04BCF600000000004A +:04BCF7000000000049 +:04BCF8000000000048 +:04BCF9000000000047 +:04BCFA000000000046 +:04BCFB000000000045 +:04BCFC000000000044 +:04BCFD000000000043 +:04BCFE000000000042 +:04BCFF000000000041 +:04BD0000000000003F +:04BD0100000000003E +:04BD0200000000003D +:04BD0300000000003C +:04BD0400000000003B +:04BD0500000000003A +:04BD06000000000039 +:04BD07000000000038 +:04BD08000000000037 +:04BD09000000000036 +:04BD0A000000000035 +:04BD0B000000000034 +:04BD0C000000000033 +:04BD0D000000000032 +:04BD0E000000000031 +:04BD0F000000000030 +:04BD1000000000002F +:04BD1100000000002E +:04BD1200000000002D +:04BD1300000000002C +:04BD1400000000002B +:04BD1500000000002A +:04BD16000000000029 +:04BD17000000000028 +:04BD18000000000027 +:04BD19000000000026 +:04BD1A000000000025 +:04BD1B000000000024 +:04BD1C000000000023 +:04BD1D000000000022 +:04BD1E000000000021 +:04BD1F000000000020 +:04BD2000000000001F +:04BD2100000000001E +:04BD2200000000001D +:04BD2300000000001C +:04BD2400000000001B +:04BD2500000000001A +:04BD26000000000019 +:04BD27000000000018 +:04BD28000000000017 +:04BD29000000000016 +:04BD2A000000000015 +:04BD2B000000000014 +:04BD2C000000000013 +:04BD2D000000000012 +:04BD2E000000000011 +:04BD2F000000000010 +:04BD3000000000000F +:04BD3100000000000E +:04BD3200000000000D +:04BD3300000000000C +:04BD3400000000000B +:04BD3500000000000A +:04BD36000000000009 +:04BD37000000000008 +:04BD38000000000007 +:04BD39000000000006 +:04BD3A000000000005 +:04BD3B000000000004 +:04BD3C000000000003 +:04BD3D000000000002 +:04BD3E000000000001 +:04BD3F000000000000 +:04BD400000000000FF +:04BD410000000000FE +:04BD420000000000FD +:04BD430000000000FC +:04BD440000000000FB +:04BD450000000000FA +:04BD460000000000F9 +:04BD470000000000F8 +:04BD480000000000F7 +:04BD490000000000F6 +:04BD4A0000000000F5 +:04BD4B0000000000F4 +:04BD4C0000000000F3 +:04BD4D0000000000F2 +:04BD4E0000000000F1 +:04BD4F0000000000F0 +:04BD500000000000EF +:04BD510000000000EE +:04BD520000000000ED +:04BD530000000000EC +:04BD540000000000EB +:04BD550000000000EA +:04BD560000000000E9 +:04BD570000000000E8 +:04BD580000000000E7 +:04BD590000000000E6 +:04BD5A0000000000E5 +:04BD5B0000000000E4 +:04BD5C0000000000E3 +:04BD5D0000000000E2 +:04BD5E0000000000E1 +:04BD5F0000000000E0 +:04BD600000000000DF +:04BD610000000000DE +:04BD620000000000DD +:04BD630000000000DC +:04BD640000000000DB +:04BD650000000000DA +:04BD660000000000D9 +:04BD670000000000D8 +:04BD680000000000D7 +:04BD690000000000D6 +:04BD6A0000000000D5 +:04BD6B0000000000D4 +:04BD6C0000000000D3 +:04BD6D0000000000D2 +:04BD6E0000000000D1 +:04BD6F0000000000D0 +:04BD700000000000CF +:04BD710000000000CE +:04BD720000000000CD +:04BD730000000000CC +:04BD740000000000CB +:04BD750000000000CA +:04BD760000000000C9 +:04BD770000000000C8 +:04BD780000000000C7 +:04BD790000000000C6 +:04BD7A0000000000C5 +:04BD7B0000000000C4 +:04BD7C0000000000C3 +:04BD7D0000000000C2 +:04BD7E0000000000C1 +:04BD7F0000000000C0 +:04BD800000000000BF +:04BD810000000000BE +:04BD820000000000BD +:04BD830000000000BC +:04BD840000000000BB +:04BD850000000000BA +:04BD860000000000B9 +:04BD870000000000B8 +:04BD880000000000B7 +:04BD890000000000B6 +:04BD8A0000000000B5 +:04BD8B0000000000B4 +:04BD8C0000000000B3 +:04BD8D0000000000B2 +:04BD8E0000000000B1 +:04BD8F0000000000B0 +:04BD900000000000AF +:04BD910000000000AE +:04BD920000000000AD +:04BD930000000000AC +:04BD940000000000AB +:04BD950000000000AA +:04BD960000000000A9 +:04BD970000000000A8 +:04BD980000000000A7 +:04BD990000000000A6 +:04BD9A0000000000A5 +:04BD9B0000000000A4 +:04BD9C0000000000A3 +:04BD9D0000000000A2 +:04BD9E0000000000A1 +:04BD9F0000000000A0 +:04BDA000000000009F +:04BDA100000000009E +:04BDA200000000009D +:04BDA300000000009C +:04BDA400000000009B +:04BDA500000000009A +:04BDA6000000000099 +:04BDA7000000000098 +:04BDA8000000000097 +:04BDA9000000000096 +:04BDAA000000000095 +:04BDAB000000000094 +:04BDAC000000000093 +:04BDAD000000000092 +:04BDAE000000000091 +:04BDAF000000000090 +:04BDB000000000008F +:04BDB100000000008E +:04BDB200000000008D +:04BDB300000000008C +:04BDB400000000008B +:04BDB500000000008A +:04BDB6000000000089 +:04BDB7000000000088 +:04BDB8000000000087 +:04BDB9000000000086 +:04BDBA000000000085 +:04BDBB000000000084 +:04BDBC000000000083 +:04BDBD000000000082 +:04BDBE000000000081 +:04BDBF000000000080 +:04BDC000000000007F +:04BDC100000000007E +:04BDC200000000007D +:04BDC300000000007C +:04BDC400000000007B +:04BDC500000000007A +:04BDC6000000000079 +:04BDC7000000000078 +:04BDC8000000000077 +:04BDC9000000000076 +:04BDCA000000000075 +:04BDCB000000000074 +:04BDCC000000000073 +:04BDCD000000000072 +:04BDCE000000000071 +:04BDCF000000000070 +:04BDD000000000006F +:04BDD100000000006E +:04BDD200000000006D +:04BDD300000000006C +:04BDD400000000006B +:04BDD500000000006A +:04BDD6000000000069 +:04BDD7000000000068 +:04BDD8000000000067 +:04BDD9000000000066 +:04BDDA000000000065 +:04BDDB000000000064 +:04BDDC000000000063 +:04BDDD000000000062 +:04BDDE000000000061 +:04BDDF000000000060 +:04BDE000000000005F +:04BDE100000000005E +:04BDE200000000005D +:04BDE300000000005C +:04BDE400000000005B +:04BDE500000000005A +:04BDE6000000000059 +:04BDE7000000000058 +:04BDE8000000000057 +:04BDE9000000000056 +:04BDEA000000000055 +:04BDEB000000000054 +:04BDEC000000000053 +:04BDED000000000052 +:04BDEE000000000051 +:04BDEF000000000050 +:04BDF000000000004F +:04BDF100000000004E +:04BDF200000000004D +:04BDF300000000004C +:04BDF400000000004B +:04BDF500000000004A +:04BDF6000000000049 +:04BDF7000000000048 +:04BDF8000000000047 +:04BDF9000000000046 +:04BDFA000000000045 +:04BDFB000000000044 +:04BDFC000000000043 +:04BDFD000000000042 +:04BDFE000000000041 +:04BDFF000000000040 +:04BE0000000000003E +:04BE0100000000003D +:04BE0200000000003C +:04BE0300000000003B +:04BE0400000000003A +:04BE05000000000039 +:04BE06000000000038 +:04BE07000000000037 +:04BE08000000000036 +:04BE09000000000035 +:04BE0A000000000034 +:04BE0B000000000033 +:04BE0C000000000032 +:04BE0D000000000031 +:04BE0E000000000030 +:04BE0F00000000002F +:04BE1000000000002E +:04BE1100000000002D +:04BE1200000000002C +:04BE1300000000002B +:04BE1400000000002A +:04BE15000000000029 +:04BE16000000000028 +:04BE17000000000027 +:04BE18000000000026 +:04BE19000000000025 +:04BE1A000000000024 +:04BE1B000000000023 +:04BE1C000000000022 +:04BE1D000000000021 +:04BE1E000000000020 +:04BE1F00000000001F +:04BE2000000000001E +:04BE2100000000001D +:04BE2200000000001C +:04BE2300000000001B +:04BE2400000000001A +:04BE25000000000019 +:04BE26000000000018 +:04BE27000000000017 +:04BE28000000000016 +:04BE29000000000015 +:04BE2A000000000014 +:04BE2B000000000013 +:04BE2C000000000012 +:04BE2D000000000011 +:04BE2E000000000010 +:04BE2F00000000000F +:04BE3000000000000E +:04BE3100000000000D +:04BE3200000000000C +:04BE3300000000000B +:04BE3400000000000A +:04BE35000000000009 +:04BE36000000000008 +:04BE37000000000007 +:04BE38000000000006 +:04BE39000000000005 +:04BE3A000000000004 +:04BE3B000000000003 +:04BE3C000000000002 +:04BE3D000000000001 +:04BE3E000000000000 +:04BE3F0000000000FF +:04BE400000000000FE +:04BE410000000000FD +:04BE420000000000FC +:04BE430000000000FB +:04BE440000000000FA +:04BE450000000000F9 +:04BE460000000000F8 +:04BE470000000000F7 +:04BE480000000000F6 +:04BE490000000000F5 +:04BE4A0000000000F4 +:04BE4B0000000000F3 +:04BE4C0000000000F2 +:04BE4D0000000000F1 +:04BE4E0000000000F0 +:04BE4F0000000000EF +:04BE500000000000EE +:04BE510000000000ED +:04BE520000000000EC +:04BE530000000000EB +:04BE540000000000EA +:04BE550000000000E9 +:04BE560000000000E8 +:04BE570000000000E7 +:04BE580000000000E6 +:04BE590000000000E5 +:04BE5A0000000000E4 +:04BE5B0000000000E3 +:04BE5C0000000000E2 +:04BE5D0000000000E1 +:04BE5E0000000000E0 +:04BE5F0000000000DF +:04BE600000000000DE +:04BE610000000000DD +:04BE620000000000DC +:04BE630000000000DB +:04BE640000000000DA +:04BE650000000000D9 +:04BE660000000000D8 +:04BE670000000000D7 +:04BE680000000000D6 +:04BE690000000000D5 +:04BE6A0000000000D4 +:04BE6B0000000000D3 +:04BE6C0000000000D2 +:04BE6D0000000000D1 +:04BE6E0000000000D0 +:04BE6F0000000000CF +:04BE700000000000CE +:04BE710000000000CD +:04BE720000000000CC +:04BE730000000000CB +:04BE740000000000CA +:04BE750000000000C9 +:04BE760000000000C8 +:04BE770000000000C7 +:04BE780000000000C6 +:04BE790000000000C5 +:04BE7A0000000000C4 +:04BE7B0000000000C3 +:04BE7C0000000000C2 +:04BE7D0000000000C1 +:04BE7E0000000000C0 +:04BE7F0000000000BF +:04BE800000000000BE +:04BE810000000000BD +:04BE820000000000BC +:04BE830000000000BB +:04BE840000000000BA +:04BE850000000000B9 +:04BE860000000000B8 +:04BE870000000000B7 +:04BE880000000000B6 +:04BE890000000000B5 +:04BE8A0000000000B4 +:04BE8B0000000000B3 +:04BE8C0000000000B2 +:04BE8D0000000000B1 +:04BE8E0000000000B0 +:04BE8F0000000000AF +:04BE900000000000AE +:04BE910000000000AD +:04BE920000000000AC +:04BE930000000000AB +:04BE940000000000AA +:04BE950000000000A9 +:04BE960000000000A8 +:04BE970000000000A7 +:04BE980000000000A6 +:04BE990000000000A5 +:04BE9A0000000000A4 +:04BE9B0000000000A3 +:04BE9C0000000000A2 +:04BE9D0000000000A1 +:04BE9E0000000000A0 +:04BE9F00000000009F +:04BEA000000000009E +:04BEA100000000009D +:04BEA200000000009C +:04BEA300000000009B +:04BEA400000000009A +:04BEA5000000000099 +:04BEA6000000000098 +:04BEA7000000000097 +:04BEA8000000000096 +:04BEA9000000000095 +:04BEAA000000000094 +:04BEAB000000000093 +:04BEAC000000000092 +:04BEAD000000000091 +:04BEAE000000000090 +:04BEAF00000000008F +:04BEB000000000008E +:04BEB100000000008D +:04BEB200000000008C +:04BEB300000000008B +:04BEB400000000008A +:04BEB5000000000089 +:04BEB6000000000088 +:04BEB7000000000087 +:04BEB8000000000086 +:04BEB9000000000085 +:04BEBA000000000084 +:04BEBB000000000083 +:04BEBC000000000082 +:04BEBD000000000081 +:04BEBE000000000080 +:04BEBF00000000007F +:04BEC000000000007E +:04BEC100000000007D +:04BEC200000000007C +:04BEC300000000007B +:04BEC400000000007A +:04BEC5000000000079 +:04BEC6000000000078 +:04BEC7000000000077 +:04BEC8000000000076 +:04BEC9000000000075 +:04BECA000000000074 +:04BECB000000000073 +:04BECC000000000072 +:04BECD000000000071 +:04BECE000000000070 +:04BECF00000000006F +:04BED000000000006E +:04BED100000000006D +:04BED200000000006C +:04BED300000000006B +:04BED400000000006A +:04BED5000000000069 +:04BED6000000000068 +:04BED7000000000067 +:04BED8000000000066 +:04BED9000000000065 +:04BEDA000000000064 +:04BEDB000000000063 +:04BEDC000000000062 +:04BEDD000000000061 +:04BEDE000000000060 +:04BEDF00000000005F +:04BEE000000000005E +:04BEE100000000005D +:04BEE200000000005C +:04BEE300000000005B +:04BEE400000000005A +:04BEE5000000000059 +:04BEE6000000000058 +:04BEE7000000000057 +:04BEE8000000000056 +:04BEE9000000000055 +:04BEEA000000000054 +:04BEEB000000000053 +:04BEEC000000000052 +:04BEED000000000051 +:04BEEE000000000050 +:04BEEF00000000004F +:04BEF000000000004E +:04BEF100000000004D +:04BEF200000000004C +:04BEF300000000004B +:04BEF400000000004A +:04BEF5000000000049 +:04BEF6000000000048 +:04BEF7000000000047 +:04BEF8000000000046 +:04BEF9000000000045 +:04BEFA000000000044 +:04BEFB000000000043 +:04BEFC000000000042 +:04BEFD000000000041 +:04BEFE000000000040 +:04BEFF00000000003F +:04BF0000000000003D +:04BF0100000000003C +:04BF0200000000003B +:04BF0300000000003A +:04BF04000000000039 +:04BF05000000000038 +:04BF06000000000037 +:04BF07000000000036 +:04BF08000000000035 +:04BF09000000000034 +:04BF0A000000000033 +:04BF0B000000000032 +:04BF0C000000000031 +:04BF0D000000000030 +:04BF0E00000000002F +:04BF0F00000000002E +:04BF1000000000002D +:04BF1100000000002C +:04BF1200000000002B +:04BF1300000000002A +:04BF14000000000029 +:04BF15000000000028 +:04BF16000000000027 +:04BF17000000000026 +:04BF18000000000025 +:04BF19000000000024 +:04BF1A000000000023 +:04BF1B000000000022 +:04BF1C000000000021 +:04BF1D000000000020 +:04BF1E00000000001F +:04BF1F00000000001E +:04BF2000000000001D +:04BF2100000000001C +:04BF2200000000001B +:04BF2300000000001A +:04BF24000000000019 +:04BF25000000000018 +:04BF26000000000017 +:04BF27000000000016 +:04BF28000000000015 +:04BF29000000000014 +:04BF2A000000000013 +:04BF2B000000000012 +:04BF2C000000000011 +:04BF2D000000000010 +:04BF2E00000000000F +:04BF2F00000000000E +:04BF3000000000000D +:04BF3100000000000C +:04BF3200000000000B +:04BF3300000000000A +:04BF34000000000009 +:04BF35000000000008 +:04BF36000000000007 +:04BF37000000000006 +:04BF38000000000005 +:04BF39000000000004 +:04BF3A000000000003 +:04BF3B000000000002 +:04BF3C000000000001 +:04BF3D000000000000 +:04BF3E0000000000FF +:04BF3F0000000000FE +:04BF400000000000FD +:04BF410000000000FC +:04BF420000000000FB +:04BF430000000000FA +:04BF440000000000F9 +:04BF450000000000F8 +:04BF460000000000F7 +:04BF470000000000F6 +:04BF480000000000F5 +:04BF490000000000F4 +:04BF4A0000000000F3 +:04BF4B0000000000F2 +:04BF4C0000000000F1 +:04BF4D0000000000F0 +:04BF4E0000000000EF +:04BF4F0000000000EE +:04BF500000000000ED +:04BF510000000000EC +:04BF520000000000EB +:04BF530000000000EA +:04BF540000000000E9 +:04BF550000000000E8 +:04BF560000000000E7 +:04BF570000000000E6 +:04BF580000000000E5 +:04BF590000000000E4 +:04BF5A0000000000E3 +:04BF5B0000000000E2 +:04BF5C0000000000E1 +:04BF5D0000000000E0 +:04BF5E0000000000DF +:04BF5F0000000000DE +:04BF600000000000DD +:04BF610000000000DC +:04BF620000000000DB +:04BF630000000000DA +:04BF640000000000D9 +:04BF650000000000D8 +:04BF660000000000D7 +:04BF670000000000D6 +:04BF680000000000D5 +:04BF690000000000D4 +:04BF6A0000000000D3 +:04BF6B0000000000D2 +:04BF6C0000000000D1 +:04BF6D0000000000D0 +:04BF6E0000000000CF +:04BF6F0000000000CE +:04BF700000000000CD +:04BF710000000000CC +:04BF720000000000CB +:04BF730000000000CA +:04BF740000000000C9 +:04BF750000000000C8 +:04BF760000000000C7 +:04BF770000000000C6 +:04BF780000000000C5 +:04BF790000000000C4 +:04BF7A0000000000C3 +:04BF7B0000000000C2 +:04BF7C0000000000C1 +:04BF7D0000000000C0 +:04BF7E0000000000BF +:04BF7F0000000000BE +:04BF800000000000BD +:04BF810000000000BC +:04BF820000000000BB +:04BF830000000000BA +:04BF840000000000B9 +:04BF850000000000B8 +:04BF860000000000B7 +:04BF870000000000B6 +:04BF880000000000B5 +:04BF890000000000B4 +:04BF8A0000000000B3 +:04BF8B0000000000B2 +:04BF8C0000000000B1 +:04BF8D0000000000B0 +:04BF8E0000000000AF +:04BF8F0000000000AE +:04BF900000000000AD +:04BF910000000000AC +:04BF920000000000AB +:04BF930000000000AA +:04BF940000000000A9 +:04BF950000000000A8 +:04BF960000000000A7 +:04BF970000000000A6 +:04BF980000000000A5 +:04BF990000000000A4 +:04BF9A0000000000A3 +:04BF9B0000000000A2 +:04BF9C0000000000A1 +:04BF9D0000000000A0 +:04BF9E00000000009F +:04BF9F00000000009E +:04BFA000000000009D +:04BFA100000000009C +:04BFA200000000009B +:04BFA300000000009A +:04BFA4000000000099 +:04BFA5000000000098 +:04BFA6000000000097 +:04BFA7000000000096 +:04BFA8000000000095 +:04BFA9000000000094 +:04BFAA000000000093 +:04BFAB000000000092 +:04BFAC000000000091 +:04BFAD000000000090 +:04BFAE00000000008F +:04BFAF00000000008E +:04BFB000000000008D +:04BFB100000000008C +:04BFB200000000008B +:04BFB300000000008A +:04BFB4000000000089 +:04BFB5000000000088 +:04BFB6000000000087 +:04BFB7000000000086 +:04BFB8000000000085 +:04BFB9000000000084 +:04BFBA000000000083 +:04BFBB000000000082 +:04BFBC000000000081 +:04BFBD000000000080 +:04BFBE00000000007F +:04BFBF00000000007E +:04BFC000000000007D +:04BFC100000000007C +:04BFC200000000007B +:04BFC300000000007A +:04BFC4000000000079 +:04BFC5000000000078 +:04BFC6000000000077 +:04BFC7000000000076 +:04BFC8000000000075 +:04BFC9000000000074 +:04BFCA000000000073 +:04BFCB000000000072 +:04BFCC000000000071 +:04BFCD000000000070 +:04BFCE00000000006F +:04BFCF00000000006E +:04BFD000000000006D +:04BFD100000000006C +:04BFD200000000006B +:04BFD300000000006A +:04BFD4000000000069 +:04BFD5000000000068 +:04BFD6000000000067 +:04BFD7000000000066 +:04BFD8000000000065 +:04BFD9000000000064 +:04BFDA000000000063 +:04BFDB000000000062 +:04BFDC000000000061 +:04BFDD000000000060 +:04BFDE00000000005F +:04BFDF00000000005E +:04BFE000000000005D +:04BFE100000000005C +:04BFE200000000005B +:04BFE300000000005A +:04BFE4000000000059 +:04BFE5000000000058 +:04BFE6000000000057 +:04BFE7000000000056 +:04BFE8000000000055 +:04BFE9000000000054 +:04BFEA000000000053 +:04BFEB000000000052 +:04BFEC000000000051 +:04BFED000000000050 +:04BFEE00000000004F +:04BFEF00000000004E +:04BFF000000000004D +:04BFF100000000004C +:04BFF200000000004B +:04BFF300000000004A +:04BFF4000000000049 +:04BFF5000000000048 +:04BFF6000000000047 +:04BFF7000000000046 +:04BFF8000000000045 +:04BFF9000000000044 +:04BFFA000000000043 +:04BFFB000000000042 +:04BFFC000000000041 +:04BFFD000000000040 +:04BFFE00000000003F +:04BFFF00000000003E +:04C00000000000003C +:04C00100000000003B +:04C00200000000003A +:04C003000000000039 +:04C004000000000038 +:04C005000000000037 +:04C006000000000036 +:04C007000000000035 +:04C008000000000034 +:04C009000000000033 +:04C00A000000000032 +:04C00B000000000031 +:04C00C000000000030 +:04C00D00000000002F +:04C00E00000000002E +:04C00F00000000002D +:04C01000000000002C +:04C01100000000002B +:04C01200000000002A +:04C013000000000029 +:04C014000000000028 +:04C015000000000027 +:04C016000000000026 +:04C017000000000025 +:04C018000000000024 +:04C019000000000023 +:04C01A000000000022 +:04C01B000000000021 +:04C01C000000000020 +:04C01D00000000001F +:04C01E00000000001E +:04C01F00000000001D +:04C02000000000001C +:04C02100000000001B +:04C02200000000001A +:04C023000000000019 +:04C024000000000018 +:04C025000000000017 +:04C026000000000016 +:04C027000000000015 +:04C028000000000014 +:04C029000000000013 +:04C02A000000000012 +:04C02B000000000011 +:04C02C000000000010 +:04C02D00000000000F +:04C02E00000000000E +:04C02F00000000000D +:04C03000000000000C +:04C03100000000000B +:04C03200000000000A +:04C033000000000009 +:04C034000000000008 +:04C035000000000007 +:04C036000000000006 +:04C037000000000005 +:04C038000000000004 +:04C039000000000003 +:04C03A000000000002 +:04C03B000000000001 +:04C03C000000000000 +:04C03D0000000000FF +:04C03E0000000000FE +:04C03F0000000000FD +:04C0400000000000FC +:04C0410000000000FB +:04C0420000000000FA +:04C0430000000000F9 +:04C0440000000000F8 +:04C0450000000000F7 +:04C0460000000000F6 +:04C0470000000000F5 +:04C0480000000000F4 +:04C0490000000000F3 +:04C04A0000000000F2 +:04C04B0000000000F1 +:04C04C0000000000F0 +:04C04D0000000000EF +:04C04E0000000000EE +:04C04F0000000000ED +:04C0500000000000EC +:04C0510000000000EB +:04C0520000000000EA +:04C0530000000000E9 +:04C0540000000000E8 +:04C0550000000000E7 +:04C0560000000000E6 +:04C0570000000000E5 +:04C0580000000000E4 +:04C0590000000000E3 +:04C05A0000000000E2 +:04C05B0000000000E1 +:04C05C0000000000E0 +:04C05D0000000000DF +:04C05E0000000000DE +:04C05F0000000000DD +:04C0600000000000DC +:04C0610000000000DB +:04C0620000000000DA +:04C0630000000000D9 +:04C0640000000000D8 +:04C0650000000000D7 +:04C0660000000000D6 +:04C0670000000000D5 +:04C0680000000000D4 +:04C0690000000000D3 +:04C06A0000000000D2 +:04C06B0000000000D1 +:04C06C0000000000D0 +:04C06D0000000000CF +:04C06E0000000000CE +:04C06F0000000000CD +:04C0700000000000CC +:04C0710000000000CB +:04C0720000000000CA +:04C0730000000000C9 +:04C0740000000000C8 +:04C0750000000000C7 +:04C0760000000000C6 +:04C0770000000000C5 +:04C0780000000000C4 +:04C0790000000000C3 +:04C07A0000000000C2 +:04C07B0000000000C1 +:04C07C0000000000C0 +:04C07D0000000000BF +:04C07E0000000000BE +:04C07F0000000000BD +:04C0800000000000BC +:04C0810000000000BB +:04C0820000000000BA +:04C0830000000000B9 +:04C0840000000000B8 +:04C0850000000000B7 +:04C0860000000000B6 +:04C0870000000000B5 +:04C0880000000000B4 +:04C0890000000000B3 +:04C08A0000000000B2 +:04C08B0000000000B1 +:04C08C0000000000B0 +:04C08D0000000000AF +:04C08E0000000000AE +:04C08F0000000000AD +:04C0900000000000AC +:04C0910000000000AB +:04C0920000000000AA +:04C0930000000000A9 +:04C0940000000000A8 +:04C0950000000000A7 +:04C0960000000000A6 +:04C0970000000000A5 +:04C0980000000000A4 +:04C0990000000000A3 +:04C09A0000000000A2 +:04C09B0000000000A1 +:04C09C0000000000A0 +:04C09D00000000009F +:04C09E00000000009E +:04C09F00000000009D +:04C0A000000000009C +:04C0A100000000009B +:04C0A200000000009A +:04C0A3000000000099 +:04C0A4000000000098 +:04C0A5000000000097 +:04C0A6000000000096 +:04C0A7000000000095 +:04C0A8000000000094 +:04C0A9000000000093 +:04C0AA000000000092 +:04C0AB000000000091 +:04C0AC000000000090 +:04C0AD00000000008F +:04C0AE00000000008E +:04C0AF00000000008D +:04C0B000000000008C +:04C0B100000000008B +:04C0B200000000008A +:04C0B3000000000089 +:04C0B4000000000088 +:04C0B5000000000087 +:04C0B6000000000086 +:04C0B7000000000085 +:04C0B8000000000084 +:04C0B9000000000083 +:04C0BA000000000082 +:04C0BB000000000081 +:04C0BC000000000080 +:04C0BD00000000007F +:04C0BE00000000007E +:04C0BF00000000007D +:04C0C000000000007C +:04C0C100000000007B +:04C0C200000000007A +:04C0C3000000000079 +:04C0C4000000000078 +:04C0C5000000000077 +:04C0C6000000000076 +:04C0C7000000000075 +:04C0C8000000000074 +:04C0C9000000000073 +:04C0CA000000000072 +:04C0CB000000000071 +:04C0CC000000000070 +:04C0CD00000000006F +:04C0CE00000000006E +:04C0CF00000000006D +:04C0D000000000006C +:04C0D100000000006B +:04C0D200000000006A +:04C0D3000000000069 +:04C0D4000000000068 +:04C0D5000000000067 +:04C0D6000000000066 +:04C0D7000000000065 +:04C0D8000000000064 +:04C0D9000000000063 +:04C0DA000000000062 +:04C0DB000000000061 +:04C0DC000000000060 +:04C0DD00000000005F +:04C0DE00000000005E +:04C0DF00000000005D +:04C0E000000000005C +:04C0E100000000005B +:04C0E200000000005A +:04C0E3000000000059 +:04C0E4000000000058 +:04C0E5000000000057 +:04C0E6000000000056 +:04C0E7000000000055 +:04C0E8000000000054 +:04C0E9000000000053 +:04C0EA000000000052 +:04C0EB000000000051 +:04C0EC000000000050 +:04C0ED00000000004F +:04C0EE00000000004E +:04C0EF00000000004D +:04C0F000000000004C +:04C0F100000000004B +:04C0F200000000004A +:04C0F3000000000049 +:04C0F4000000000048 +:04C0F5000000000047 +:04C0F6000000000046 +:04C0F7000000000045 +:04C0F8000000000044 +:04C0F9000000000043 +:04C0FA000000000042 +:04C0FB000000000041 +:04C0FC000000000040 +:04C0FD00000000003F +:04C0FE00000000003E +:04C0FF00000000003D +:04C10000000000003B +:04C10100000000003A +:04C102000000000039 +:04C103000000000038 +:04C104000000000037 +:04C105000000000036 +:04C106000000000035 +:04C107000000000034 +:04C108000000000033 +:04C109000000000032 +:04C10A000000000031 +:04C10B000000000030 +:04C10C00000000002F +:04C10D00000000002E +:04C10E00000000002D +:04C10F00000000002C +:04C11000000000002B +:04C11100000000002A +:04C112000000000029 +:04C113000000000028 +:04C114000000000027 +:04C115000000000026 +:04C116000000000025 +:04C117000000000024 +:04C118000000000023 +:04C119000000000022 +:04C11A000000000021 +:04C11B000000000020 +:04C11C00000000001F +:04C11D00000000001E +:04C11E00000000001D +:04C11F00000000001C +:04C12000000000001B +:04C12100000000001A +:04C122000000000019 +:04C123000000000018 +:04C124000000000017 +:04C125000000000016 +:04C126000000000015 +:04C127000000000014 +:04C128000000000013 +:04C129000000000012 +:04C12A000000000011 +:04C12B000000000010 +:04C12C00000000000F +:04C12D00000000000E +:04C12E00000000000D +:04C12F00000000000C +:04C13000000000000B +:04C13100000000000A +:04C132000000000009 +:04C133000000000008 +:04C134000000000007 +:04C135000000000006 +:04C136000000000005 +:04C137000000000004 +:04C138000000000003 +:04C139000000000002 +:04C13A000000000001 +:04C13B000000000000 +:04C13C0000000000FF +:04C13D0000000000FE +:04C13E0000000000FD +:04C13F0000000000FC +:04C1400000000000FB +:04C1410000000000FA +:04C1420000000000F9 +:04C1430000000000F8 +:04C1440000000000F7 +:04C1450000000000F6 +:04C1460000000000F5 +:04C1470000000000F4 +:04C1480000000000F3 +:04C1490000000000F2 +:04C14A0000000000F1 +:04C14B0000000000F0 +:04C14C0000000000EF +:04C14D0000000000EE +:04C14E0000000000ED +:04C14F0000000000EC +:04C1500000000000EB +:04C1510000000000EA +:04C1520000000000E9 +:04C1530000000000E8 +:04C1540000000000E7 +:04C1550000000000E6 +:04C1560000000000E5 +:04C1570000000000E4 +:04C1580000000000E3 +:04C1590000000000E2 +:04C15A0000000000E1 +:04C15B0000000000E0 +:04C15C0000000000DF +:04C15D0000000000DE +:04C15E0000000000DD +:04C15F0000000000DC +:04C1600000000000DB +:04C1610000000000DA +:04C1620000000000D9 +:04C1630000000000D8 +:04C1640000000000D7 +:04C1650000000000D6 +:04C1660000000000D5 +:04C1670000000000D4 +:04C1680000000000D3 +:04C1690000000000D2 +:04C16A0000000000D1 +:04C16B0000000000D0 +:04C16C0000000000CF +:04C16D0000000000CE +:04C16E0000000000CD +:04C16F0000000000CC +:04C1700000000000CB +:04C1710000000000CA +:04C1720000000000C9 +:04C1730000000000C8 +:04C1740000000000C7 +:04C1750000000000C6 +:04C1760000000000C5 +:04C1770000000000C4 +:04C1780000000000C3 +:04C1790000000000C2 +:04C17A0000000000C1 +:04C17B0000000000C0 +:04C17C0000000000BF +:04C17D0000000000BE +:04C17E0000000000BD +:04C17F0000000000BC +:04C1800000000000BB +:04C1810000000000BA +:04C1820000000000B9 +:04C1830000000000B8 +:04C1840000000000B7 +:04C1850000000000B6 +:04C1860000000000B5 +:04C1870000000000B4 +:04C1880000000000B3 +:04C1890000000000B2 +:04C18A0000000000B1 +:04C18B0000000000B0 +:04C18C0000000000AF +:04C18D0000000000AE +:04C18E0000000000AD +:04C18F0000000000AC +:04C1900000000000AB +:04C1910000000000AA +:04C1920000000000A9 +:04C1930000000000A8 +:04C1940000000000A7 +:04C1950000000000A6 +:04C1960000000000A5 +:04C1970000000000A4 +:04C1980000000000A3 +:04C1990000000000A2 +:04C19A0000000000A1 +:04C19B0000000000A0 +:04C19C00000000009F +:04C19D00000000009E +:04C19E00000000009D +:04C19F00000000009C +:04C1A000000000009B +:04C1A100000000009A +:04C1A2000000000099 +:04C1A3000000000098 +:04C1A4000000000097 +:04C1A5000000000096 +:04C1A6000000000095 +:04C1A7000000000094 +:04C1A8000000000093 +:04C1A9000000000092 +:04C1AA000000000091 +:04C1AB000000000090 +:04C1AC00000000008F +:04C1AD00000000008E +:04C1AE00000000008D +:04C1AF00000000008C +:04C1B000000000008B +:04C1B100000000008A +:04C1B2000000000089 +:04C1B3000000000088 +:04C1B4000000000087 +:04C1B5000000000086 +:04C1B6000000000085 +:04C1B7000000000084 +:04C1B8000000000083 +:04C1B9000000000082 +:04C1BA000000000081 +:04C1BB000000000080 +:04C1BC00000000007F +:04C1BD00000000007E +:04C1BE00000000007D +:04C1BF00000000007C +:04C1C000000000007B +:04C1C100000000007A +:04C1C2000000000079 +:04C1C3000000000078 +:04C1C4000000000077 +:04C1C5000000000076 +:04C1C6000000000075 +:04C1C7000000000074 +:04C1C8000000000073 +:04C1C9000000000072 +:04C1CA000000000071 +:04C1CB000000000070 +:04C1CC00000000006F +:04C1CD00000000006E +:04C1CE00000000006D +:04C1CF00000000006C +:04C1D000000000006B +:04C1D100000000006A +:04C1D2000000000069 +:04C1D3000000000068 +:04C1D4000000000067 +:04C1D5000000000066 +:04C1D6000000000065 +:04C1D7000000000064 +:04C1D8000000000063 +:04C1D9000000000062 +:04C1DA000000000061 +:04C1DB000000000060 +:04C1DC00000000005F +:04C1DD00000000005E +:04C1DE00000000005D +:04C1DF00000000005C +:04C1E000000000005B +:04C1E100000000005A +:04C1E2000000000059 +:04C1E3000000000058 +:04C1E4000000000057 +:04C1E5000000000056 +:04C1E6000000000055 +:04C1E7000000000054 +:04C1E8000000000053 +:04C1E9000000000052 +:04C1EA000000000051 +:04C1EB000000000050 +:04C1EC00000000004F +:04C1ED00000000004E +:04C1EE00000000004D +:04C1EF00000000004C +:04C1F000000000004B +:04C1F100000000004A +:04C1F2000000000049 +:04C1F3000000000048 +:04C1F4000000000047 +:04C1F5000000000046 +:04C1F6000000000045 +:04C1F7000000000044 +:04C1F8000000000043 +:04C1F9000000000042 +:04C1FA000000000041 +:04C1FB000000000040 +:04C1FC00000000003F +:04C1FD00000000003E +:04C1FE00000000003D +:04C1FF00000000003C +:04C20000000000003A +:04C201000000000039 +:04C202000000000038 +:04C203000000000037 +:04C204000000000036 +:04C205000000000035 +:04C206000000000034 +:04C207000000000033 +:04C208000000000032 +:04C209000000000031 +:04C20A000000000030 +:04C20B00000000002F +:04C20C00000000002E +:04C20D00000000002D +:04C20E00000000002C +:04C20F00000000002B +:04C21000000000002A +:04C211000000000029 +:04C212000000000028 +:04C213000000000027 +:04C214000000000026 +:04C215000000000025 +:04C216000000000024 +:04C217000000000023 +:04C218000000000022 +:04C219000000000021 +:04C21A000000000020 +:04C21B00000000001F +:04C21C00000000001E +:04C21D00000000001D +:04C21E00000000001C +:04C21F00000000001B +:04C22000000000001A +:04C221000000000019 +:04C222000000000018 +:04C223000000000017 +:04C224000000000016 +:04C225000000000015 +:04C226000000000014 +:04C227000000000013 +:04C228000000000012 +:04C229000000000011 +:04C22A000000000010 +:04C22B00000000000F +:04C22C00000000000E +:04C22D00000000000D +:04C22E00000000000C +:04C22F00000000000B +:04C23000000000000A +:04C231000000000009 +:04C232000000000008 +:04C233000000000007 +:04C234000000000006 +:04C235000000000005 +:04C236000000000004 +:04C237000000000003 +:04C238000000000002 +:04C239000000000001 +:04C23A000000000000 +:04C23B0000000000FF +:04C23C0000000000FE +:04C23D0000000000FD +:04C23E0000000000FC +:04C23F0000000000FB +:04C2400000000000FA +:04C2410000000000F9 +:04C2420000000000F8 +:04C2430000000000F7 +:04C2440000000000F6 +:04C2450000000000F5 +:04C2460000000000F4 +:04C2470000000000F3 +:04C2480000000000F2 +:04C2490000000000F1 +:04C24A0000000000F0 +:04C24B0000000000EF +:04C24C0000000000EE +:04C24D0000000000ED +:04C24E0000000000EC +:04C24F0000000000EB +:04C2500000000000EA +:04C2510000000000E9 +:04C2520000000000E8 +:04C2530000000000E7 +:04C2540000000000E6 +:04C2550000000000E5 +:04C2560000000000E4 +:04C2570000000000E3 +:04C2580000000000E2 +:04C2590000000000E1 +:04C25A0000000000E0 +:04C25B0000000000DF +:04C25C0000000000DE +:04C25D0000000000DD +:04C25E0000000000DC +:04C25F0000000000DB +:04C2600000000000DA +:04C2610000000000D9 +:04C2620000000000D8 +:04C2630000000000D7 +:04C2640000000000D6 +:04C2650000000000D5 +:04C2660000000000D4 +:04C2670000000000D3 +:04C2680000000000D2 +:04C2690000000000D1 +:04C26A0000000000D0 +:04C26B0000000000CF +:04C26C0000000000CE +:04C26D0000000000CD +:04C26E0000000000CC +:04C26F0000000000CB +:04C2700000000000CA +:04C2710000000000C9 +:04C2720000000000C8 +:04C2730000000000C7 +:04C2740000000000C6 +:04C2750000000000C5 +:04C2760000000000C4 +:04C2770000000000C3 +:04C2780000000000C2 +:04C2790000000000C1 +:04C27A0000000000C0 +:04C27B0000000000BF +:04C27C0000000000BE +:04C27D0000000000BD +:04C27E0000000000BC +:04C27F0000000000BB +:04C2800000000000BA +:04C2810000000000B9 +:04C2820000000000B8 +:04C2830000000000B7 +:04C2840000000000B6 +:04C2850000000000B5 +:04C2860000000000B4 +:04C2870000000000B3 +:04C2880000000000B2 +:04C2890000000000B1 +:04C28A0000000000B0 +:04C28B0000000000AF +:04C28C0000000000AE +:04C28D0000000000AD +:04C28E0000000000AC +:04C28F0000000000AB +:04C2900000000000AA +:04C2910000000000A9 +:04C2920000000000A8 +:04C2930000000000A7 +:04C2940000000000A6 +:04C2950000000000A5 +:04C2960000000000A4 +:04C2970000000000A3 +:04C2980000000000A2 +:04C2990000000000A1 +:04C29A0000000000A0 +:04C29B00000000009F +:04C29C00000000009E +:04C29D00000000009D +:04C29E00000000009C +:04C29F00000000009B +:04C2A000000000009A +:04C2A1000000000099 +:04C2A2000000000098 +:04C2A3000000000097 +:04C2A4000000000096 +:04C2A5000000000095 +:04C2A6000000000094 +:04C2A7000000000093 +:04C2A8000000000092 +:04C2A9000000000091 +:04C2AA000000000090 +:04C2AB00000000008F +:04C2AC00000000008E +:04C2AD00000000008D +:04C2AE00000000008C +:04C2AF00000000008B +:04C2B000000000008A +:04C2B1000000000089 +:04C2B2000000000088 +:04C2B3000000000087 +:04C2B4000000000086 +:04C2B5000000000085 +:04C2B6000000000084 +:04C2B7000000000083 +:04C2B8000000000082 +:04C2B9000000000081 +:04C2BA000000000080 +:04C2BB00000000007F +:04C2BC00000000007E +:04C2BD00000000007D +:04C2BE00000000007C +:04C2BF00000000007B +:04C2C000000000007A +:04C2C1000000000079 +:04C2C2000000000078 +:04C2C3000000000077 +:04C2C4000000000076 +:04C2C5000000000075 +:04C2C6000000000074 +:04C2C7000000000073 +:04C2C8000000000072 +:04C2C9000000000071 +:04C2CA000000000070 +:04C2CB00000000006F +:04C2CC00000000006E +:04C2CD00000000006D +:04C2CE00000000006C +:04C2CF00000000006B +:04C2D000000000006A +:04C2D1000000000069 +:04C2D2000000000068 +:04C2D3000000000067 +:04C2D4000000000066 +:04C2D5000000000065 +:04C2D6000000000064 +:04C2D7000000000063 +:04C2D8000000000062 +:04C2D9000000000061 +:04C2DA000000000060 +:04C2DB00000000005F +:04C2DC00000000005E +:04C2DD00000000005D +:04C2DE00000000005C +:04C2DF00000000005B +:04C2E000000000005A +:04C2E1000000000059 +:04C2E2000000000058 +:04C2E3000000000057 +:04C2E4000000000056 +:04C2E5000000000055 +:04C2E6000000000054 +:04C2E7000000000053 +:04C2E8000000000052 +:04C2E9000000000051 +:04C2EA000000000050 +:04C2EB00000000004F +:04C2EC00000000004E +:04C2ED00000000004D +:04C2EE00000000004C +:04C2EF00000000004B +:04C2F000000000004A +:04C2F1000000000049 +:04C2F2000000000048 +:04C2F3000000000047 +:04C2F4000000000046 +:04C2F5000000000045 +:04C2F6000000000044 +:04C2F7000000000043 +:04C2F8000000000042 +:04C2F9000000000041 +:04C2FA000000000040 +:04C2FB00000000003F +:04C2FC00000000003E +:04C2FD00000000003D +:04C2FE00000000003C +:04C2FF00000000003B +:04C300000000000039 +:04C301000000000038 +:04C302000000000037 +:04C303000000000036 +:04C304000000000035 +:04C305000000000034 +:04C306000000000033 +:04C307000000000032 +:04C308000000000031 +:04C309000000000030 +:04C30A00000000002F +:04C30B00000000002E +:04C30C00000000002D +:04C30D00000000002C +:04C30E00000000002B +:04C30F00000000002A +:04C310000000000029 +:04C311000000000028 +:04C312000000000027 +:04C313000000000026 +:04C314000000000025 +:04C315000000000024 +:04C316000000000023 +:04C317000000000022 +:04C318000000000021 +:04C319000000000020 +:04C31A00000000001F +:04C31B00000000001E +:04C31C00000000001D +:04C31D00000000001C +:04C31E00000000001B +:04C31F00000000001A +:04C320000000000019 +:04C321000000000018 +:04C322000000000017 +:04C323000000000016 +:04C324000000000015 +:04C325000000000014 +:04C326000000000013 +:04C327000000000012 +:04C328000000000011 +:04C329000000000010 +:04C32A00000000000F +:04C32B00000000000E +:04C32C00000000000D +:04C32D00000000000C +:04C32E00000000000B +:04C32F00000000000A +:04C330000000000009 +:04C331000000000008 +:04C332000000000007 +:04C333000000000006 +:04C334000000000005 +:04C335000000000004 +:04C336000000000003 +:04C337000000000002 +:04C338000000000001 +:04C339000000000000 +:04C33A0000000000FF +:04C33B0000000000FE +:04C33C0000000000FD +:04C33D0000000000FC +:04C33E0000000000FB +:04C33F0000000000FA +:04C3400000000000F9 +:04C3410000000000F8 +:04C3420000000000F7 +:04C3430000000000F6 +:04C3440000000000F5 +:04C3450000000000F4 +:04C3460000000000F3 +:04C3470000000000F2 +:04C3480000000000F1 +:04C3490000000000F0 +:04C34A0000000000EF +:04C34B0000000000EE +:04C34C0000000000ED +:04C34D0000000000EC +:04C34E0000000000EB +:04C34F0000000000EA +:04C3500000000000E9 +:04C3510000000000E8 +:04C3520000000000E7 +:04C3530000000000E6 +:04C3540000000000E5 +:04C3550000000000E4 +:04C3560000000000E3 +:04C3570000000000E2 +:04C3580000000000E1 +:04C3590000000000E0 +:04C35A0000000000DF +:04C35B0000000000DE +:04C35C0000000000DD +:04C35D0000000000DC +:04C35E0000000000DB +:04C35F0000000000DA +:04C3600000000000D9 +:04C3610000000000D8 +:04C3620000000000D7 +:04C3630000000000D6 +:04C3640000000000D5 +:04C3650000000000D4 +:04C3660000000000D3 +:04C3670000000000D2 +:04C3680000000000D1 +:04C3690000000000D0 +:04C36A0000000000CF +:04C36B0000000000CE +:04C36C0000000000CD +:04C36D0000000000CC +:04C36E0000000000CB +:04C36F0000000000CA +:04C3700000000000C9 +:04C3710000000000C8 +:04C3720000000000C7 +:04C3730000000000C6 +:04C3740000000000C5 +:04C3750000000000C4 +:04C3760000000000C3 +:04C3770000000000C2 +:04C3780000000000C1 +:04C3790000000000C0 +:04C37A0000000000BF +:04C37B0000000000BE +:04C37C0000000000BD +:04C37D0000000000BC +:04C37E0000000000BB +:04C37F0000000000BA +:04C3800000000000B9 +:04C3810000000000B8 +:04C3820000000000B7 +:04C3830000000000B6 +:04C3840000000000B5 +:04C3850000000000B4 +:04C3860000000000B3 +:04C3870000000000B2 +:04C3880000000000B1 +:04C3890000000000B0 +:04C38A0000000000AF +:04C38B0000000000AE +:04C38C0000000000AD +:04C38D0000000000AC +:04C38E0000000000AB +:04C38F0000000000AA +:04C3900000000000A9 +:04C3910000000000A8 +:04C3920000000000A7 +:04C3930000000000A6 +:04C3940000000000A5 +:04C3950000000000A4 +:04C3960000000000A3 +:04C3970000000000A2 +:04C3980000000000A1 +:04C3990000000000A0 +:04C39A00000000009F +:04C39B00000000009E +:04C39C00000000009D +:04C39D00000000009C +:04C39E00000000009B +:04C39F00000000009A +:04C3A0000000000099 +:04C3A1000000000098 +:04C3A2000000000097 +:04C3A3000000000096 +:04C3A4000000000095 +:04C3A5000000000094 +:04C3A6000000000093 +:04C3A7000000000092 +:04C3A8000000000091 +:04C3A9000000000090 +:04C3AA00000000008F +:04C3AB00000000008E +:04C3AC00000000008D +:04C3AD00000000008C +:04C3AE00000000008B +:04C3AF00000000008A +:04C3B0000000000089 +:04C3B1000000000088 +:04C3B2000000000087 +:04C3B3000000000086 +:04C3B4000000000085 +:04C3B5000000000084 +:04C3B6000000000083 +:04C3B7000000000082 +:04C3B8000000000081 +:04C3B9000000000080 +:04C3BA00000000007F +:04C3BB00000000007E +:04C3BC00000000007D +:04C3BD00000000007C +:04C3BE00000000007B +:04C3BF00000000007A +:04C3C0000000000079 +:04C3C1000000000078 +:04C3C2000000000077 +:04C3C3000000000076 +:04C3C4000000000075 +:04C3C5000000000074 +:04C3C6000000000073 +:04C3C7000000000072 +:04C3C8000000000071 +:04C3C9000000000070 +:04C3CA00000000006F +:04C3CB00000000006E +:04C3CC00000000006D +:04C3CD00000000006C +:04C3CE00000000006B +:04C3CF00000000006A +:04C3D0000000000069 +:04C3D1000000000068 +:04C3D2000000000067 +:04C3D3000000000066 +:04C3D4000000000065 +:04C3D5000000000064 +:04C3D6000000000063 +:04C3D7000000000062 +:04C3D8000000000061 +:04C3D9000000000060 +:04C3DA00000000005F +:04C3DB00000000005E +:04C3DC00000000005D +:04C3DD00000000005C +:04C3DE00000000005B +:04C3DF00000000005A +:04C3E0000000000059 +:04C3E1000000000058 +:04C3E2000000000057 +:04C3E3000000000056 +:04C3E4000000000055 +:04C3E5000000000054 +:04C3E6000000000053 +:04C3E7000000000052 +:04C3E8000000000051 +:04C3E9000000000050 +:04C3EA00000000004F +:04C3EB00000000004E +:04C3EC00000000004D +:04C3ED00000000004C +:04C3EE00000000004B +:04C3EF00000000004A +:04C3F0000000000049 +:04C3F1000000000048 +:04C3F2000000000047 +:04C3F3000000000046 +:04C3F4000000000045 +:04C3F5000000000044 +:04C3F6000000000043 +:04C3F7000000000042 +:04C3F8000000000041 +:04C3F9000000000040 +:04C3FA00000000003F +:04C3FB00000000003E +:04C3FC00000000003D +:04C3FD00000000003C +:04C3FE00000000003B +:04C3FF00000000003A +:04C400000000000038 +:04C401000000000037 +:04C402000000000036 +:04C403000000000035 +:04C404000000000034 +:04C405000000000033 +:04C406000000000032 +:04C407000000000031 +:04C408000000000030 +:04C40900000000002F +:04C40A00000000002E +:04C40B00000000002D +:04C40C00000000002C +:04C40D00000000002B +:04C40E00000000002A +:04C40F000000000029 +:04C410000000000028 +:04C411000000000027 +:04C412000000000026 +:04C413000000000025 +:04C414000000000024 +:04C415000000000023 +:04C416000000000022 +:04C417000000000021 +:04C418000000000020 +:04C41900000000001F +:04C41A00000000001E +:04C41B00000000001D +:04C41C00000000001C +:04C41D00000000001B +:04C41E00000000001A +:04C41F000000000019 +:04C420000000000018 +:04C421000000000017 +:04C422000000000016 +:04C423000000000015 +:04C424000000000014 +:04C425000000000013 +:04C426000000000012 +:04C427000000000011 +:04C428000000000010 +:04C42900000000000F +:04C42A00000000000E +:04C42B00000000000D +:04C42C00000000000C +:04C42D00000000000B +:04C42E00000000000A +:04C42F000000000009 +:04C430000000000008 +:04C431000000000007 +:04C432000000000006 +:04C433000000000005 +:04C434000000000004 +:04C435000000000003 +:04C436000000000002 +:04C437000000000001 +:04C438000000000000 +:04C4390000000000FF +:04C43A0000000000FE +:04C43B0000000000FD +:04C43C0000000000FC +:04C43D0000000000FB +:04C43E0000000000FA +:04C43F0000000000F9 +:04C4400000000000F8 +:04C4410000000000F7 +:04C4420000000000F6 +:04C4430000000000F5 +:04C4440000000000F4 +:04C4450000000000F3 +:04C4460000000000F2 +:04C4470000000000F1 +:04C4480000000000F0 +:04C4490000000000EF +:04C44A0000000000EE +:04C44B0000000000ED +:04C44C0000000000EC +:04C44D0000000000EB +:04C44E0000000000EA +:04C44F0000000000E9 +:04C4500000000000E8 +:04C4510000000000E7 +:04C4520000000000E6 +:04C4530000000000E5 +:04C4540000000000E4 +:04C4550000000000E3 +:04C4560000000000E2 +:04C4570000000000E1 +:04C4580000000000E0 +:04C4590000000000DF +:04C45A0000000000DE +:04C45B0000000000DD +:04C45C0000000000DC +:04C45D0000000000DB +:04C45E0000000000DA +:04C45F0000000000D9 +:04C4600000000000D8 +:04C4610000000000D7 +:04C4620000000000D6 +:04C4630000000000D5 +:04C4640000000000D4 +:04C4650000000000D3 +:04C4660000000000D2 +:04C4670000000000D1 +:04C4680000000000D0 +:04C4690000000000CF +:04C46A0000000000CE +:04C46B0000000000CD +:04C46C0000000000CC +:04C46D0000000000CB +:04C46E0000000000CA +:04C46F0000000000C9 +:04C4700000000000C8 +:04C4710000000000C7 +:04C4720000000000C6 +:04C4730000000000C5 +:04C4740000000000C4 +:04C4750000000000C3 +:04C4760000000000C2 +:04C4770000000000C1 +:04C4780000000000C0 +:04C4790000000000BF +:04C47A0000000000BE +:04C47B0000000000BD +:04C47C0000000000BC +:04C47D0000000000BB +:04C47E0000000000BA +:04C47F0000000000B9 +:04C4800000000000B8 +:04C4810000000000B7 +:04C4820000000000B6 +:04C4830000000000B5 +:04C4840000000000B4 +:04C4850000000000B3 +:04C4860000000000B2 +:04C4870000000000B1 +:04C4880000000000B0 +:04C4890000000000AF +:04C48A0000000000AE +:04C48B0000000000AD +:04C48C0000000000AC +:04C48D0000000000AB +:04C48E0000000000AA +:04C48F0000000000A9 +:04C4900000000000A8 +:04C4910000000000A7 +:04C4920000000000A6 +:04C4930000000000A5 +:04C4940000000000A4 +:04C4950000000000A3 +:04C4960000000000A2 +:04C4970000000000A1 +:04C4980000000000A0 +:04C49900000000009F +:04C49A00000000009E +:04C49B00000000009D +:04C49C00000000009C +:04C49D00000000009B +:04C49E00000000009A +:04C49F000000000099 +:04C4A0000000000098 +:04C4A1000000000097 +:04C4A2000000000096 +:04C4A3000000000095 +:04C4A4000000000094 +:04C4A5000000000093 +:04C4A6000000000092 +:04C4A7000000000091 +:04C4A8000000000090 +:04C4A900000000008F +:04C4AA00000000008E +:04C4AB00000000008D +:04C4AC00000000008C +:04C4AD00000000008B +:04C4AE00000000008A +:04C4AF000000000089 +:04C4B0000000000088 +:04C4B1000000000087 +:04C4B2000000000086 +:04C4B3000000000085 +:04C4B4000000000084 +:04C4B5000000000083 +:04C4B6000000000082 +:04C4B7000000000081 +:04C4B8000000000080 +:04C4B900000000007F +:04C4BA00000000007E +:04C4BB00000000007D +:04C4BC00000000007C +:04C4BD00000000007B +:04C4BE00000000007A +:04C4BF000000000079 +:04C4C0000000000078 +:04C4C1000000000077 +:04C4C2000000000076 +:04C4C3000000000075 +:04C4C4000000000074 +:04C4C5000000000073 +:04C4C6000000000072 +:04C4C7000000000071 +:04C4C8000000000070 +:04C4C900000000006F +:04C4CA00000000006E +:04C4CB00000000006D +:04C4CC00000000006C +:04C4CD00000000006B +:04C4CE00000000006A +:04C4CF000000000069 +:04C4D0000000000068 +:04C4D1000000000067 +:04C4D2000000000066 +:04C4D3000000000065 +:04C4D4000000000064 +:04C4D5000000000063 +:04C4D6000000000062 +:04C4D7000000000061 +:04C4D8000000000060 +:04C4D900000000005F +:04C4DA00000000005E +:04C4DB00000000005D +:04C4DC00000000005C +:04C4DD00000000005B +:04C4DE00000000005A +:04C4DF000000000059 +:04C4E0000000000058 +:04C4E1000000000057 +:04C4E2000000000056 +:04C4E3000000000055 +:04C4E4000000000054 +:04C4E5000000000053 +:04C4E6000000000052 +:04C4E7000000000051 +:04C4E8000000000050 +:04C4E900000000004F +:04C4EA00000000004E +:04C4EB00000000004D +:04C4EC00000000004C +:04C4ED00000000004B +:04C4EE00000000004A +:04C4EF000000000049 +:04C4F0000000000048 +:04C4F1000000000047 +:04C4F2000000000046 +:04C4F3000000000045 +:04C4F4000000000044 +:04C4F5000000000043 +:04C4F6000000000042 +:04C4F7000000000041 +:04C4F8000000000040 +:04C4F900000000003F +:04C4FA00000000003E +:04C4FB00000000003D +:04C4FC00000000003C +:04C4FD00000000003B +:04C4FE00000000003A +:04C4FF000000000039 +:04C500000000000037 +:04C501000000000036 +:04C502000000000035 +:04C503000000000034 +:04C504000000000033 +:04C505000000000032 +:04C506000000000031 +:04C507000000000030 +:04C50800000000002F +:04C50900000000002E +:04C50A00000000002D +:04C50B00000000002C +:04C50C00000000002B +:04C50D00000000002A +:04C50E000000000029 +:04C50F000000000028 +:04C510000000000027 +:04C511000000000026 +:04C512000000000025 +:04C513000000000024 +:04C514000000000023 +:04C515000000000022 +:04C516000000000021 +:04C517000000000020 +:04C51800000000001F +:04C51900000000001E +:04C51A00000000001D +:04C51B00000000001C +:04C51C00000000001B +:04C51D00000000001A +:04C51E000000000019 +:04C51F000000000018 +:04C520000000000017 +:04C521000000000016 +:04C522000000000015 +:04C523000000000014 +:04C524000000000013 +:04C525000000000012 +:04C526000000000011 +:04C527000000000010 +:04C52800000000000F +:04C52900000000000E +:04C52A00000000000D +:04C52B00000000000C +:04C52C00000000000B +:04C52D00000000000A +:04C52E000000000009 +:04C52F000000000008 +:04C530000000000007 +:04C531000000000006 +:04C532000000000005 +:04C533000000000004 +:04C534000000000003 +:04C535000000000002 +:04C536000000000001 +:04C537000000000000 +:04C5380000000000FF +:04C5390000000000FE +:04C53A0000000000FD +:04C53B0000000000FC +:04C53C0000000000FB +:04C53D0000000000FA +:04C53E0000000000F9 +:04C53F0000000000F8 +:04C5400000000000F7 +:04C5410000000000F6 +:04C5420000000000F5 +:04C5430000000000F4 +:04C5440000000000F3 +:04C5450000000000F2 +:04C5460000000000F1 +:04C5470000000000F0 +:04C5480000000000EF +:04C5490000000000EE +:04C54A0000000000ED +:04C54B0000000000EC +:04C54C0000000000EB +:04C54D0000000000EA +:04C54E0000000000E9 +:04C54F0000000000E8 +:04C5500000000000E7 +:04C5510000000000E6 +:04C5520000000000E5 +:04C5530000000000E4 +:04C5540000000000E3 +:04C5550000000000E2 +:04C5560000000000E1 +:04C5570000000000E0 +:04C5580000000000DF +:04C5590000000000DE +:04C55A0000000000DD +:04C55B0000000000DC +:04C55C0000000000DB +:04C55D0000000000DA +:04C55E0000000000D9 +:04C55F0000000000D8 +:04C5600000000000D7 +:04C5610000000000D6 +:04C5620000000000D5 +:04C5630000000000D4 +:04C5640000000000D3 +:04C5650000000000D2 +:04C5660000000000D1 +:04C5670000000000D0 +:04C5680000000000CF +:04C5690000000000CE +:04C56A0000000000CD +:04C56B0000000000CC +:04C56C0000000000CB +:04C56D0000000000CA +:04C56E0000000000C9 +:04C56F0000000000C8 +:04C5700000000000C7 +:04C5710000000000C6 +:04C5720000000000C5 +:04C5730000000000C4 +:04C5740000000000C3 +:04C5750000000000C2 +:04C5760000000000C1 +:04C5770000000000C0 +:04C5780000000000BF +:04C5790000000000BE +:04C57A0000000000BD +:04C57B0000000000BC +:04C57C0000000000BB +:04C57D0000000000BA +:04C57E0000000000B9 +:04C57F0000000000B8 +:04C5800000000000B7 +:04C5810000000000B6 +:04C5820000000000B5 +:04C5830000000000B4 +:04C5840000000000B3 +:04C5850000000000B2 +:04C5860000000000B1 +:04C5870000000000B0 +:04C5880000000000AF +:04C5890000000000AE +:04C58A0000000000AD +:04C58B0000000000AC +:04C58C0000000000AB +:04C58D0000000000AA +:04C58E0000000000A9 +:04C58F0000000000A8 +:04C5900000000000A7 +:04C5910000000000A6 +:04C5920000000000A5 +:04C5930000000000A4 +:04C5940000000000A3 +:04C5950000000000A2 +:04C5960000000000A1 +:04C5970000000000A0 +:04C59800000000009F +:04C59900000000009E +:04C59A00000000009D +:04C59B00000000009C +:04C59C00000000009B +:04C59D00000000009A +:04C59E000000000099 +:04C59F000000000098 +:04C5A0000000000097 +:04C5A1000000000096 +:04C5A2000000000095 +:04C5A3000000000094 +:04C5A4000000000093 +:04C5A5000000000092 +:04C5A6000000000091 +:04C5A7000000000090 +:04C5A800000000008F +:04C5A900000000008E +:04C5AA00000000008D +:04C5AB00000000008C +:04C5AC00000000008B +:04C5AD00000000008A +:04C5AE000000000089 +:04C5AF000000000088 +:04C5B0000000000087 +:04C5B1000000000086 +:04C5B2000000000085 +:04C5B3000000000084 +:04C5B4000000000083 +:04C5B5000000000082 +:04C5B6000000000081 +:04C5B7000000000080 +:04C5B800000000007F +:04C5B900000000007E +:04C5BA00000000007D +:04C5BB00000000007C +:04C5BC00000000007B +:04C5BD00000000007A +:04C5BE000000000079 +:04C5BF000000000078 +:04C5C0000000000077 +:04C5C1000000000076 +:04C5C2000000000075 +:04C5C3000000000074 +:04C5C4000000000073 +:04C5C5000000000072 +:04C5C6000000000071 +:04C5C7000000000070 +:04C5C800000000006F +:04C5C900000000006E +:04C5CA00000000006D +:04C5CB00000000006C +:04C5CC00000000006B +:04C5CD00000000006A +:04C5CE000000000069 +:04C5CF000000000068 +:04C5D0000000000067 +:04C5D1000000000066 +:04C5D2000000000065 +:04C5D3000000000064 +:04C5D4000000000063 +:04C5D5000000000062 +:04C5D6000000000061 +:04C5D7000000000060 +:04C5D800000000005F +:04C5D900000000005E +:04C5DA00000000005D +:04C5DB00000000005C +:04C5DC00000000005B +:04C5DD00000000005A +:04C5DE000000000059 +:04C5DF000000000058 +:04C5E0000000000057 +:04C5E1000000000056 +:04C5E2000000000055 +:04C5E3000000000054 +:04C5E4000000000053 +:04C5E5000000000052 +:04C5E6000000000051 +:04C5E7000000000050 +:04C5E800000000004F +:04C5E900000000004E +:04C5EA00000000004D +:04C5EB00000000004C +:04C5EC00000000004B +:04C5ED00000000004A +:04C5EE000000000049 +:04C5EF000000000048 +:04C5F0000000000047 +:04C5F1000000000046 +:04C5F2000000000045 +:04C5F3000000000044 +:04C5F4000000000043 +:04C5F5000000000042 +:04C5F6000000000041 +:04C5F7000000000040 +:04C5F800000000003F +:04C5F900000000003E +:04C5FA00000000003D +:04C5FB00000000003C +:04C5FC00000000003B +:04C5FD00000000003A +:04C5FE000000000039 +:04C5FF000000000038 +:04C600000000000036 +:04C601000000000035 +:04C602000000000034 +:04C603000000000033 +:04C604000000000032 +:04C605000000000031 +:04C606000000000030 +:04C60700000000002F +:04C60800000000002E +:04C60900000000002D +:04C60A00000000002C +:04C60B00000000002B +:04C60C00000000002A +:04C60D000000000029 +:04C60E000000000028 +:04C60F000000000027 +:04C610000000000026 +:04C611000000000025 +:04C612000000000024 +:04C613000000000023 +:04C614000000000022 +:04C615000000000021 +:04C616000000000020 +:04C61700000000001F +:04C61800000000001E +:04C61900000000001D +:04C61A00000000001C +:04C61B00000000001B +:04C61C00000000001A +:04C61D000000000019 +:04C61E000000000018 +:04C61F000000000017 +:04C620000000000016 +:04C621000000000015 +:04C622000000000014 +:04C623000000000013 +:04C624000000000012 +:04C625000000000011 +:04C626000000000010 +:04C62700000000000F +:04C62800000000000E +:04C62900000000000D +:04C62A00000000000C +:04C62B00000000000B +:04C62C00000000000A +:04C62D000000000009 +:04C62E000000000008 +:04C62F000000000007 +:04C630000000000006 +:04C631000000000005 +:04C632000000000004 +:04C633000000000003 +:04C634000000000002 +:04C635000000000001 +:04C636000000000000 +:04C6370000000000FF +:04C6380000000000FE +:04C6390000000000FD +:04C63A0000000000FC +:04C63B0000000000FB +:04C63C0000000000FA +:04C63D0000000000F9 +:04C63E0000000000F8 +:04C63F0000000000F7 +:04C6400000000000F6 +:04C6410000000000F5 +:04C6420000000000F4 +:04C6430000000000F3 +:04C6440000000000F2 +:04C6450000000000F1 +:04C6460000000000F0 +:04C6470000000000EF +:04C6480000000000EE +:04C6490000000000ED +:04C64A0000000000EC +:04C64B0000000000EB +:04C64C0000000000EA +:04C64D0000000000E9 +:04C64E0000000000E8 +:04C64F0000000000E7 +:04C6500000000000E6 +:04C6510000000000E5 +:04C6520000000000E4 +:04C6530000000000E3 +:04C6540000000000E2 +:04C6550000000000E1 +:04C6560000000000E0 +:04C6570000000000DF +:04C6580000000000DE +:04C6590000000000DD +:04C65A0000000000DC +:04C65B0000000000DB +:04C65C0000000000DA +:04C65D0000000000D9 +:04C65E0000000000D8 +:04C65F0000000000D7 +:04C6600000000000D6 +:04C6610000000000D5 +:04C6620000000000D4 +:04C6630000000000D3 +:04C6640000000000D2 +:04C6650000000000D1 +:04C6660000000000D0 +:04C6670000000000CF +:04C6680000000000CE +:04C6690000000000CD +:04C66A0000000000CC +:04C66B0000000000CB +:04C66C0000000000CA +:04C66D0000000000C9 +:04C66E0000000000C8 +:04C66F0000000000C7 +:04C6700000000000C6 +:04C6710000000000C5 +:04C6720000000000C4 +:04C6730000000000C3 +:04C6740000000000C2 +:04C6750000000000C1 +:04C6760000000000C0 +:04C6770000000000BF +:04C6780000000000BE +:04C6790000000000BD +:04C67A0000000000BC +:04C67B0000000000BB +:04C67C0000000000BA +:04C67D0000000000B9 +:04C67E0000000000B8 +:04C67F0000000000B7 +:04C6800000000000B6 +:04C6810000000000B5 +:04C6820000000000B4 +:04C6830000000000B3 +:04C6840000000000B2 +:04C6850000000000B1 +:04C6860000000000B0 +:04C6870000000000AF +:04C6880000000000AE +:04C6890000000000AD +:04C68A0000000000AC +:04C68B0000000000AB +:04C68C0000000000AA +:04C68D0000000000A9 +:04C68E0000000000A8 +:04C68F0000000000A7 +:04C6900000000000A6 +:04C6910000000000A5 +:04C6920000000000A4 +:04C6930000000000A3 +:04C6940000000000A2 +:04C6950000000000A1 +:04C6960000000000A0 +:04C69700000000009F +:04C69800000000009E +:04C69900000000009D +:04C69A00000000009C +:04C69B00000000009B +:04C69C00000000009A +:04C69D000000000099 +:04C69E000000000098 +:04C69F000000000097 +:04C6A0000000000096 +:04C6A1000000000095 +:04C6A2000000000094 +:04C6A3000000000093 +:04C6A4000000000092 +:04C6A5000000000091 +:04C6A6000000000090 +:04C6A700000000008F +:04C6A800000000008E +:04C6A900000000008D +:04C6AA00000000008C +:04C6AB00000000008B +:04C6AC00000000008A +:04C6AD000000000089 +:04C6AE000000000088 +:04C6AF000000000087 +:04C6B0000000000086 +:04C6B1000000000085 +:04C6B2000000000084 +:04C6B3000000000083 +:04C6B4000000000082 +:04C6B5000000000081 +:04C6B6000000000080 +:04C6B700000000007F +:04C6B800000000007E +:04C6B900000000007D +:04C6BA00000000007C +:04C6BB00000000007B +:04C6BC00000000007A +:04C6BD000000000079 +:04C6BE000000000078 +:04C6BF000000000077 +:04C6C0000000000076 +:04C6C1000000000075 +:04C6C2000000000074 +:04C6C3000000000073 +:04C6C4000000000072 +:04C6C5000000000071 +:04C6C6000000000070 +:04C6C700000000006F +:04C6C800000000006E +:04C6C900000000006D +:04C6CA00000000006C +:04C6CB00000000006B +:04C6CC00000000006A +:04C6CD000000000069 +:04C6CE000000000068 +:04C6CF000000000067 +:04C6D0000000000066 +:04C6D1000000000065 +:04C6D2000000000064 +:04C6D3000000000063 +:04C6D4000000000062 +:04C6D5000000000061 +:04C6D6000000000060 +:04C6D700000000005F +:04C6D800000000005E +:04C6D900000000005D +:04C6DA00000000005C +:04C6DB00000000005B +:04C6DC00000000005A +:04C6DD000000000059 +:04C6DE000000000058 +:04C6DF000000000057 +:04C6E0000000000056 +:04C6E1000000000055 +:04C6E2000000000054 +:04C6E3000000000053 +:04C6E4000000000052 +:04C6E5000000000051 +:04C6E6000000000050 +:04C6E700000000004F +:04C6E800000000004E +:04C6E900000000004D +:04C6EA00000000004C +:04C6EB00000000004B +:04C6EC00000000004A +:04C6ED000000000049 +:04C6EE000000000048 +:04C6EF000000000047 +:04C6F0000000000046 +:04C6F1000000000045 +:04C6F2000000000044 +:04C6F3000000000043 +:04C6F4000000000042 +:04C6F5000000000041 +:04C6F6000000000040 +:04C6F700000000003F +:04C6F800000000003E +:04C6F900000000003D +:04C6FA00000000003C +:04C6FB00000000003B +:04C6FC00000000003A +:04C6FD000000000039 +:04C6FE000000000038 +:04C6FF000000000037 +:04C700000000000035 +:04C701000000000034 +:04C702000000000033 +:04C703000000000032 +:04C704000000000031 +:04C705000000000030 +:04C70600000000002F +:04C70700000000002E +:04C70800000000002D +:04C70900000000002C +:04C70A00000000002B +:04C70B00000000002A +:04C70C000000000029 +:04C70D000000000028 +:04C70E000000000027 +:04C70F000000000026 +:04C710000000000025 +:04C711000000000024 +:04C712000000000023 +:04C713000000000022 +:04C714000000000021 +:04C715000000000020 +:04C71600000000001F +:04C71700000000001E +:04C71800000000001D +:04C71900000000001C +:04C71A00000000001B +:04C71B00000000001A +:04C71C000000000019 +:04C71D000000000018 +:04C71E000000000017 +:04C71F000000000016 +:04C720000000000015 +:04C721000000000014 +:04C722000000000013 +:04C723000000000012 +:04C724000000000011 +:04C725000000000010 +:04C72600000000000F +:04C72700000000000E +:04C72800000000000D +:04C72900000000000C +:04C72A00000000000B +:04C72B00000000000A +:04C72C000000000009 +:04C72D000000000008 +:04C72E000000000007 +:04C72F000000000006 +:04C730000000000005 +:04C731000000000004 +:04C732000000000003 +:04C733000000000002 +:04C734000000000001 +:04C735000000000000 +:04C7360000000000FF +:04C7370000000000FE +:04C7380000000000FD +:04C7390000000000FC +:04C73A0000000000FB +:04C73B0000000000FA +:04C73C0000000000F9 +:04C73D0000000000F8 +:04C73E0000000000F7 +:04C73F0000000000F6 +:04C7400000000000F5 +:04C7410000000000F4 +:04C7420000000000F3 +:04C7430000000000F2 +:04C7440000000000F1 +:04C7450000000000F0 +:04C7460000000000EF +:04C7470000000000EE +:04C7480000000000ED +:04C7490000000000EC +:04C74A0000000000EB +:04C74B0000000000EA +:04C74C0000000000E9 +:04C74D0000000000E8 +:04C74E0000000000E7 +:04C74F0000000000E6 +:04C7500000000000E5 +:04C7510000000000E4 +:04C7520000000000E3 +:04C7530000000000E2 +:04C7540000000000E1 +:04C7550000000000E0 +:04C7560000000000DF +:04C7570000000000DE +:04C7580000000000DD +:04C7590000000000DC +:04C75A0000000000DB +:04C75B0000000000DA +:04C75C0000000000D9 +:04C75D0000000000D8 +:04C75E0000000000D7 +:04C75F0000000000D6 +:04C7600000000000D5 +:04C7610000000000D4 +:04C7620000000000D3 +:04C7630000000000D2 +:04C7640000000000D1 +:04C7650000000000D0 +:04C7660000000000CF +:04C7670000000000CE +:04C7680000000000CD +:04C7690000000000CC +:04C76A0000000000CB +:04C76B0000000000CA +:04C76C0000000000C9 +:04C76D0000000000C8 +:04C76E0000000000C7 +:04C76F0000000000C6 +:04C7700000000000C5 +:04C7710000000000C4 +:04C7720000000000C3 +:04C7730000000000C2 +:04C7740000000000C1 +:04C7750000000000C0 +:04C7760000000000BF +:04C7770000000000BE +:04C7780000000000BD +:04C7790000000000BC +:04C77A0000000000BB +:04C77B0000000000BA +:04C77C0000000000B9 +:04C77D0000000000B8 +:04C77E0000000000B7 +:04C77F0000000000B6 +:04C7800000000000B5 +:04C7810000000000B4 +:04C7820000000000B3 +:04C7830000000000B2 +:04C7840000000000B1 +:04C7850000000000B0 +:04C7860000000000AF +:04C7870000000000AE +:04C7880000000000AD +:04C7890000000000AC +:04C78A0000000000AB +:04C78B0000000000AA +:04C78C0000000000A9 +:04C78D0000000000A8 +:04C78E0000000000A7 +:04C78F0000000000A6 +:04C7900000000000A5 +:04C7910000000000A4 +:04C7920000000000A3 +:04C7930000000000A2 +:04C7940000000000A1 +:04C7950000000000A0 +:04C79600000000009F +:04C79700000000009E +:04C79800000000009D +:04C79900000000009C +:04C79A00000000009B +:04C79B00000000009A +:04C79C000000000099 +:04C79D000000000098 +:04C79E000000000097 +:04C79F000000000096 +:04C7A0000000000095 +:04C7A1000000000094 +:04C7A2000000000093 +:04C7A3000000000092 +:04C7A4000000000091 +:04C7A5000000000090 +:04C7A600000000008F +:04C7A700000000008E +:04C7A800000000008D +:04C7A900000000008C +:04C7AA00000000008B +:04C7AB00000000008A +:04C7AC000000000089 +:04C7AD000000000088 +:04C7AE000000000087 +:04C7AF000000000086 +:04C7B0000000000085 +:04C7B1000000000084 +:04C7B2000000000083 +:04C7B3000000000082 +:04C7B4000000000081 +:04C7B5000000000080 +:04C7B600000000007F +:04C7B700000000007E +:04C7B800000000007D +:04C7B900000000007C +:04C7BA00000000007B +:04C7BB00000000007A +:04C7BC000000000079 +:04C7BD000000000078 +:04C7BE000000000077 +:04C7BF000000000076 +:04C7C0000000000075 +:04C7C1000000000074 +:04C7C2000000000073 +:04C7C3000000000072 +:04C7C4000000000071 +:04C7C5000000000070 +:04C7C600000000006F +:04C7C700000000006E +:04C7C800000000006D +:04C7C900000000006C +:04C7CA00000000006B +:04C7CB00000000006A +:04C7CC000000000069 +:04C7CD000000000068 +:04C7CE000000000067 +:04C7CF000000000066 +:04C7D0000000000065 +:04C7D1000000000064 +:04C7D2000000000063 +:04C7D3000000000062 +:04C7D4000000000061 +:04C7D5000000000060 +:04C7D600000000005F +:04C7D700000000005E +:04C7D800000000005D +:04C7D900000000005C +:04C7DA00000000005B +:04C7DB00000000005A +:04C7DC000000000059 +:04C7DD000000000058 +:04C7DE000000000057 +:04C7DF000000000056 +:04C7E0000000000055 +:04C7E1000000000054 +:04C7E2000000000053 +:04C7E3000000000052 +:04C7E4000000000051 +:04C7E5000000000050 +:04C7E600000000004F +:04C7E700000000004E +:04C7E800000000004D +:04C7E900000000004C +:04C7EA00000000004B +:04C7EB00000000004A +:04C7EC000000000049 +:04C7ED000000000048 +:04C7EE000000000047 +:04C7EF000000000046 +:04C7F0000000000045 +:04C7F1000000000044 +:04C7F2000000000043 +:04C7F3000000000042 +:04C7F4000000000041 +:04C7F5000000000040 +:04C7F600000000003F +:04C7F700000000003E +:04C7F800000000003D +:04C7F900000000003C +:04C7FA00000000003B +:04C7FB00000000003A +:04C7FC000000000039 +:04C7FD000000000038 +:04C7FE000000000037 +:04C7FF000000000036 +:00000001ff diff --git a/nios_system/synthesis/submodules/nios_system_onchip_memory.v b/nios_system/synthesis/submodules/nios_system_onchip_memory.v new file mode 100644 index 0000000..c12e1cd --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_onchip_memory.v @@ -0,0 +1,85 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_onchip_memory ( + // inputs: + address, + byteenable, + chipselect, + clk, + clken, + reset, + reset_req, + write, + writedata, + + // outputs: + readdata + ) +; + + parameter INIT_FILE = "nios_system_onchip_memory.hex"; + + + output [ 31: 0] readdata; + input [ 15: 0] address; + input [ 3: 0] byteenable; + input chipselect; + input clk; + input clken; + input reset; + input reset_req; + input write; + input [ 31: 0] writedata; + + wire clocken0; + wire [ 31: 0] readdata; + wire wren; + assign wren = chipselect & write; + assign clocken0 = clken & ~reset_req; + altsyncram the_altsyncram + ( + .address_a (address), + .byteena_a (byteenable), + .clock0 (clk), + .clocken0 (clocken0), + .data_a (writedata), + .q_a (readdata), + .wren_a (wren) + ); + + defparam the_altsyncram.byte_size = 8, + the_altsyncram.init_file = INIT_FILE, + the_altsyncram.lpm_type = "altsyncram", + the_altsyncram.maximum_depth = 51200, + the_altsyncram.numwords_a = 51200, + the_altsyncram.operation_mode = "SINGLE_PORT", + the_altsyncram.outdata_reg_a = "UNREGISTERED", + the_altsyncram.ram_block_type = "AUTO", + the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", + the_altsyncram.width_a = 32, + the_altsyncram.width_byteena_a = 4, + the_altsyncram.widthad_a = 16; + + //s1, which is an e_avalon_slave + //s2, which is an e_avalon_slave + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_pio_0.v b/nios_system/synthesis/submodules/nios_system_pio_0.v new file mode 100644 index 0000000..9cd2e61 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_pio_0.v @@ -0,0 +1,58 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_pio_0 ( + // inputs: + address, + clk, + in_port, + reset_n, + + // outputs: + readdata + ) +; + + output [ 31: 0] readdata; + input [ 1: 0] address; + input clk; + input [ 17: 0] in_port; + input reset_n; + + wire clk_en; + wire [ 17: 0] data_in; + wire [ 17: 0] read_mux_out; + reg [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {18 {(address == 0)}} & data_in; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + readdata <= 0; + else if (clk_en) + readdata <= {32'b0 | read_mux_out}; + end + + + assign data_in = in_port; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_push_switches.v b/nios_system/synthesis/submodules/nios_system_push_switches.v new file mode 100644 index 0000000..5b3cb8d --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_push_switches.v @@ -0,0 +1,58 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_push_switches ( + // inputs: + address, + clk, + in_port, + reset_n, + + // outputs: + readdata + ) +; + + output [ 31: 0] readdata; + input [ 1: 0] address; + input clk; + input [ 2: 0] in_port; + input reset_n; + + wire clk_en; + wire [ 2: 0] data_in; + wire [ 2: 0] read_mux_out; + reg [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {3 {(address == 0)}} & data_in; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + readdata <= 0; + else if (clk_en) + readdata <= {32'b0 | read_mux_out}; + end + + + assign data_in = in_port; + +endmodule + diff --git a/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux.sv b/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux.sv new file mode 100644 index 0000000..44c4d45 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux.sv @@ -0,0 +1,116 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_demux +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 2 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_rsp_xbar_demux +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + output reg src1_valid, + output reg [96-1 : 0] src1_data, // ST_DATA_W=96 + output reg [18-1 : 0] src1_channel, // ST_CHANNEL_W=18 + output reg src1_startofpacket, + output reg src1_endofpacket, + input src1_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 2; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + src1_data = sink_data; + src1_startofpacket = sink_startofpacket; + src1_endofpacket = sink_endofpacket; + src1_channel = sink_channel >> NUM_OUTPUTS; + + src1_valid = sink_channel[1] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + assign ready_vector[1] = src1_ready; + + assign sink_ready = |(sink_channel & {{16{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux_002.sv b/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux_002.sv new file mode 100644 index 0000000..52411df --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux_002.sv @@ -0,0 +1,101 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_demux_002 +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 1 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_rsp_xbar_demux_002 +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 1; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + + assign sink_ready = |(sink_channel & {{17{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux_003.sv b/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux_003.sv new file mode 100644 index 0000000..755ac9e --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_rsp_xbar_demux_003.sv @@ -0,0 +1,101 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------- +// Merlin Demultiplexer +// +// Asserts valid on the appropriate output +// given a one-hot channel signal. +// ------------------------------------- + +`timescale 1 ns / 1 ns + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_demux_003 +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// NUM_OUTPUTS: 1 +// VALID_WIDTH: 1 +// ------------------------------------------ + +//------------------------------------------ +// Message Supression Used +// QIS Warnings +// 15610 - Warning: Design contains x input pin(s) that do not drive logic +//------------------------------------------ + +module nios_system_rsp_xbar_demux_003 +( + // ------------------- + // Sink + // ------------------- + input [1-1 : 0] sink_valid, + input [96-1 : 0] sink_data, // ST_DATA_W=96 + input [18-1 : 0] sink_channel, // ST_CHANNEL_W=18 + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Sources + // ------------------- + output reg src0_valid, + output reg [96-1 : 0] src0_data, // ST_DATA_W=96 + output reg [18-1 : 0] src0_channel, // ST_CHANNEL_W=18 + output reg src0_startofpacket, + output reg src0_endofpacket, + input src0_ready, + + + // ------------------- + // Clock & Reset + // ------------------- + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on clk + input clk, + (*altera_attribute = "-name MESSAGE_DISABLE 15610" *) // setting message suppression on reset + input reset + +); + + localparam NUM_OUTPUTS = 1; + wire [NUM_OUTPUTS - 1 : 0] ready_vector; + + // ------------------- + // Demux + // ------------------- + always @* begin + src0_data = sink_data; + src0_startofpacket = sink_startofpacket; + src0_endofpacket = sink_endofpacket; + src0_channel = sink_channel >> NUM_OUTPUTS; + + src0_valid = sink_channel[0] && sink_valid; + + end + + // ------------------- + // Backpressure + // ------------------- + assign ready_vector[0] = src0_ready; + + assign sink_ready = |(sink_channel & {{17{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + +endmodule + + diff --git a/nios_system/synthesis/submodules/nios_system_rsp_xbar_mux.sv b/nios_system/synthesis/submodules/nios_system_rsp_xbar_mux.sv new file mode 100644 index 0000000..6f30bfb --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_rsp_xbar_mux.sv @@ -0,0 +1,331 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Multiplexer +// ------------------------------------------ + +`timescale 1 ns / 1 ns + + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_mux +// NUM_INPUTS: 2 +// ARBITRATION_SHARES: 1 1 +// ARBITRATION_SCHEME "no-arb" +// PIPELINE_ARB: 0 +// PKT_TRANS_LOCK: 59 (arbitration locking enabled) +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// ------------------------------------------ + +module nios_system_rsp_xbar_mux +( + // ---------------------- + // Sinks + // ---------------------- + input sink0_valid, + input [96-1 : 0] sink0_data, + input [18-1: 0] sink0_channel, + input sink0_startofpacket, + input sink0_endofpacket, + output sink0_ready, + + input sink1_valid, + input [96-1 : 0] sink1_data, + input [18-1: 0] sink1_channel, + input sink1_startofpacket, + input sink1_endofpacket, + output sink1_ready, + + + // ---------------------- + // Source + // ---------------------- + output src_valid, + output [96-1 : 0] src_data, + output [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready, + + // ---------------------- + // Clock & Reset + // ---------------------- + input clk, + input reset +); + localparam PAYLOAD_W = 96 + 18 + 2; + localparam NUM_INPUTS = 2; + localparam SHARE_COUNTER_W = 1; + localparam PIPELINE_ARB = 0; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam PKT_TRANS_LOCK = 59; + + // ------------------------------------------ + // Signals + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] request; + wire [NUM_INPUTS - 1 : 0] valid; + wire [NUM_INPUTS - 1 : 0] grant; + wire [NUM_INPUTS - 1 : 0] next_grant; + reg [NUM_INPUTS - 1 : 0] saved_grant; + reg [PAYLOAD_W - 1 : 0] src_payload; + wire last_cycle; + reg packet_in_progress; + reg update_grant; + + wire [PAYLOAD_W - 1 : 0] sink0_payload; + wire [PAYLOAD_W - 1 : 0] sink1_payload; + + assign valid[0] = sink0_valid; + assign valid[1] = sink1_valid; + + + // ------------------------------------------ + // ------------------------------------------ + // Grant Logic & Updates + // ------------------------------------------ + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] lock; + always @* begin + lock[0] = sink0_data[59]; + lock[1] = sink1_data[59]; + end + + assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); + + // ------------------------------------------ + // We're working on a packet at any time valid is high, except + // when this is the endofpacket. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + packet_in_progress <= 1'b0; + end + else begin + if (src_valid) + packet_in_progress <= 1'b1; + if (last_cycle) + packet_in_progress <= 1'b0; + end + end + + + // ------------------------------------------ + // Shares + // + // Special case: all-equal shares _should_ be optimized into assigning a + // constant to next_grant_share. + // Special case: all-1's shares _should_ result in the share counter + // being optimized away. + // ------------------------------------------ + // Input | arb shares | counter load value + // 0 | 1 | 0 + // 1 | 1 | 0 + wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; + + // ------------------------------------------ + // Choose the share value corresponding to the grant. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] next_grant_share; + always @* begin + next_grant_share = + share_0 & { SHARE_COUNTER_W {next_grant[0]} } | + share_1 & { SHARE_COUNTER_W {next_grant[1]} }; + end + + // ------------------------------------------ + // Flag to indicate first packet of an arb sequence. + // ------------------------------------------ + wire grant_changed = ~packet_in_progress && !(saved_grant & valid); + reg first_packet_r; + wire first_packet = grant_changed | first_packet_r; + always @(posedge clk or posedge reset) begin + if (reset) begin + first_packet_r <= 1'b0; + end + else begin + if (update_grant) + first_packet_r <= 1'b1; + else if (last_cycle) + first_packet_r <= 1'b0; + else if (grant_changed) + first_packet_r <= 1'b1; + end + end + + // ------------------------------------------ + // Compute the next share-count value. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] p1_share_count; + reg [SHARE_COUNTER_W - 1 : 0] share_count; + reg share_count_zero_flag; + + always @* begin + if (first_packet) begin + p1_share_count = next_grant_share; + end + else begin + // Update the counter, but don't decrement below 0. + p1_share_count = share_count_zero_flag ? '0 : share_count - 1'b1; + end + end + + // ------------------------------------------ + // Update the share counter and share-counter=zero flag. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + share_count <= '0; + share_count_zero_flag <= 1'b1; + end + else begin + if (last_cycle) begin + share_count <= p1_share_count; + share_count_zero_flag <= (p1_share_count == '0); + end + end + end + + // ------------------------------------------ + // For each input, maintain a final_packet signal which goes active for the + // last packet of a full-share packet sequence. Example: if I have 4 + // shares and I'm continuously requesting, final_packet is active in the + // 4th packet. + // ------------------------------------------ + wire final_packet_0 = 1'b1; + + wire final_packet_1 = 1'b1; + + + // ------------------------------------------ + // Concatenate all final_packet signals (wire or reg) into a handy vector. + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] final_packet = { + final_packet_1, + final_packet_0 + }; + + // ------------------------------------------ + // ------------------------------------------ + wire p1_done = |(final_packet & grant); + + // ------------------------------------------ + // Flag for the first cycle of packets within an + // arb sequence + // ------------------------------------------ + reg first_cycle; + always @(posedge clk, posedge reset) begin + if (reset) + first_cycle <= 0; + else + first_cycle <= last_cycle && ~p1_done; + end + + + always @* begin + update_grant = 0; + + // ------------------------------------------ + // No arbitration pipeline, update grant whenever + // the current arb winner has consumed all shares, + // or all requests are low + // ------------------------------------------ + update_grant = (last_cycle && p1_done) || (first_cycle && !valid); + update_grant = last_cycle; + end + + wire save_grant; + assign save_grant = 1; + assign grant = next_grant; + + always @(posedge clk, posedge reset) begin + if (reset) + saved_grant <= '0; + else if (save_grant) + saved_grant <= next_grant; + end + + // ------------------------------------------ + // ------------------------------------------ + // Arbitrator + // ------------------------------------------ + // ------------------------------------------ + + // ------------------------------------------ + // Create a request vector that stays high during + // the packet for unpipelined arbitration. + // + // The pipelined arbitration scheme does not require + // request to be held high during the packet. + // ------------------------------------------ + assign request = valid; + + + altera_merlin_arbitrator + #( + .NUM_REQUESTERS(NUM_INPUTS), + .SCHEME ("no-arb"), + .PIPELINE (0) + ) arb ( + .clk (clk), + .reset (reset), + .request (request), + .grant (next_grant), + .save_top_priority (src_valid), + .increment_top_priority (update_grant) + ); + + // ------------------------------------------ + // ------------------------------------------ + // Mux + // + // Implemented as a sum of products. + // ------------------------------------------ + // ------------------------------------------ + + assign sink0_ready = src_ready && grant[0]; + assign sink1_ready = src_ready && grant[1]; + + assign src_valid = |(grant & valid); + + always @* begin + src_payload = + sink0_payload & {PAYLOAD_W {grant[0]} } | + sink1_payload & {PAYLOAD_W {grant[1]} }; + end + + // ------------------------------------------ + // Mux Payload Mapping + // ------------------------------------------ + + assign sink0_payload = {sink0_channel,sink0_data, + sink0_startofpacket,sink0_endofpacket}; + assign sink1_payload = {sink1_channel,sink1_data, + sink1_startofpacket,sink1_endofpacket}; + + assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; + +endmodule + + + diff --git a/nios_system/synthesis/submodules/nios_system_rsp_xbar_mux_001.sv b/nios_system/synthesis/submodules/nios_system_rsp_xbar_mux_001.sv new file mode 100644 index 0000000..ce9f7a1 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_rsp_xbar_mux_001.sv @@ -0,0 +1,651 @@ +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/13.0sp1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2013/03/07 $ +// $Author: swbranch $ + +// ------------------------------------------ +// Merlin Multiplexer +// ------------------------------------------ + +`timescale 1 ns / 1 ns + + +// ------------------------------------------ +// Generation parameters: +// output_name: nios_system_rsp_xbar_mux_001 +// NUM_INPUTS: 18 +// ARBITRATION_SHARES: 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 +// ARBITRATION_SCHEME "no-arb" +// PIPELINE_ARB: 0 +// PKT_TRANS_LOCK: 59 (arbitration locking enabled) +// ST_DATA_W: 96 +// ST_CHANNEL_W: 18 +// ------------------------------------------ + +module nios_system_rsp_xbar_mux_001 +( + // ---------------------- + // Sinks + // ---------------------- + input sink0_valid, + input [96-1 : 0] sink0_data, + input [18-1: 0] sink0_channel, + input sink0_startofpacket, + input sink0_endofpacket, + output sink0_ready, + + input sink1_valid, + input [96-1 : 0] sink1_data, + input [18-1: 0] sink1_channel, + input sink1_startofpacket, + input sink1_endofpacket, + output sink1_ready, + + input sink2_valid, + input [96-1 : 0] sink2_data, + input [18-1: 0] sink2_channel, + input sink2_startofpacket, + input sink2_endofpacket, + output sink2_ready, + + input sink3_valid, + input [96-1 : 0] sink3_data, + input [18-1: 0] sink3_channel, + input sink3_startofpacket, + input sink3_endofpacket, + output sink3_ready, + + input sink4_valid, + input [96-1 : 0] sink4_data, + input [18-1: 0] sink4_channel, + input sink4_startofpacket, + input sink4_endofpacket, + output sink4_ready, + + input sink5_valid, + input [96-1 : 0] sink5_data, + input [18-1: 0] sink5_channel, + input sink5_startofpacket, + input sink5_endofpacket, + output sink5_ready, + + input sink6_valid, + input [96-1 : 0] sink6_data, + input [18-1: 0] sink6_channel, + input sink6_startofpacket, + input sink6_endofpacket, + output sink6_ready, + + input sink7_valid, + input [96-1 : 0] sink7_data, + input [18-1: 0] sink7_channel, + input sink7_startofpacket, + input sink7_endofpacket, + output sink7_ready, + + input sink8_valid, + input [96-1 : 0] sink8_data, + input [18-1: 0] sink8_channel, + input sink8_startofpacket, + input sink8_endofpacket, + output sink8_ready, + + input sink9_valid, + input [96-1 : 0] sink9_data, + input [18-1: 0] sink9_channel, + input sink9_startofpacket, + input sink9_endofpacket, + output sink9_ready, + + input sink10_valid, + input [96-1 : 0] sink10_data, + input [18-1: 0] sink10_channel, + input sink10_startofpacket, + input sink10_endofpacket, + output sink10_ready, + + input sink11_valid, + input [96-1 : 0] sink11_data, + input [18-1: 0] sink11_channel, + input sink11_startofpacket, + input sink11_endofpacket, + output sink11_ready, + + input sink12_valid, + input [96-1 : 0] sink12_data, + input [18-1: 0] sink12_channel, + input sink12_startofpacket, + input sink12_endofpacket, + output sink12_ready, + + input sink13_valid, + input [96-1 : 0] sink13_data, + input [18-1: 0] sink13_channel, + input sink13_startofpacket, + input sink13_endofpacket, + output sink13_ready, + + input sink14_valid, + input [96-1 : 0] sink14_data, + input [18-1: 0] sink14_channel, + input sink14_startofpacket, + input sink14_endofpacket, + output sink14_ready, + + input sink15_valid, + input [96-1 : 0] sink15_data, + input [18-1: 0] sink15_channel, + input sink15_startofpacket, + input sink15_endofpacket, + output sink15_ready, + + input sink16_valid, + input [96-1 : 0] sink16_data, + input [18-1: 0] sink16_channel, + input sink16_startofpacket, + input sink16_endofpacket, + output sink16_ready, + + input sink17_valid, + input [96-1 : 0] sink17_data, + input [18-1: 0] sink17_channel, + input sink17_startofpacket, + input sink17_endofpacket, + output sink17_ready, + + + // ---------------------- + // Source + // ---------------------- + output src_valid, + output [96-1 : 0] src_data, + output [18-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready, + + // ---------------------- + // Clock & Reset + // ---------------------- + input clk, + input reset +); + localparam PAYLOAD_W = 96 + 18 + 2; + localparam NUM_INPUTS = 18; + localparam SHARE_COUNTER_W = 1; + localparam PIPELINE_ARB = 0; + localparam ST_DATA_W = 96; + localparam ST_CHANNEL_W = 18; + localparam PKT_TRANS_LOCK = 59; + + // ------------------------------------------ + // Signals + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] request; + wire [NUM_INPUTS - 1 : 0] valid; + wire [NUM_INPUTS - 1 : 0] grant; + wire [NUM_INPUTS - 1 : 0] next_grant; + reg [NUM_INPUTS - 1 : 0] saved_grant; + reg [PAYLOAD_W - 1 : 0] src_payload; + wire last_cycle; + reg packet_in_progress; + reg update_grant; + + wire [PAYLOAD_W - 1 : 0] sink0_payload; + wire [PAYLOAD_W - 1 : 0] sink1_payload; + wire [PAYLOAD_W - 1 : 0] sink2_payload; + wire [PAYLOAD_W - 1 : 0] sink3_payload; + wire [PAYLOAD_W - 1 : 0] sink4_payload; + wire [PAYLOAD_W - 1 : 0] sink5_payload; + wire [PAYLOAD_W - 1 : 0] sink6_payload; + wire [PAYLOAD_W - 1 : 0] sink7_payload; + wire [PAYLOAD_W - 1 : 0] sink8_payload; + wire [PAYLOAD_W - 1 : 0] sink9_payload; + wire [PAYLOAD_W - 1 : 0] sink10_payload; + wire [PAYLOAD_W - 1 : 0] sink11_payload; + wire [PAYLOAD_W - 1 : 0] sink12_payload; + wire [PAYLOAD_W - 1 : 0] sink13_payload; + wire [PAYLOAD_W - 1 : 0] sink14_payload; + wire [PAYLOAD_W - 1 : 0] sink15_payload; + wire [PAYLOAD_W - 1 : 0] sink16_payload; + wire [PAYLOAD_W - 1 : 0] sink17_payload; + + assign valid[0] = sink0_valid; + assign valid[1] = sink1_valid; + assign valid[2] = sink2_valid; + assign valid[3] = sink3_valid; + assign valid[4] = sink4_valid; + assign valid[5] = sink5_valid; + assign valid[6] = sink6_valid; + assign valid[7] = sink7_valid; + assign valid[8] = sink8_valid; + assign valid[9] = sink9_valid; + assign valid[10] = sink10_valid; + assign valid[11] = sink11_valid; + assign valid[12] = sink12_valid; + assign valid[13] = sink13_valid; + assign valid[14] = sink14_valid; + assign valid[15] = sink15_valid; + assign valid[16] = sink16_valid; + assign valid[17] = sink17_valid; + + + // ------------------------------------------ + // ------------------------------------------ + // Grant Logic & Updates + // ------------------------------------------ + // ------------------------------------------ + reg [NUM_INPUTS - 1 : 0] lock; + always @* begin + lock[0] = sink0_data[59]; + lock[1] = sink1_data[59]; + lock[2] = sink2_data[59]; + lock[3] = sink3_data[59]; + lock[4] = sink4_data[59]; + lock[5] = sink5_data[59]; + lock[6] = sink6_data[59]; + lock[7] = sink7_data[59]; + lock[8] = sink8_data[59]; + lock[9] = sink9_data[59]; + lock[10] = sink10_data[59]; + lock[11] = sink11_data[59]; + lock[12] = sink12_data[59]; + lock[13] = sink13_data[59]; + lock[14] = sink14_data[59]; + lock[15] = sink15_data[59]; + lock[16] = sink16_data[59]; + lock[17] = sink17_data[59]; + end + + assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); + + // ------------------------------------------ + // We're working on a packet at any time valid is high, except + // when this is the endofpacket. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + packet_in_progress <= 1'b0; + end + else begin + if (src_valid) + packet_in_progress <= 1'b1; + if (last_cycle) + packet_in_progress <= 1'b0; + end + end + + + // ------------------------------------------ + // Shares + // + // Special case: all-equal shares _should_ be optimized into assigning a + // constant to next_grant_share. + // Special case: all-1's shares _should_ result in the share counter + // being optimized away. + // ------------------------------------------ + // Input | arb shares | counter load value + // 0 | 1 | 0 + // 1 | 1 | 0 + // 2 | 1 | 0 + // 3 | 1 | 0 + // 4 | 1 | 0 + // 5 | 1 | 0 + // 6 | 1 | 0 + // 7 | 1 | 0 + // 8 | 1 | 0 + // 9 | 1 | 0 + // 10 | 1 | 0 + // 11 | 1 | 0 + // 12 | 1 | 0 + // 13 | 1 | 0 + // 14 | 1 | 0 + // 15 | 1 | 0 + // 16 | 1 | 0 + // 17 | 1 | 0 + wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_2 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_3 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_4 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_5 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_6 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_7 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_8 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_9 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_10 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_11 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_12 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_13 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_14 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_15 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_16 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_17 = 1'd0; + + // ------------------------------------------ + // Choose the share value corresponding to the grant. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] next_grant_share; + always @* begin + next_grant_share = + share_0 & { SHARE_COUNTER_W {next_grant[0]} } | + share_1 & { SHARE_COUNTER_W {next_grant[1]} } | + share_2 & { SHARE_COUNTER_W {next_grant[2]} } | + share_3 & { SHARE_COUNTER_W {next_grant[3]} } | + share_4 & { SHARE_COUNTER_W {next_grant[4]} } | + share_5 & { SHARE_COUNTER_W {next_grant[5]} } | + share_6 & { SHARE_COUNTER_W {next_grant[6]} } | + share_7 & { SHARE_COUNTER_W {next_grant[7]} } | + share_8 & { SHARE_COUNTER_W {next_grant[8]} } | + share_9 & { SHARE_COUNTER_W {next_grant[9]} } | + share_10 & { SHARE_COUNTER_W {next_grant[10]} } | + share_11 & { SHARE_COUNTER_W {next_grant[11]} } | + share_12 & { SHARE_COUNTER_W {next_grant[12]} } | + share_13 & { SHARE_COUNTER_W {next_grant[13]} } | + share_14 & { SHARE_COUNTER_W {next_grant[14]} } | + share_15 & { SHARE_COUNTER_W {next_grant[15]} } | + share_16 & { SHARE_COUNTER_W {next_grant[16]} } | + share_17 & { SHARE_COUNTER_W {next_grant[17]} }; + end + + // ------------------------------------------ + // Flag to indicate first packet of an arb sequence. + // ------------------------------------------ + wire grant_changed = ~packet_in_progress && !(saved_grant & valid); + reg first_packet_r; + wire first_packet = grant_changed | first_packet_r; + always @(posedge clk or posedge reset) begin + if (reset) begin + first_packet_r <= 1'b0; + end + else begin + if (update_grant) + first_packet_r <= 1'b1; + else if (last_cycle) + first_packet_r <= 1'b0; + else if (grant_changed) + first_packet_r <= 1'b1; + end + end + + // ------------------------------------------ + // Compute the next share-count value. + // ------------------------------------------ + reg [SHARE_COUNTER_W - 1 : 0] p1_share_count; + reg [SHARE_COUNTER_W - 1 : 0] share_count; + reg share_count_zero_flag; + + always @* begin + if (first_packet) begin + p1_share_count = next_grant_share; + end + else begin + // Update the counter, but don't decrement below 0. + p1_share_count = share_count_zero_flag ? '0 : share_count - 1'b1; + end + end + + // ------------------------------------------ + // Update the share counter and share-counter=zero flag. + // ------------------------------------------ + always @(posedge clk or posedge reset) begin + if (reset) begin + share_count <= '0; + share_count_zero_flag <= 1'b1; + end + else begin + if (last_cycle) begin + share_count <= p1_share_count; + share_count_zero_flag <= (p1_share_count == '0); + end + end + end + + // ------------------------------------------ + // For each input, maintain a final_packet signal which goes active for the + // last packet of a full-share packet sequence. Example: if I have 4 + // shares and I'm continuously requesting, final_packet is active in the + // 4th packet. + // ------------------------------------------ + wire final_packet_0 = 1'b1; + + wire final_packet_1 = 1'b1; + + wire final_packet_2 = 1'b1; + + wire final_packet_3 = 1'b1; + + wire final_packet_4 = 1'b1; + + wire final_packet_5 = 1'b1; + + wire final_packet_6 = 1'b1; + + wire final_packet_7 = 1'b1; + + wire final_packet_8 = 1'b1; + + wire final_packet_9 = 1'b1; + + wire final_packet_10 = 1'b1; + + wire final_packet_11 = 1'b1; + + wire final_packet_12 = 1'b1; + + wire final_packet_13 = 1'b1; + + wire final_packet_14 = 1'b1; + + wire final_packet_15 = 1'b1; + + wire final_packet_16 = 1'b1; + + wire final_packet_17 = 1'b1; + + + // ------------------------------------------ + // Concatenate all final_packet signals (wire or reg) into a handy vector. + // ------------------------------------------ + wire [NUM_INPUTS - 1 : 0] final_packet = { + final_packet_17, + final_packet_16, + final_packet_15, + final_packet_14, + final_packet_13, + final_packet_12, + final_packet_11, + final_packet_10, + final_packet_9, + final_packet_8, + final_packet_7, + final_packet_6, + final_packet_5, + final_packet_4, + final_packet_3, + final_packet_2, + final_packet_1, + final_packet_0 + }; + + // ------------------------------------------ + // ------------------------------------------ + wire p1_done = |(final_packet & grant); + + // ------------------------------------------ + // Flag for the first cycle of packets within an + // arb sequence + // ------------------------------------------ + reg first_cycle; + always @(posedge clk, posedge reset) begin + if (reset) + first_cycle <= 0; + else + first_cycle <= last_cycle && ~p1_done; + end + + + always @* begin + update_grant = 0; + + // ------------------------------------------ + // No arbitration pipeline, update grant whenever + // the current arb winner has consumed all shares, + // or all requests are low + // ------------------------------------------ + update_grant = (last_cycle && p1_done) || (first_cycle && !valid); + update_grant = last_cycle; + end + + wire save_grant; + assign save_grant = 1; + assign grant = next_grant; + + always @(posedge clk, posedge reset) begin + if (reset) + saved_grant <= '0; + else if (save_grant) + saved_grant <= next_grant; + end + + // ------------------------------------------ + // ------------------------------------------ + // Arbitrator + // ------------------------------------------ + // ------------------------------------------ + + // ------------------------------------------ + // Create a request vector that stays high during + // the packet for unpipelined arbitration. + // + // The pipelined arbitration scheme does not require + // request to be held high during the packet. + // ------------------------------------------ + assign request = valid; + + + altera_merlin_arbitrator + #( + .NUM_REQUESTERS(NUM_INPUTS), + .SCHEME ("no-arb"), + .PIPELINE (0) + ) arb ( + .clk (clk), + .reset (reset), + .request (request), + .grant (next_grant), + .save_top_priority (src_valid), + .increment_top_priority (update_grant) + ); + + // ------------------------------------------ + // ------------------------------------------ + // Mux + // + // Implemented as a sum of products. + // ------------------------------------------ + // ------------------------------------------ + + assign sink0_ready = src_ready && grant[0]; + assign sink1_ready = src_ready && grant[1]; + assign sink2_ready = src_ready && grant[2]; + assign sink3_ready = src_ready && grant[3]; + assign sink4_ready = src_ready && grant[4]; + assign sink5_ready = src_ready && grant[5]; + assign sink6_ready = src_ready && grant[6]; + assign sink7_ready = src_ready && grant[7]; + assign sink8_ready = src_ready && grant[8]; + assign sink9_ready = src_ready && grant[9]; + assign sink10_ready = src_ready && grant[10]; + assign sink11_ready = src_ready && grant[11]; + assign sink12_ready = src_ready && grant[12]; + assign sink13_ready = src_ready && grant[13]; + assign sink14_ready = src_ready && grant[14]; + assign sink15_ready = src_ready && grant[15]; + assign sink16_ready = src_ready && grant[16]; + assign sink17_ready = src_ready && grant[17]; + + assign src_valid = |(grant & valid); + + always @* begin + src_payload = + sink0_payload & {PAYLOAD_W {grant[0]} } | + sink1_payload & {PAYLOAD_W {grant[1]} } | + sink2_payload & {PAYLOAD_W {grant[2]} } | + sink3_payload & {PAYLOAD_W {grant[3]} } | + sink4_payload & {PAYLOAD_W {grant[4]} } | + sink5_payload & {PAYLOAD_W {grant[5]} } | + sink6_payload & {PAYLOAD_W {grant[6]} } | + sink7_payload & {PAYLOAD_W {grant[7]} } | + sink8_payload & {PAYLOAD_W {grant[8]} } | + sink9_payload & {PAYLOAD_W {grant[9]} } | + sink10_payload & {PAYLOAD_W {grant[10]} } | + sink11_payload & {PAYLOAD_W {grant[11]} } | + sink12_payload & {PAYLOAD_W {grant[12]} } | + sink13_payload & {PAYLOAD_W {grant[13]} } | + sink14_payload & {PAYLOAD_W {grant[14]} } | + sink15_payload & {PAYLOAD_W {grant[15]} } | + sink16_payload & {PAYLOAD_W {grant[16]} } | + sink17_payload & {PAYLOAD_W {grant[17]} }; + end + + // ------------------------------------------ + // Mux Payload Mapping + // ------------------------------------------ + + assign sink0_payload = {sink0_channel,sink0_data, + sink0_startofpacket,sink0_endofpacket}; + assign sink1_payload = {sink1_channel,sink1_data, + sink1_startofpacket,sink1_endofpacket}; + assign sink2_payload = {sink2_channel,sink2_data, + sink2_startofpacket,sink2_endofpacket}; + assign sink3_payload = {sink3_channel,sink3_data, + sink3_startofpacket,sink3_endofpacket}; + assign sink4_payload = {sink4_channel,sink4_data, + sink4_startofpacket,sink4_endofpacket}; + assign sink5_payload = {sink5_channel,sink5_data, + sink5_startofpacket,sink5_endofpacket}; + assign sink6_payload = {sink6_channel,sink6_data, + sink6_startofpacket,sink6_endofpacket}; + assign sink7_payload = {sink7_channel,sink7_data, + sink7_startofpacket,sink7_endofpacket}; + assign sink8_payload = {sink8_channel,sink8_data, + sink8_startofpacket,sink8_endofpacket}; + assign sink9_payload = {sink9_channel,sink9_data, + sink9_startofpacket,sink9_endofpacket}; + assign sink10_payload = {sink10_channel,sink10_data, + sink10_startofpacket,sink10_endofpacket}; + assign sink11_payload = {sink11_channel,sink11_data, + sink11_startofpacket,sink11_endofpacket}; + assign sink12_payload = {sink12_channel,sink12_data, + sink12_startofpacket,sink12_endofpacket}; + assign sink13_payload = {sink13_channel,sink13_data, + sink13_startofpacket,sink13_endofpacket}; + assign sink14_payload = {sink14_channel,sink14_data, + sink14_startofpacket,sink14_endofpacket}; + assign sink15_payload = {sink15_channel,sink15_data, + sink15_startofpacket,sink15_endofpacket}; + assign sink16_payload = {sink16_channel,sink16_data, + sink16_startofpacket,sink16_endofpacket}; + assign sink17_payload = {sink17_channel,sink17_data, + sink17_startofpacket,sink17_endofpacket}; + + assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; + +endmodule + + + diff --git a/nios_system/synthesis/submodules/nios_system_switches.v b/nios_system/synthesis/submodules/nios_system_switches.v new file mode 100644 index 0000000..1fd0e98 --- /dev/null +++ b/nios_system/synthesis/submodules/nios_system_switches.v @@ -0,0 +1,58 @@ +//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your +//use of Altera Corporation's design tools, logic functions and other +//software and tools, and its AMPP partner logic functions, and any +//output files any of the foregoing (including device programming or +//simulation files), and any associated documentation or information are +//expressly subject to the terms and conditions of the Altera Program +//License Subscription Agreement or other applicable license agreement, +//including, without limitation, that your use is for the sole purpose +//of programming logic devices manufactured by Altera and sold by Altera +//or its authorized distributors. Please refer to the applicable +//agreement for further details. + +// synthesis translate_off +`timescale 1ns / 1ps +// synthesis translate_on + +// turn off superfluous verilog processor warnings +// altera message_level Level1 +// altera message_off 10034 10035 10036 10037 10230 10240 10030 + +module nios_system_switches ( + // inputs: + address, + clk, + in_port, + reset_n, + + // outputs: + readdata + ) +; + + output [ 31: 0] readdata; + input [ 1: 0] address; + input clk; + input [ 17: 0] in_port; + input reset_n; + + wire clk_en; + wire [ 17: 0] data_in; + wire [ 17: 0] read_mux_out; + reg [ 31: 0] readdata; + assign clk_en = 1; + //s1, which is an e_avalon_slave + assign read_mux_out = {18 {(address == 0)}} & data_in; + always @(posedge clk or negedge reset_n) + begin + if (reset_n == 0) + readdata <= 0; + else if (clk_en) + readdata <= {32'b0 | read_mux_out}; + end + + + assign data_in = in_port; + +endmodule + diff --git a/nios_system_generation.rpt b/nios_system_generation.rpt new file mode 100644 index 0000000..b559a41 --- /dev/null +++ b/nios_system_generation.rpt @@ -0,0 +1,319 @@ +Info: Starting: Create block symbol file (.bsf) +Info: ip-generate --project-directory=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/ --output-directory=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system/ --report-file=bsf:C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.bsf --system-info=DEVICE_FAMILY="Cyclone IV E" --system-info=DEVICE=EP4CE115F29C7 --system-info=DEVICE_SPEEDGRADE=7 --component-file=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.qsys +Progress: Loading qsys_tutorial/nios_system.qsys +Progress: Reading input file +Progress: Adding clk_0 [clock_source 13.0] +Progress: Parameterizing module clk_0 +Progress: Adding nios2_processor [altera_nios2_qsys 13.0] +Progress: Parameterizing module nios2_processor +Progress: Adding onchip_memory [altera_avalon_onchip_memory2 13.0.1.99.2] +Progress: Parameterizing module onchip_memory +Progress: Adding jtag_uart [altera_avalon_jtag_uart 13.0.1.99.2] +Progress: Parameterizing module jtag_uart +Progress: Adding LEDs [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module LEDs +Progress: Adding LEDRs [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module LEDRs +Progress: Adding switches [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module switches +Progress: Adding push_switches [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module push_switches +Progress: Adding hex0 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex0 +Progress: Adding hex1 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex1 +Progress: Adding hex2 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex2 +Progress: Adding hex3 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex3 +Progress: Adding hex4 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex4 +Progress: Adding hex5 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex5 +Progress: Adding hex6 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex6 +Progress: Adding hex7 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex7 +Progress: Adding lcd_16207_0 [altera_avalon_lcd_16207 13.0.1.99.2] +Progress: Parameterizing module lcd_16207_0 +Progress: Adding lcd_on [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module lcd_on +Progress: Adding lcd_blon [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module lcd_blon +Progress: Building connections +Progress: Parameterizing connections +Progress: Validating +Progress: Done reading input file +Info: nios_system.switches: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. +Info: nios_system.push_switches: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. +Info: ip-generate succeeded. +Info: Finished: Create block symbol file (.bsf) +Info: +Info: Starting: Create HDL design files for synthesis +Info: ip-generate --project-directory=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/ --output-directory=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system/synthesis/ --file-set=QUARTUS_SYNTH --report-file=sopcinfo:C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo --report-file=html:C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.html --report-file=qip:C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system/synthesis/nios_system.qip --report-file=cmp:C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.cmp --report-file=svd --system-info=DEVICE_FAMILY="Cyclone IV E" --system-info=DEVICE=EP4CE115F29C7 --system-info=DEVICE_SPEEDGRADE=7 --component-file=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.qsys --language=VERILOG +Progress: Loading qsys_tutorial/nios_system.qsys +Progress: Reading input file +Progress: Adding clk_0 [clock_source 13.0] +Progress: Parameterizing module clk_0 +Progress: Adding nios2_processor [altera_nios2_qsys 13.0] +Progress: Parameterizing module nios2_processor +Progress: Adding onchip_memory [altera_avalon_onchip_memory2 13.0.1.99.2] +Progress: Parameterizing module onchip_memory +Progress: Adding jtag_uart [altera_avalon_jtag_uart 13.0.1.99.2] +Progress: Parameterizing module jtag_uart +Progress: Adding LEDs [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module LEDs +Progress: Adding LEDRs [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module LEDRs +Progress: Adding switches [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module switches +Progress: Adding push_switches [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module push_switches +Progress: Adding hex0 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex0 +Progress: Adding hex1 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex1 +Progress: Adding hex2 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex2 +Progress: Adding hex3 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex3 +Progress: Adding hex4 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex4 +Progress: Adding hex5 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex5 +Progress: Adding hex6 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex6 +Progress: Adding hex7 [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module hex7 +Progress: Adding lcd_16207_0 [altera_avalon_lcd_16207 13.0.1.99.2] +Progress: Parameterizing module lcd_16207_0 +Progress: Adding lcd_on [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module lcd_on +Progress: Adding lcd_blon [altera_avalon_pio 13.0.1.99.2] +Progress: Parameterizing module lcd_blon +Progress: Building connections +Progress: Parameterizing connections +Progress: Validating +Progress: Done reading input file +Info: nios_system.switches: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. +Info: nios_system.push_switches: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. +Info: nios_system: Generating nios_system "nios_system" for QUARTUS_SYNTH +Info: pipeline_bridge_swap_transform: After transform: 19 modules, 75 connections +Info: No custom instruction connections, skipping transform +Info: merlin_translator_transform: After transform: 39 modules, 155 connections +Info: merlin_domain_transform: After transform: 78 modules, 423 connections +Info: merlin_router_transform: After transform: 98 modules, 503 connections +Info: reset_adaptation_transform: After transform: 99 modules, 390 connections +Info: merlin_network_to_switch_transform: After transform: 138 modules, 470 connections +Info: merlin_mm_transform: After transform: 138 modules, 470 connections +Info: merlin_interrupt_mapper_transform: After transform: 139 modules, 473 connections +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Warning: nios_system: "No matching role found for rst_controller:reset_out:reset_req (reset_req)" +Info: nios2_processor: Starting RTL generation for module 'nios_system_nios2_processor' +Info: nios2_processor: Generation command is [exec C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/eperl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/13.0sp1/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=nios_system_nios2_processor --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0001_nios2_processor_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0001_nios2_processor_gen//nios_system_nios2_processor_processor_configuration.pl --do_build_sim=0 --bogus ] +Info: nios2_processor: # 2016.12.22 10:06:19 (*) Starting Nios II generation +Info: nios2_processor: # 2016.12.22 10:06:19 (*) Checking for plaintext license. +Info: nios2_processor: # 2016.12.22 10:06:20 (*) Couldn't query license setup in Quartus directory C:/altera/13.0sp1/quartus +Info: nios2_processor: # 2016.12.22 10:06:20 (*) Defaulting to contents of LM_LICENSE_FILE environment variable +Info: nios2_processor: # 2016.12.22 10:06:20 (*) LM_LICENSE_FILE environment variable is empty +Info: nios2_processor: # 2016.12.22 10:06:20 (*) Plaintext license not found. +Info: nios2_processor: # 2016.12.22 10:06:20 (*) No license required to generate encrypted Nios II/e. +Info: nios2_processor: # 2016.12.22 10:06:20 (*) Elaborating CPU configuration settings +Info: nios2_processor: # 2016.12.22 10:06:20 (*) Creating all objects for CPU +Info: nios2_processor: # 2016.12.22 10:06:21 (*) Generating RTL from CPU objects +Info: nios2_processor: # 2016.12.22 10:06:21 (*) Creating plain-text RTL +Info: nios2_processor: # 2016.12.22 10:06:23 (*) Done Nios II generation +Info: nios2_processor: Done RTL generation for module 'nios_system_nios2_processor' +Info: nios2_processor: "nios_system" instantiated altera_nios2_qsys "nios2_processor" +Info: onchip_memory: Starting RTL generation for module 'nios_system_onchip_memory' +Info: onchip_memory: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios_system_onchip_memory --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0002_onchip_memory_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0002_onchip_memory_gen//nios_system_onchip_memory_component_configuration.pl --do_build_sim=0 ] +Info: onchip_memory: Done RTL generation for module 'nios_system_onchip_memory' +Info: onchip_memory: "nios_system" instantiated altera_avalon_onchip_memory2 "onchip_memory" +Info: jtag_uart: Starting RTL generation for module 'nios_system_jtag_uart' +Info: jtag_uart: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios_system_jtag_uart --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0003_jtag_uart_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0003_jtag_uart_gen//nios_system_jtag_uart_component_configuration.pl --do_build_sim=0 ] +Info: jtag_uart: Done RTL generation for module 'nios_system_jtag_uart' +Info: jtag_uart: "nios_system" instantiated altera_avalon_jtag_uart "jtag_uart" +Info: LEDs: Starting RTL generation for module 'nios_system_LEDs' +Info: LEDs: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_LEDs --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0004_LEDs_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0004_LEDs_gen//nios_system_LEDs_component_configuration.pl --do_build_sim=0 ] +Info: LEDs: Done RTL generation for module 'nios_system_LEDs' +Info: LEDs: "nios_system" instantiated altera_avalon_pio "LEDs" +Info: LEDRs: Starting RTL generation for module 'nios_system_LEDRs' +Info: LEDRs: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_LEDRs --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0005_LEDRs_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0005_LEDRs_gen//nios_system_LEDRs_component_configuration.pl --do_build_sim=0 ] +Info: LEDRs: Done RTL generation for module 'nios_system_LEDRs' +Info: LEDRs: "nios_system" instantiated altera_avalon_pio "LEDRs" +Info: switches: Starting RTL generation for module 'nios_system_switches' +Info: switches: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_switches --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0006_switches_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0006_switches_gen//nios_system_switches_component_configuration.pl --do_build_sim=0 ] +Info: switches: Done RTL generation for module 'nios_system_switches' +Info: switches: "nios_system" instantiated altera_avalon_pio "switches" +Info: push_switches: Starting RTL generation for module 'nios_system_push_switches' +Info: push_switches: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_push_switches --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0007_push_switches_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0007_push_switches_gen//nios_system_push_switches_component_configuration.pl --do_build_sim=0 ] +Info: push_switches: Done RTL generation for module 'nios_system_push_switches' +Info: push_switches: "nios_system" instantiated altera_avalon_pio "push_switches" +Info: hex0: Starting RTL generation for module 'nios_system_hex0' +Info: hex0: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_hex0 --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0008_hex0_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0008_hex0_gen//nios_system_hex0_component_configuration.pl --do_build_sim=0 ] +Info: hex0: Done RTL generation for module 'nios_system_hex0' +Info: hex0: "nios_system" instantiated altera_avalon_pio "hex0" +Info: lcd_16207_0: Starting RTL generation for module 'nios_system_lcd_16207_0' +Info: lcd_16207_0: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/generate_rtl.pl --name=nios_system_lcd_16207_0 --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0009_lcd_16207_0_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0009_lcd_16207_0_gen//nios_system_lcd_16207_0_component_configuration.pl --do_build_sim=0 ] +Info: lcd_16207_0: Done RTL generation for module 'nios_system_lcd_16207_0' +Info: lcd_16207_0: "nios_system" instantiated altera_avalon_lcd_16207 "lcd_16207_0" +Info: lcd_on: Starting RTL generation for module 'nios_system_lcd_on' +Info: lcd_on: Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios_system_lcd_on --dir=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0010_lcd_on_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/takayun/AppData/Local/Temp/alt7157_1122251059548229496.dir/0010_lcd_on_gen//nios_system_lcd_on_component_configuration.pl --do_build_sim=0 ] +Info: lcd_on: Done RTL generation for module 'nios_system_lcd_on' +Info: lcd_on: "nios_system" instantiated altera_avalon_pio "lcd_on" +Info: nios2_processor_instruction_master_translator: "nios_system" instantiated altera_merlin_master_translator "nios2_processor_instruction_master_translator" +Info: nios2_processor_jtag_debug_module_translator: "nios_system" instantiated altera_merlin_slave_translator "nios2_processor_jtag_debug_module_translator" +Info: nios2_processor_instruction_master_translator_avalon_universal_master_0_agent: "nios_system" instantiated altera_merlin_master_agent "nios2_processor_instruction_master_translator_avalon_universal_master_0_agent" +Info: nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent: "nios_system" instantiated altera_merlin_slave_agent "nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent" +Info: nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo: "nios_system" instantiated altera_avalon_sc_fifo "nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo" +Info: addr_router: "nios_system" instantiated altera_merlin_router "addr_router" +Info: addr_router_001: "nios_system" instantiated altera_merlin_router "addr_router_001" +Info: id_router: "nios_system" instantiated altera_merlin_router "id_router" +Info: id_router_002: "nios_system" instantiated altera_merlin_router "id_router_002" +Info: rst_controller: "nios_system" instantiated altera_reset_controller "rst_controller" +Info: cmd_xbar_demux: "nios_system" instantiated altera_merlin_demultiplexer "cmd_xbar_demux" +Info: cmd_xbar_demux_001: "nios_system" instantiated altera_merlin_demultiplexer "cmd_xbar_demux_001" +Info: cmd_xbar_mux: "nios_system" instantiated altera_merlin_multiplexer "cmd_xbar_mux" +Info: rsp_xbar_demux_002: "nios_system" instantiated altera_merlin_demultiplexer "rsp_xbar_demux_002" +Info: rsp_xbar_mux: "nios_system" instantiated altera_merlin_multiplexer "rsp_xbar_mux" +Info: Reusing file C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system/synthesis/submodules/altera_merlin_arbitrator.sv +Info: rsp_xbar_mux_001: "nios_system" instantiated altera_merlin_multiplexer "rsp_xbar_mux_001" +Info: Reusing file C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system/synthesis/submodules/altera_merlin_arbitrator.sv +Info: irq_mapper: "nios_system" instantiated altera_irq_mapper "irq_mapper" +Info: nios_system: Done nios_system" with 28 modules, 155 files, 4086283 bytes +Info: ip-generate succeeded. +Info: Finished: Create HDL design files for synthesis diff --git a/output_file.map b/output_file.map new file mode 100644 index 0000000..86095a4 --- /dev/null +++ b/output_file.map @@ -0,0 +1,11 @@ +BLOCK START ADDRESS END ADDRESS + +Page_0 0x00000000 0x00367F05 + + + +Notes: + +- Data checksum for this conversion is 0xC97DDCE0 + +- All the addresses in this file are byte addresses \ No newline at end of file diff --git a/output_files/lights.cdf b/output_files/lights.cdf new file mode 100644 index 0000000..6c83ca5 --- /dev/null +++ b/output_files/lights.cdf @@ -0,0 +1,13 @@ +/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +JedecChain; + FileRevision(JESD32A); + DefaultMfr(6E); + + P ActionCode(Cfg) + Device PartName(EPCQ32) Path("C:/Users/takayun/Documents/DE2-115/qsys_tutorial/output_files/") File("output_file.pof") MfrSpec(OpMask(7) Child_OpMask(1 7)); + +ChainEnd; + +AlteraBegin; + ChainType(asc); +AlteraEnd; diff --git a/output_files/lights.done b/output_files/lights.done new file mode 100644 index 0000000..2394977 --- /dev/null +++ b/output_files/lights.done @@ -0,0 +1 @@ +Sat Jan 28 15:11:28 2017 diff --git a/output_files/output_file.cof b/output_files/output_file.cof new file mode 100644 index 0000000..f82fd65 --- /dev/null +++ b/output_files/output_file.cof @@ -0,0 +1,20 @@ + + + EPCQ32 + output_files/output_file.pof + 1 + 1 + 7 + + Page_0 + 1 + + output_files/lights.sof + + + 5 + 0 + + 1 + + \ No newline at end of file diff --git a/output_files/output_file.map b/output_files/output_file.map new file mode 100644 index 0000000..775b07c --- /dev/null +++ b/output_files/output_file.map @@ -0,0 +1,11 @@ +BLOCK START ADDRESS END ADDRESS + +Page_0 0x00000000 0x00367F05 + + + +Notes: + +- Data checksum for this conversion is 0x0A2C6A95 + +- All the addresses in this file are byte addresses \ No newline at end of file diff --git a/output_files/output_file.pof b/output_files/output_file.pof new file mode 100644 index 0000000..66f0fb4 --- /dev/null +++ b/output_files/output_file.pof Binary files differ diff --git a/software/DE2_115_ASM/.project b/software/DE2_115_ASM/.project new file mode 100644 index 0000000..ed311e5 --- /dev/null +++ b/software/DE2_115_ASM/.project @@ -0,0 +1,96 @@ + + + DE2_115_ASM + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/software/DE2_115_ASM2/.project b/software/DE2_115_ASM2/.project new file mode 100644 index 0000000..499f976 --- /dev/null +++ b/software/DE2_115_ASM2/.project @@ -0,0 +1,96 @@ + + + DE2_115_ASM2 + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM2} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/software/DE2_115_ASM2_bsp/.project b/software/DE2_115_ASM2_bsp/.project new file mode 100644 index 0000000..4001808 --- /dev/null +++ b/software/DE2_115_ASM2_bsp/.project @@ -0,0 +1,85 @@ + + + DE2_115_ASM2_bsp + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM2_bsp} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIBspNature + + diff --git a/software/DE2_115_ASM3_debug/.project b/software/DE2_115_ASM3_debug/.project new file mode 100644 index 0000000..7d94c46 --- /dev/null +++ b/software/DE2_115_ASM3_debug/.project @@ -0,0 +1,96 @@ + + + DE2_115_ASM3_debug + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM3_debug} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/software/DE2_115_ASM3_debug_bsp/.project b/software/DE2_115_ASM3_debug_bsp/.project new file mode 100644 index 0000000..9d18999 --- /dev/null +++ b/software/DE2_115_ASM3_debug_bsp/.project @@ -0,0 +1,85 @@ + + + DE2_115_ASM3_debug_bsp + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM3_debug_bsp} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIBspNature + + diff --git a/software/DE2_115_ASM3_release/.cproject b/software/DE2_115_ASM3_release/.cproject new file mode 100644 index 0000000..29e777e --- /dev/null +++ b/software/DE2_115_ASM3_release/.cproject @@ -0,0 +1,508 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + make + + mem_init_install + true + false + false + + + make + + mem_init_generate + true + false + false + + + make + + help + true + false + false + + + + diff --git a/software/DE2_115_ASM3_release/.force_relink b/software/DE2_115_ASM3_release/.force_relink new file mode 100644 index 0000000..e69de29 --- /dev/null +++ b/software/DE2_115_ASM3_release/.force_relink diff --git a/software/DE2_115_ASM3_release/.project b/software/DE2_115_ASM3_release/.project new file mode 100644 index 0000000..20f8238 --- /dev/null +++ b/software/DE2_115_ASM3_release/.project @@ -0,0 +1,96 @@ + + + DE2_115_ASM3_release + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM3_release} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/software/DE2_115_ASM3_release/DE2_115_ASM3_release.elf b/software/DE2_115_ASM3_release/DE2_115_ASM3_release.elf new file mode 100644 index 0000000..07879a6 --- /dev/null +++ b/software/DE2_115_ASM3_release/DE2_115_ASM3_release.elf Binary files differ diff --git a/software/DE2_115_ASM3_release/DE2_115_ASM3_release.map b/software/DE2_115_ASM3_release/DE2_115_ASM3_release.map new file mode 100644 index 0000000..8f885e1 --- /dev/null +++ b/software/DE2_115_ASM3_release/DE2_115_ASM3_release.map @@ -0,0 +1,2510 @@ +Archive member included because of file (symbol) + +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + obj/default/hex_out.o (__divsi3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + obj/default/inst_decoder.o (__mulsi3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + obj/default/hex_encoder.o (__ctype_ptr) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + obj/default/hello_world_small.o (sprintf) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + obj/default/LCD.o (strlen) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) (___vfprintf_internal_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__swsetup_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (_dtoa_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (_fflush_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__sinit) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) (_free_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__sfvwrite_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) (_fwalk_reent) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) (_impure_ptr) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (_localeconv_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) (__smakebuf_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_malloc_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (memchr) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (memcpy) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memmove) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (memset) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (_Bfree) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (_realloc_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__isinfd) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__isnand) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) (_sbrk_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (__sclose) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) (strcmp) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_write_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) (_calloc_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_close_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (fclose) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) (_fstat_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) (errno) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) (_isatty_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_lseek_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_read_r) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__udivdi3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__umoddi3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__subdf3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__muldf3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__divdf3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__eqdf2) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__nedf2) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__gtdf2) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__gedf2) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) (__ltdf2) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__floatsidf) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__fixdfsi) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) (__thenan_df) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) (__floatunsidf) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) (__muldi3) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) (__clz_tab) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) (__clzsi2) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) (__pack_d) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) (__unpack_d) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) (__fpcmp_parts_d) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) (close) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) (alt_fd_list) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) (alt_errno) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) (fstat) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) (isatty) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o (alt_load) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) (lseek) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o (alt_main) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) (__malloc_lock) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) (read) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) (alt_release_fd) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) (sbrk) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + obj/default/LCD.o (usleep) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) (write) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) (alt_irq_init) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_lcd_16207_init) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_lcd_16207_write_fd) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) (alt_busy_sleep) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) (alt_dcache_flush_all) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) (_do_ctors) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) (_do_dtors) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) (alt_icache_flush_all) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) (alt_io_redirect) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_handler) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) (alt_irq_active) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) (open) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (_alt_tick_rate) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_nios2_qsys_irq_init) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) (alt_exception) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) (alt_find_dev) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) (alt_find_file) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) (alt_get_fd) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) (atexit) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) (exit) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) (memcmp) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) (__register_exitproc) +c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) (__call_exitprocs) +../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) (_exit) + +Allocating common symbols +Common symbol size file + +alt_irq 0x100 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) +errno 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) +stack 0x5 obj/default/hello_world_small.o +global_registers 0xf obj/default/sys_register.o +_atexit0 0x190 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + +Memory Configuration + +Name Origin Length Attributes +reset 0x00000000 0x00000020 +onchip_memory 0x00000020 0x00031fe0 +*default* 0x00000000 0xffffffff + +Linker script and memory map + +LOAD ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o +LOAD obj/default/LCD.o +LOAD obj/default/hello_world_small.o +LOAD obj/default/hex_encoder.o +LOAD obj/default/hex_out.o +LOAD obj/default/input_int.o +LOAD obj/default/inst_decoder.o +LOAD obj/default/lcd_out.o +LOAD obj/default/sys_debug.o +LOAD obj/default/sys_except.o +LOAD obj/default/sys_memory.o +LOAD obj/default/sys_prog.o +LOAD obj/default/sys_register.o +LOAD c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libstdc++.a +LOAD c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libm.a +LOAD c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a +START GROUP +LOAD c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a +LOAD c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a +LOAD ../DE2_115_ASM3_release_bsp/\libhal_bsp.a +END GROUP +LOAD c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a + 0x00000000 __alt_mem_onchip_memory = 0x0 + +.entry 0x00000000 0x20 + *(.entry) + .entry 0x00000000 0x20 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + 0x00000000 __reset + +.exceptions 0x00000020 0x194 + 0x00000020 PROVIDE (__ram_exceptions_start, ABSOLUTE (.)) + 0x00000020 . = ALIGN (0x20) + *(.irq) + *(.exceptions.entry.label) + .exceptions.entry.label + 0x00000020 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x00000020 alt_irq_entry + .exceptions.entry.label + 0x00000020 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x00000020 alt_exception + *(.exceptions.entry.user) + *(.exceptions.entry) + .exceptions.entry + 0x00000020 0x54 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.irqtest.user) + *(.exceptions.irqtest) + .exceptions.irqtest + 0x00000074 0x10 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.irqhandler.user) + *(.exceptions.irqhandler) + .exceptions.irqhandler + 0x00000084 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.irqreturn.user) + *(.exceptions.irqreturn) + .exceptions.irqreturn + 0x00000088 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.notirq.label) + .exceptions.notirq.label + 0x0000008c 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.notirq.user) + *(.exceptions.notirq) + .exceptions.notirq + 0x0000008c 0x8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.soft.user) + *(.exceptions.soft) + *(.exceptions.unknown.user) + *(.exceptions.unknown) + .exceptions.unknown + 0x00000094 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.exit.label) + .exceptions.exit.label + 0x00000098 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .exceptions.exit.label + 0x00000098 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.exit.user) + *(.exceptions.exit) + .exceptions.exit + 0x00000098 0x54 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions) + .exceptions 0x000000ec 0xc8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x000000ec alt_irq_handler + 0x000001b4 PROVIDE (__ram_exceptions_end, ABSOLUTE (.)) + 0x00000020 PROVIDE (__flash_exceptions_start, LOADADDR (.exceptions)) + +.text 0x000001b4 0xfdf4 + 0x000001b4 PROVIDE (stext, ABSOLUTE (.)) + *(.interp) + *(.hash) + *(.dynsym) + *(.dynstr) + *(.gnu.version) + *(.gnu.version_d) + *(.gnu.version_r) + *(.rel.init) + *(.rela.init) + *(.rel.text .rel.text.* .rel.gnu.linkonce.t.*) + *(.rela.text .rela.text.* .rela.gnu.linkonce.t.*) + *(.rel.fini) + *(.rela.fini) + *(.rel.rodata .rel.rodata.* .rel.gnu.linkonce.r.*) + *(.rela.rodata .rela.rodata.* .rela.gnu.linkonce.r.*) + *(.rel.data .rel.data.* .rel.gnu.linkonce.d.*) + *(.rela.data .rela.data.* .rela.gnu.linkonce.d.*) + *(.rel.tdata .rel.tdata.* .rel.gnu.linkonce.td.*) + *(.rela.tdata .rela.tdata.* .rela.gnu.linkonce.td.*) + *(.rel.tbss .rel.tbss.* .rel.gnu.linkonce.tb.*) + *(.rela.tbss .rela.tbss.* .rela.gnu.linkonce.tb.*) + *(.rel.ctors) + *(.rela.ctors) + *(.rel.dtors) + *(.rela.dtors) + *(.rel.got) + *(.rela.got) + *(.rel.sdata .rel.sdata.* .rel.gnu.linkonce.s.*) + *(.rela.sdata .rela.sdata.* .rela.gnu.linkonce.s.*) + *(.rel.sbss .rel.sbss.* .rel.gnu.linkonce.sb.*) + *(.rela.sbss .rela.sbss.* .rela.gnu.linkonce.sb.*) + *(.rel.sdata2 .rel.sdata2.* .rel.gnu.linkonce.s2.*) + *(.rela.sdata2 .rela.sdata2.* .rela.gnu.linkonce.s2.*) + *(.rel.sbss2 .rel.sbss2.* .rel.gnu.linkonce.sb2.*) + *(.rela.sbss2 .rela.sbss2.* .rela.gnu.linkonce.sb2.*) + *(.rel.bss .rel.bss.* .rel.gnu.linkonce.b.*) + *(.rela.bss .rela.bss.* .rela.gnu.linkonce.b.*) + *(.rel.plt) + *(.rela.plt) + *(.rel.dyn) + *(.init) + *(.plt) + *(.text .stub .text.* .gnu.linkonce.t.*) + .text 0x000001b4 0x3c ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + 0x000001b4 _start + .text 0x000001f0 0x1ec obj/default/LCD.o + 0x000001f0 LCD_Init + 0x0000028c LCD_Show_Text + 0x00000314 LCD_Line2 + 0x00000350 LCD_Test + .text 0x000003dc 0x7cc obj/default/hello_world_small.o + 0x0000049c store_value + 0x00000580 store_inst + 0x000006e4 print_change_memory + 0x00000920 main + .text 0x00000ba8 0x630 obj/default/hex_encoder.o + 0x00000ba8 encodeNumHex + 0x00000d84 encodeLatHex + .text 0x000011d8 0x318 obj/default/hex_out.o + 0x000011d8 print_block + 0x00001368 clear_block + 0x000013f8 print_number + .text 0x000014f0 0x2c0 obj/default/input_int.o + 0x000014f0 in_int + 0x00001600 push_decode + 0x000016ac push_int + .text 0x000017b0 0x75c obj/default/inst_decoder.o + 0x000017b0 inst_fetch + 0x000017fc inst_decode + 0x00001a74 inst_jump + 0x00001ad0 inst_output + 0x00001b40 inst_load + 0x00001b78 inst_store + 0x00001bb0 inst_delay + 0x00001c0c inst_add + 0x00001c68 inst_sub + 0x00001cc4 inst_comp + 0x00001d94 inst_jeq + 0x00001e00 inst_jne + 0x00001e6c inst_jieq + 0x00001ebc inst_jine + .text 0x00001f0c 0xc8 obj/default/lcd_out.o + 0x00001f0c lcd_init + 0x00001f54 lcd_caret_reset + 0x00001f7c lcd_caret_reset2 + 0x00001fa4 lcd_print + .text 0x00001fd4 0x588 obj/default/sys_debug.o + 0x00001fd4 display_inst + 0x00002080 display_mem + 0x000020ec convertRegName + 0x0000225c convertInstName + 0x00002520 delay10ms + .text 0x0000255c 0x40 obj/default/sys_except.o + 0x0000255c panic + .text 0x0000259c 0x240 obj/default/sys_memory.o + 0x0000259c memory_init + 0x00002618 inst_memory_store + 0x00002668 inst_memory_load + 0x000026b0 memory_store + 0x0000274c memory_load + .text 0x000027dc 0x2c4 obj/default/sys_prog.o + 0x000027dc inc_pc + 0x00002860 add_pc + 0x000028b0 set_pc + 0x000028e8 get_pc + 0x00002950 run_proc + .text 0x00002aa0 0x50 obj/default/sys_register.o + 0x00002aa0 registers_init + .text 0x00002af0 0x14c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + 0x00002b6c __divsi3 + 0x00002bcc __modsi3 + 0x00002c2c __udivsi3 + 0x00002c34 __umodsi3 + .text 0x00002c3c 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + 0x00002c3c __mulsi3 + .text 0x00002c74 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .text 0x00002c74 0xd4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + 0x00002c74 sprintf + 0x00002ce8 _sprintf_r + .text 0x00002d48 0x74 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + 0x00002d48 strlen + .text 0x00002dbc 0x1f40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + 0x00002e14 ___vfprintf_internal_r + 0x00004cd8 __vfprintf_internal + .text 0x00004cfc 0x13c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x00004cfc __swsetup_r + .text 0x00004e38 0x17f8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + 0x0000507c _dtoa_r + .text 0x00006630 0x230 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x00006630 _fflush_r + 0x0000682c fflush + .text 0x00006860 0x2f4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x000068b8 __sfp_lock_acquire + 0x000068bc __sfp_lock_release + 0x000068c0 __sinit_lock_acquire + 0x000068c4 __sinit_lock_release + 0x000068c8 __sinit + 0x0000697c __fp_unlock_all + 0x00006994 __fp_lock_all + 0x000069ac _cleanup_r + 0x000069b8 _cleanup + 0x000069c8 __sfmoreglue + 0x00006a64 __sfp + .text 0x00006b54 0x440 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x00006b54 _malloc_trim_r + 0x00006c80 _free_r + .text 0x00006f94 0x49c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x00006f94 __sfvwrite_r + .text 0x00007430 0x180 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x00007430 _fwalk_reent + 0x000074f8 _fwalk + .text 0x000075b0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .text 0x000075b0 0xc4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x000075b0 __locale_charset + 0x000075b8 _localeconv_r + 0x000075c4 localeconv + 0x000075d4 _setlocale_r + 0x00007658 setlocale + .text 0x00007674 0x194 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x00007674 __smakebuf_r + .text 0x00007808 0x740 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00007808 _malloc_r + .text 0x00007f48 0xe4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + 0x00007f48 memchr + .text 0x0000802c 0xa0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + 0x0000802c memcpy + .text 0x000080cc 0xe0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + 0x000080cc memmove + .text 0x000081ac 0x98 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + 0x000081ac memset + .text 0x00008244 0x108c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + 0x00008244 _Bfree + 0x0000826c __hi0bits + 0x000082dc __lo0bits + 0x000083a0 __mcmp + 0x00008400 __ulp + 0x00008480 __b2d + 0x000085a0 __ratio + 0x00008650 _mprec_log10 + 0x000086e4 __copybits + 0x0000873c __any_on + 0x000087c4 _Balloc + 0x00008880 __d2b + 0x000089fc __mdiff + 0x00008b88 __lshift + 0x00008cd4 __multiply + 0x00008f28 __i2b + 0x00008f64 __multadd + 0x00009090 __pow5mult + 0x000091b0 __s2b + .text 0x000092d0 0x5f4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x000092d0 _realloc_r + .text 0x000098c4 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + 0x000098c4 __isinfd + .text 0x00009904 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + 0x00009904 __isnand + .text 0x00009934 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + 0x00009934 _sbrk_r + .text 0x000099a4 0x14c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x000099a4 __sclose + 0x000099ac __sseek + 0x00009a14 __swrite + 0x00009a90 __sread + .text 0x00009af0 0xbc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + 0x00009af0 strcmp + .text 0x00009bac 0x78 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x00009bac _write_r + .text 0x00009c24 0xc8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + 0x00009c24 _calloc_r + .text 0x00009cec 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + 0x00009cec _close_r + .text 0x00009d5c 0x124 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x00009d5c _fclose_r + 0x00009e6c fclose + .text 0x00009e80 0x74 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x00009e80 _fstat_r + .text 0x00009ef4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .text 0x00009ef4 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x00009ef4 _isatty_r + .text 0x00009f64 0x78 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x00009f64 _lseek_r + .text 0x00009fdc 0x78 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + 0x00009fdc _read_r + .text 0x0000a054 0x66c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + 0x0000a054 __udivdi3 + .text 0x0000a6c0 0x660 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + 0x0000a6c0 __umoddi3 + .text 0x0000ad20 0x504 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + 0x0000b130 __subdf3 + 0x0000b1b0 __adddf3 + .text 0x0000b224 0x3c4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + 0x0000b224 __muldf3 + .text 0x0000b5e8 0x258 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + 0x0000b5e8 __divdf3 + .text 0x0000b840 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + 0x0000b840 __eqdf2 + .text 0x0000b8c8 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + 0x0000b8c8 __nedf2 + .text 0x0000b950 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + 0x0000b950 __gtdf2 + .text 0x0000b9d8 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + 0x0000b9d8 __gedf2 + .text 0x0000ba60 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + 0x0000ba60 __ltdf2 + .text 0x0000bae8 0xf8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + 0x0000bae8 __floatsidf + .text 0x0000bbe0 0xd8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + 0x0000bbe0 __fixdfsi + .text 0x0000bcb8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .text 0x0000bcb8 0x194 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + 0x0000bcb8 __floatunsidf + .text 0x0000be4c 0x124 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + 0x0000be4c __muldi3 + .text 0x0000bf70 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .text 0x0000bf70 0x80 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + 0x0000bf70 __clzsi2 + .text 0x0000bff0 0x314 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + 0x0000bff0 __pack_d + .text 0x0000c304 0x138 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + 0x0000c304 __unpack_d + .text 0x0000c43c 0xc8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + 0x0000c43c __fpcmp_parts_d + .text 0x0000c504 0x160 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + 0x0000c504 close + .text 0x0000c664 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .text 0x0000c690 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .text 0x0000c690 0x138 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + 0x0000c690 fstat + .text 0x0000c7c8 0x124 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + 0x0000c7c8 isatty + .text 0x0000c8ec 0xec ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + 0x0000c8ec alt_load + .text 0x0000c9d8 0x154 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + 0x0000c9d8 lseek + .text 0x0000cb2c 0x6c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + 0x0000cb2c alt_main + .text 0x0000cb98 0x40 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x0000cb98 __malloc_lock + 0x0000cbb8 __malloc_unlock + .text 0x0000cbd8 0x184 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + 0x0000cbd8 read + .text 0x0000cd5c 0x78 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x0000cd5c alt_release_fd + .text 0x0000cdd4 0xbc ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x0000cdd4 sbrk + .text 0x0000ce90 0x30 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + 0x0000ce90 usleep + .text 0x0000cec0 0x184 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + 0x0000cec0 write + .text 0x0000d044 0xc8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x0000d044 alt_irq_init + 0x0000d078 alt_sys_init + .text 0x0000d10c 0x148 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000d10c altera_avalon_jtag_uart_read_fd + 0x0000d164 altera_avalon_jtag_uart_write_fd + 0x0000d1bc altera_avalon_jtag_uart_close_fd + 0x0000d204 altera_avalon_jtag_uart_ioctl_fd + .text 0x0000d254 0x40c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000d254 altera_avalon_jtag_uart_init + 0x0000d5ec altera_avalon_jtag_uart_close + .text 0x0000d660 0xf4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000d660 altera_avalon_jtag_uart_ioctl + .text 0x0000d754 0x224 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000d754 altera_avalon_jtag_uart_read + .text 0x0000d978 0x240 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x0000d978 altera_avalon_jtag_uart_write + .text 0x0000dbb8 0xf80 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + 0x0000e3f4 altera_avalon_lcd_16207_write + 0x0000e9fc altera_avalon_lcd_16207_init + .text 0x0000eb38 0x58 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + 0x0000eb38 altera_avalon_lcd_16207_write_fd + .text 0x0000eb90 0x154 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x0000eb90 alt_alarm_start + .text 0x0000ece4 0x15c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x0000ece4 alt_busy_sleep + .text 0x0000ee40 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + 0x0000ee40 alt_dcache_flush_all + .text 0x0000ee5c 0x114 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x0000ee5c alt_dev_llist_insert + .text 0x0000ef70 0x64 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0x0000ef70 _do_ctors + .text 0x0000efd4 0x64 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0x0000efd4 _do_dtors + .text 0x0000f038 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + 0x0000f038 alt_icache_flush_all + .text 0x0000f054 0x1d4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + 0x0000f054 alt_ic_isr_register + 0x0000f0a4 alt_ic_irq_enable + 0x0000f140 alt_ic_irq_disable + 0x0000f1e0 alt_ic_irq_enabled + .text 0x0000f228 0xf8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x0000f228 alt_iic_isr_register + .text 0x0000f320 0x150 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x0000f3f8 alt_io_redirect + .text 0x0000f470 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .text 0x0000f470 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .text 0x0000f470 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .text 0x0000f470 0x2e4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + 0x0000f568 open + .text 0x0000f754 0x1a4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + 0x0000f754 alt_alarm_stop + 0x0000f7ec alt_tick + .text 0x0000f8f8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + 0x0000f8f8 altera_nios2_qsys_irq_init + .text 0x0000f918 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .text 0x0000f918 0x94 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x0000f918 alt_find_dev + .text 0x0000f9ac 0x120 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + 0x0000f9ac alt_find_file + .text 0x0000facc 0xd0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x0000facc alt_get_fd + .text 0x0000fb9c 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x0000fb9c atexit + .text 0x0000fbb0 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + 0x0000fbb0 exit + .text 0x0000fbe8 0x74 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + 0x0000fbe8 memcmp + .text 0x0000fc5c 0x134 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x0000fc5c __register_exitproc + .text 0x0000fd90 0x1b4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x0000fd94 __call_exitprocs + .text 0x0000ff44 0x60 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + 0x0000ff84 _exit + *(.gnu.warning.*) + *(.fini) + 0x0000ffa4 PROVIDE (__etext, ABSOLUTE (.)) + 0x0000ffa4 PROVIDE (_etext, ABSOLUTE (.)) + 0x0000ffa4 PROVIDE (etext, ABSOLUTE (.)) + *(.eh_frame_hdr) + 0x0000ffa4 . = ALIGN (0x4) + 0x0000ffa4 PROVIDE (__preinit_array_start, ABSOLUTE (.)) + *(.preinit_array) + 0x0000ffa4 PROVIDE (__preinit_array_end, ABSOLUTE (.)) + 0x0000ffa4 PROVIDE (__init_array_start, ABSOLUTE (.)) + *(.init_array) + 0x0000ffa4 PROVIDE (__init_array_end, ABSOLUTE (.)) + 0x0000ffa4 PROVIDE (__fini_array_start, ABSOLUTE (.)) + *(.fini_array) + 0x0000ffa4 PROVIDE (__fini_array_end, ABSOLUTE (.)) + *(.eh_frame) + *(.gcc_except_table) + *(.dynamic) + 0x0000ffa4 PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) + *(.ctors) + *(SORT(.ctors.*)) + .ctors.65535 0x0000ffa4 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x0000ffa8 PROVIDE (__CTOR_END__, ABSOLUTE (.)) + 0x0000ffa8 PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) + *(.dtors) + *(SORT(.dtors.*)) + 0x0000ffa8 PROVIDE (__DTOR_END__, ABSOLUTE (.)) + *(.jcr) + 0x0000ffa8 . = ALIGN (0x4) + +.rodata 0x0000ffa8 0x72c + 0x0000ffa8 PROVIDE (__ram_rodata_start, ABSOLUTE (.)) + 0x0000ffa8 . = ALIGN (0x4) + *(.rodata .rodata.* .gnu.linkonce.r.*) + .rodata 0x0000ffa8 0x28 obj/default/LCD.o + .rodata 0x0000ffd0 0xb4 obj/default/hello_world_small.o + .rodata 0x00010084 0xc obj/default/hex_out.o + .rodata 0x00010090 0x8 obj/default/inst_decoder.o + .rodata 0x00010098 0x40 obj/default/sys_debug.o + .rodata 0x000100d8 0x8 obj/default/sys_except.o + .rodata 0x000100e0 0x24 obj/default/sys_prog.o + .rodata 0x00010104 0x281 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + 0x00010104 _ctype_ + *fill* 0x00010385 0x3 00 + .rodata.str1.4 + 0x00010388 0x5e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + 0x60 (size before relaxing) + .rodata 0x000103e6 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + *fill* 0x00010406 0x2 00 + .rodata.str1.4 + 0x00010408 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + 0x14 (size before relaxing) + .rodata.str1.4 + 0x00010418 0x2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x4 (size before relaxing) + *fill* 0x0001041a 0x2 00 + .rodata.str1.4 + 0x0001041c 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x18 (size before relaxing) + .rodata 0x0001042c 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .rodata 0x0001045c 0x124 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + 0x0001045c __mprec_tens + 0x00010524 __mprec_bigtens + 0x0001054c __mprec_tinytens + .rodata 0x00010580 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + 0x00010580 __thenan_df + .rodata 0x00010594 0x100 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + 0x00010594 __clz_tab + .rodata 0x00010694 0xc ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .rodata 0x000106a0 0x10 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .rodata 0x000106b0 0x24 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + *(.rodata1) + 0x000106d4 . = ALIGN (0x4) + 0x000106d4 PROVIDE (__ram_rodata_end, ABSOLUTE (.)) + 0x0000ffa8 PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) + +.rwdata 0x000106d4 0x1b88 load address 0x0001225c + 0x000106d4 PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) + 0x000106d4 . = ALIGN (0x4) + *(.got.plt) + *(.got) + *(.data1) + *(.data .data.* .gnu.linkonce.d.*) + .data 0x000106d4 0x0 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .data 0x000106d4 0x0 obj/default/LCD.o + .data 0x000106d4 0x0 obj/default/hello_world_small.o + .data 0x000106d4 0x0 obj/default/hex_encoder.o + .data 0x000106d4 0x0 obj/default/hex_out.o + .data 0x000106d4 0x0 obj/default/input_int.o + .data 0x000106d4 0x0 obj/default/inst_decoder.o + .data 0x000106d4 0x0 obj/default/lcd_out.o + .data 0x000106d4 0x0 obj/default/sys_debug.o + .data 0x000106d4 0x0 obj/default/sys_except.o + .data 0x000106d4 0x0 obj/default/sys_memory.o + .data 0x000106d4 0x0 obj/default/sys_prog.o + .data 0x000106d4 0x0 obj/default/sys_register.o + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .data 0x000106d4 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .data 0x000106d4 0x400 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .data 0x00010ad4 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00010ad4 __lc_ctype + .data 0x00010ae0 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .data 0x00010ae0 0x408 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00010ae0 __malloc_av_ + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .data 0x00010ee8 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .data 0x00010ee8 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .data 0x00010ee8 0x1a8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + 0x00010ee8 alt_dev_null + 0x00010f10 alt_fd_list + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .data 0x00011090 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .data 0x00011090 0x1180 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .data 0x00012210 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .data 0x00012210 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .data 0x00012210 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .data 0x00012210 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .data 0x00012210 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .data 0x00012210 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + 0x0001a210 _gp = ABSOLUTE ((. + 0x8000)) + 0x0001a210 PROVIDE (gp, _gp) + *(.rwdata .rwdata.*) + *(.sdata .sdata.* .gnu.linkonce.s.*) + .sdata 0x00012210 0x1 obj/default/input_int.o + 0x00012210 PUSH_EVENT + *fill* 0x00012211 0x3 00 + .sdata 0x00012214 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + 0x00012214 __ctype_ptr + .sdata 0x00012218 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x00012218 _impure_ptr + 0x0001221c _global_impure_ptr + .sdata 0x00012220 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00012220 __mb_cur_max + .sdata 0x00012228 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00012228 __malloc_trim_threshold + 0x0001222c __malloc_sbrk_base + .sdata 0x00012230 0x14 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + 0x00012230 alt_fs_list + 0x00012238 alt_dev_list + 0x00012240 alt_max_fd + .sdata 0x00012244 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + 0x00012244 alt_errno + .sdata 0x00012248 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .sdata 0x0001224c 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .sdata 0x00012250 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x00012250 alt_priority_mask + .sdata 0x00012254 0x8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + 0x00012254 alt_alarm_list + *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) + 0x0001225c . = ALIGN (0x4) + 0x0001225c _edata = ABSOLUTE (.) + 0x0001225c PROVIDE (edata, ABSOLUTE (.)) + 0x0001225c PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) + 0x0001225c PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) + +.bss 0x00013de4 0x810 + 0x00013de4 __bss_start = ABSOLUTE (.) + 0x00013de4 PROVIDE (__sbss_start, ABSOLUTE (.)) + 0x00013de4 PROVIDE (___sbss_start, ABSOLUTE (.)) + *(.dynsbss) + *(.sbss .sbss.* .gnu.linkonce.sb.*) + .sbss 0x00013de4 0x5 obj/default/hello_world_small.o + 0x00013de4 stack + *fill* 0x00013de9 0x3 00 + .sbss 0x00013dec 0x8 obj/default/input_int.o + .sbss 0x00013df4 0x4 obj/default/sys_memory.o + 0x00013df4 global_current_memory + .sbss 0x00013df8 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x00013df8 __nlocale_changed + 0x00013dfc __mlocale_changed + 0x00013e00 _PathLocale + .sbss 0x00013e04 0xc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00013e04 __malloc_top_pad + 0x00013e08 __malloc_max_sbrked_mem + 0x00013e0c __malloc_max_total_mem + .sbss 0x00013e10 0x4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x00013e10 errno + .sbss 0x00013e14 0xc ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + 0x00013e14 alt_argc + 0x00013e18 alt_argv + 0x00013e1c alt_envp + .sbss 0x00013e20 0x4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x00013e20 alt_irq_active + .sbss 0x00013e24 0x8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + 0x00013e24 _alt_tick_rate + 0x00013e28 _alt_nticks + *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) + *(.scommon) + 0x00013e2c PROVIDE (__sbss_end, ABSOLUTE (.)) + 0x00013e2c PROVIDE (___sbss_end, ABSOLUTE (.)) + *(.dynbss) + *(.bss .bss.* .gnu.linkonce.b.*) + .bss 0x00013e2c 0x0 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .bss 0x00013e2c 0x0 obj/default/LCD.o + .bss 0x00013e2c 0x0 obj/default/hello_world_small.o + .bss 0x00013e2c 0x0 obj/default/hex_encoder.o + .bss 0x00013e2c 0x0 obj/default/hex_out.o + .bss 0x00013e2c 0x0 obj/default/input_int.o + .bss 0x00013e2c 0x0 obj/default/inst_decoder.o + .bss 0x00013e2c 0x0 obj/default/lcd_out.o + .bss 0x00013e2c 0x0 obj/default/sys_debug.o + .bss 0x00013e2c 0x0 obj/default/sys_except.o + .bss 0x00013e2c 0x500 obj/default/sys_memory.o + .bss 0x0001432c 0x0 obj/default/sys_prog.o + .bss 0x0001432c 0x0 obj/default/sys_register.o + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .bss 0x0001432c 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .bss 0x0001432c 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0001432c __malloc_current_mallinfo + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .bss 0x00014354 0x0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .bss 0x00014354 0x0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + *(COMMON) + COMMON 0x00014354 0xf obj/default/sys_register.o + 0x00014354 global_registers + *fill* 0x00014363 0x1 00 + COMMON 0x00014364 0x100 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x00014364 alt_irq + COMMON 0x00014464 0x190 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x00014464 _atexit0 + 0x000145f4 . = ALIGN (0x4) + 0x000145f4 __bss_end = ABSOLUTE (.) + +.onchip_memory 0x000145f4 0x0 + 0x000145f4 PROVIDE (_alt_partition_onchip_memory_start, ABSOLUTE (.)) + *(.onchip_memory. onchip_memory.*) + 0x000145f4 . = ALIGN (0x4) + 0x000145f4 PROVIDE (_alt_partition_onchip_memory_end, ABSOLUTE (.)) + 0x000145f4 _end = ABSOLUTE (.) + 0x000145f4 end = ABSOLUTE (.) + 0x000145f4 __alt_stack_base = ABSOLUTE (.) + 0x000145f4 PROVIDE (_alt_partition_onchip_memory_load_addr, LOADADDR (.onchip_memory)) + +.stab + *(.stab) + +.stabstr + *(.stabstr) + +.stab.excl + *(.stab.excl) + +.stab.exclstr + *(.stab.exclstr) + +.stab.index + *(.stab.index) + +.stab.indexstr + *(.stab.indexstr) + +.comment 0x00000000 0x26 + *(.comment) + .comment 0x00000000 0x26 obj/default/LCD.o + 0x27 (size before relaxing) + .comment 0x00000000 0x27 obj/default/hello_world_small.o + .comment 0x00000000 0x27 obj/default/hex_encoder.o + .comment 0x00000000 0x27 obj/default/hex_out.o + .comment 0x00000000 0x27 obj/default/input_int.o + .comment 0x00000000 0x27 obj/default/inst_decoder.o + .comment 0x00000000 0x27 obj/default/lcd_out.o + .comment 0x00000000 0x27 obj/default/sys_debug.o + .comment 0x00000000 0x27 obj/default/sys_except.o + .comment 0x00000000 0x27 obj/default/sys_memory.o + .comment 0x00000000 0x27 obj/default/sys_prog.o + .comment 0x00000000 0x27 obj/default/sys_register.o + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .comment 0x00000000 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .comment 0x00000000 0x27 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x00000000 0xe18 + *(.debug_aranges) + .debug_aranges + 0x00000000 0x28 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .debug_aranges + 0x00000028 0x20 obj/default/LCD.o + .debug_aranges + 0x00000048 0x20 obj/default/hello_world_small.o + .debug_aranges + 0x00000068 0x20 obj/default/hex_encoder.o + .debug_aranges + 0x00000088 0x20 obj/default/hex_out.o + .debug_aranges + 0x000000a8 0x20 obj/default/input_int.o + .debug_aranges + 0x000000c8 0x20 obj/default/inst_decoder.o + .debug_aranges + 0x000000e8 0x20 obj/default/lcd_out.o + .debug_aranges + 0x00000108 0x20 obj/default/sys_debug.o + .debug_aranges + 0x00000128 0x20 obj/default/sys_except.o + .debug_aranges + 0x00000148 0x20 obj/default/sys_memory.o + .debug_aranges + 0x00000168 0x20 obj/default/sys_prog.o + .debug_aranges + 0x00000188 0x20 obj/default/sys_register.o + .debug_aranges + 0x000001a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_aranges + 0x000001c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_aranges + 0x000001e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_aranges + 0x00000208 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_aranges + 0x00000228 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_aranges + 0x00000248 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_aranges + 0x00000268 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_aranges + 0x00000288 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_aranges + 0x000002a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_aranges + 0x000002c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_aranges + 0x000002e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_aranges + 0x00000308 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_aranges + 0x00000328 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_aranges + 0x00000348 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_aranges + 0x00000368 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_aranges + 0x00000388 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_aranges + 0x000003a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_aranges + 0x000003c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_aranges + 0x000003e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_aranges + 0x00000408 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_aranges + 0x00000428 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_aranges + 0x00000448 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_aranges + 0x00000468 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_aranges + 0x00000488 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_aranges + 0x000004a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_aranges + 0x000004c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_aranges + 0x000004e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_aranges + 0x00000508 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_aranges + 0x00000528 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_aranges + 0x00000548 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_aranges + 0x00000568 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_aranges + 0x00000588 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_aranges + 0x000005a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_aranges + 0x000005c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_aranges + 0x000005e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_aranges + 0x00000608 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_aranges + 0x00000628 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_aranges + 0x00000648 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_aranges + 0x00000668 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_aranges + 0x00000688 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_aranges + 0x000006a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_aranges + 0x000006c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_aranges + 0x000006e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_aranges + 0x00000708 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_aranges + 0x00000728 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_aranges + 0x00000748 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_aranges + 0x00000768 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_aranges + 0x00000788 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_aranges + 0x000007a8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_aranges + 0x000007c8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_aranges + 0x000007e8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_aranges + 0x00000808 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_aranges + 0x00000828 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_aranges + 0x00000848 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_aranges + 0x00000868 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_aranges + 0x00000888 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_aranges + 0x000008a8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_aranges + 0x000008c8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_aranges + 0x000008e8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_aranges + 0x00000908 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_aranges + 0x00000928 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_aranges + 0x00000948 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_aranges + 0x00000968 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_aranges + 0x00000988 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_aranges + 0x000009a8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_aranges + 0x000009c8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_aranges + 0x000009e8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_aranges + 0x00000a08 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_aranges + 0x00000a28 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_aranges + 0x00000a48 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_aranges + 0x00000a68 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_aranges + 0x00000a88 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_aranges + 0x00000aa8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_aranges + 0x00000ac8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_aranges + 0x00000ae8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_aranges + 0x00000b08 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_aranges + 0x00000b28 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_aranges + 0x00000b48 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_aranges + 0x00000b68 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_aranges + 0x00000b88 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_aranges + 0x00000ba8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_aranges + 0x00000bc8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_aranges + 0x00000be8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_aranges + 0x00000c08 0x30 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_aranges + 0x00000c38 0x28 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_aranges + 0x00000c60 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_aranges + 0x00000c80 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_aranges + 0x00000ca0 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_aranges + 0x00000cc0 0x38 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_aranges + 0x00000cf8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_aranges + 0x00000d18 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_aranges + 0x00000d38 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_aranges + 0x00000d58 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_aranges + 0x00000d78 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_aranges + 0x00000d98 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_aranges + 0x00000db8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_aranges + 0x00000dd8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_aranges + 0x00000df8 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_pubnames + 0x00000000 0x17d8 + *(.debug_pubnames) + .debug_pubnames + 0x00000000 0x4c obj/default/LCD.o + .debug_pubnames + 0x0000004c 0x5c obj/default/hello_world_small.o + .debug_pubnames + 0x000000a8 0x34 obj/default/hex_encoder.o + .debug_pubnames + 0x000000dc 0x43 obj/default/hex_out.o + .debug_pubnames + 0x0000011f 0x49 obj/default/input_int.o + .debug_pubnames + 0x00000168 0xd9 obj/default/inst_decoder.o + .debug_pubnames + 0x00000241 0x56 obj/default/lcd_out.o + .debug_pubnames + 0x00000297 0x68 obj/default/sys_debug.o + .debug_pubnames + 0x000002ff 0x1c obj/default/sys_except.o + .debug_pubnames + 0x0000031b 0x88 obj/default/sys_memory.o + .debug_pubnames + 0x000003a3 0x4b obj/default/sys_prog.o + .debug_pubnames + 0x000003ee 0x3a obj/default/sys_register.o + .debug_pubnames + 0x00000428 0x48 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_pubnames + 0x00000470 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_pubnames + 0x0000048f 0x2e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_pubnames + 0x000004bd 0x2d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_pubnames + 0x000004ea 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_pubnames + 0x00000507 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_pubnames + 0x0000054c 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_pubnames + 0x0000056e 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_pubnames + 0x0000058c 0x2b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_pubnames + 0x000005b7 0xdb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_pubnames + 0x00000692 0x31 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_pubnames + 0x000006c3 0x23 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_pubnames + 0x000006e6 0x2e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_pubnames + 0x00000714 0x39 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_pubnames + 0x0000074d 0xc3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_pubnames + 0x00000810 0x23 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_pubnames + 0x00000833 0xce c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_pubnames + 0x00000901 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_pubnames + 0x0000091e 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_pubnames + 0x0000093b 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_pubnames + 0x00000959 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_pubnames + 0x00000976 0x13a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_pubnames + 0x00000ab0 0x21 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_pubnames + 0x00000ad1 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_pubnames + 0x00000af0 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_pubnames + 0x00000b0f 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_pubnames + 0x00000b2d 0x44 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_pubnames + 0x00000b71 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_pubnames + 0x00000b8e 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_pubnames + 0x00000bad 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_pubnames + 0x00000bcd 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_pubnames + 0x00000bec 0x2b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_pubnames + 0x00000c17 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_pubnames + 0x00000c36 0x1c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_pubnames + 0x00000c52 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_pubnames + 0x00000c72 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_pubnames + 0x00000c91 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_pubnames + 0x00000caf 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_pubnames + 0x00000ccf 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_pubnames + 0x00000cef 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_pubnames + 0x00000d1b 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_pubnames + 0x00000d3a 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_pubnames + 0x00000d59 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_pubnames + 0x00000d77 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_pubnames + 0x00000d95 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_pubnames + 0x00000db3 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_pubnames + 0x00000dd1 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_pubnames + 0x00000def 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_pubnames + 0x00000e11 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_pubnames + 0x00000e31 0x22 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_pubnames + 0x00000e53 0x24 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_pubnames + 0x00000e77 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_pubnames + 0x00000e96 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_pubnames + 0x00000eb6 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_pubnames + 0x00000ed5 0x1f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_pubnames + 0x00000ef4 0x21 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_pubnames + 0x00000f15 0x26 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_pubnames + 0x00000f3b 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_pubnames + 0x00000f57 0x63 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_pubnames + 0x00000fba 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .debug_pubnames + 0x00000fda 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_pubnames + 0x00000ff6 0x1d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_pubnames + 0x00001013 0x1f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_pubnames + 0x00001032 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_pubnames + 0x0000104e 0x46 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_pubnames + 0x00001094 0x38 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_pubnames + 0x000010cc 0x1b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_pubnames + 0x000010e7 0x25 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_pubnames + 0x0000110c 0x1b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_pubnames + 0x00001127 0x1d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_pubnames + 0x00001144 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_pubnames + 0x00001160 0x34 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_pubnames + 0x00001194 0xa5 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_pubnames + 0x00001239 0x55 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_pubnames + 0x0000128e 0x34 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_pubnames + 0x000012c2 0x33 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_pubnames + 0x000012f5 0x34 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_pubnames + 0x00001329 0x55 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_pubnames + 0x0000137e 0x37 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_pubnames + 0x000013b5 0x26 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_pubnames + 0x000013db 0x25 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_pubnames + 0x00001400 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_pubnames + 0x0000142b 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_pubnames + 0x00001456 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_pubnames + 0x00001476 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_pubnames + 0x00001496 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_pubnames + 0x000014c1 0x6e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_pubnames + 0x0000152f 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_pubnames + 0x0000155a 0x26 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_pubnames + 0x00001580 0x32 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_pubnames + 0x000015b2 0x3b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_pubnames + 0x000015ed 0x1b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_pubnames + 0x00001608 0x68 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_pubnames + 0x00001670 0x31 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_pubnames + 0x000016a1 0x23 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_pubnames + 0x000016c4 0x24 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_pubnames + 0x000016e8 0x21 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_pubnames + 0x00001709 0x2a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_pubnames + 0x00001733 0x1b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_pubnames + 0x0000174e 0x1d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_pubnames + 0x0000176b 0x2a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_pubnames + 0x00001795 0x27 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_pubnames + 0x000017bc 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_info 0x00000000 0x253c5 + *(.debug_info .gnu.linkonce.wi.*) + .debug_info 0x00000000 0x84 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .debug_info 0x00000084 0x1e9 obj/default/LCD.o + .debug_info 0x0000026d 0x4da obj/default/hello_world_small.o + .debug_info 0x00000747 0x156 obj/default/hex_encoder.o + .debug_info 0x0000089d 0x1df obj/default/hex_out.o + .debug_info 0x00000a7c 0x33c obj/default/input_int.o + .debug_info 0x00000db8 0x592 obj/default/inst_decoder.o + .debug_info 0x0000134a 0x1b5 obj/default/lcd_out.o + .debug_info 0x000014ff 0x3b5 obj/default/sys_debug.o + .debug_info 0x000018b4 0x98 obj/default/sys_except.o + .debug_info 0x0000194c 0x387 obj/default/sys_memory.o + .debug_info 0x00001cd3 0x306 obj/default/sys_prog.o + .debug_info 0x00001fd9 0x107 obj/default/sys_register.o + .debug_info 0x000020e0 0x21c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_info 0x000022fc 0xf3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_info 0x000023ef 0xa0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_info 0x0000248f 0xa16 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_info 0x00002ea5 0xdb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_info 0x00002f80 0x10d5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_info 0x00004055 0x95d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_info 0x000049b2 0xd6f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_info 0x00005721 0x9fe c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_info 0x0000611f 0xb72 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_info 0x00006c91 0xbc9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_info 0x0000785a 0xa88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_info 0x000082e2 0xaed c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_info 0x00008dcf 0x93d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_info 0x0000970c 0xb97 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_info 0x0000a2a3 0xb1a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_info 0x0000adbd 0xcd0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_info 0x0000ba8d 0x138 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_info 0x0000bbc5 0x106 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_info 0x0000bccb 0x13e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_info 0x0000be09 0x113 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_info 0x0000bf1c 0x143b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_info 0x0000d357 0xbd7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_info 0x0000df2e 0xd7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_info 0x0000e005 0xd5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_info 0x0000e0da 0x962 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_info 0x0000ea3c 0xaac c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_info 0x0000f4e8 0xdd c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_info 0x0000f5c5 0x993 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_info 0x0000ff58 0xa1b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_info 0x00010973 0x958 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_info 0x000112cb 0x994 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_info 0x00011c5f 0xafc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_info 0x0001275b 0x8c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_info 0x000127e7 0x958 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_info 0x0001313f 0x981 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_info 0x00013ac0 0x98c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_info 0x0001444c 0x71c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_info 0x00014b68 0x749 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_info 0x000152b1 0x493 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_info 0x00015744 0x488 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_info 0x00015bcc 0x39b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_info 0x00015f67 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_info 0x00016204 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_info 0x000164a1 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_info 0x0001673e 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_info 0x000169db 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_info 0x00016c78 0x218 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_info 0x00016e90 0x2cd c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_info 0x0001715d 0x164 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_info 0x000172c1 0x213 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_info 0x000174d4 0x210 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_info 0x000176e4 0xc9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_info 0x000177ad 0x130 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_info 0x000178dd 0x2fc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_info 0x00017bd9 0x268 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_info 0x00017e41 0x21b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_info 0x0001805c 0x470 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_info 0x000184cc 0x688 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_info 0x00018b54 0x16d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .debug_info 0x00018cc1 0x669 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_info 0x0001932a 0x66f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_info 0x00019999 0x296 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_info 0x00019c2f 0x4a3 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_info 0x0001a0d2 0x22e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_info 0x0001a300 0xc21 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x0001af21 0x693 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_info 0x0001b5b4 0x3fb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_info 0x0001b9af 0x2c0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_info 0x0001bc6f 0x171 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_info 0x0001bde0 0x69c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_info 0x0001c47c 0x910 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_info 0x0001cd8c 0x7e2 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x0001d56e 0x60a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x0001db78 0x44c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x0001dfc4 0x54f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x0001e513 0x547 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0001ea5a 0x8f7 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_info 0x0001f351 0x71b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_info 0x0001fa6c 0x44b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x0001feb7 0x1b4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_info 0x0002006b 0x165 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_info 0x000201d0 0x2c0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x00020490 0x118 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x000205a8 0x117 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x000206bf 0x165 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_info 0x00020824 0x49c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_info 0x00020cc0 0x31d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x00020fdd 0x69e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x0002167b 0x8d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x00021708 0x27e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x00021986 0x170 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x00021af6 0x52e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_info 0x00022024 0x407 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_info 0x0002242b 0x16c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_info 0x00022597 0x93 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x0002262a 0x421 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_info 0x00022a4b 0x428 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_info 0x00022e73 0x469 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_info 0x000232dc 0x181 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_info 0x0002345d 0x93f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_info 0x00023d9c 0x115 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_info 0x00023eb1 0x99c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_info 0x0002484d 0x9e2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_info 0x0002522f 0x196 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_abbrev 0x00000000 0x8258 + *(.debug_abbrev) + .debug_abbrev 0x00000000 0x12 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .debug_abbrev 0x00000012 0xa7 obj/default/LCD.o + .debug_abbrev 0x000000b9 0x146 obj/default/hello_world_small.o + .debug_abbrev 0x000001ff 0x75 obj/default/hex_encoder.o + .debug_abbrev 0x00000274 0x87 obj/default/hex_out.o + .debug_abbrev 0x000002fb 0x12f obj/default/input_int.o + .debug_abbrev 0x0000042a 0xe4 obj/default/inst_decoder.o + .debug_abbrev 0x0000050e 0x71 obj/default/lcd_out.o + .debug_abbrev 0x0000057f 0xe9 obj/default/sys_debug.o + .debug_abbrev 0x00000668 0x27 obj/default/sys_except.o + .debug_abbrev 0x0000068f 0x101 obj/default/sys_memory.o + .debug_abbrev 0x00000790 0xe5 obj/default/sys_prog.o + .debug_abbrev 0x00000875 0x66 obj/default/sys_register.o + .debug_abbrev 0x000008db 0xe0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_abbrev 0x000009bb 0x7b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_abbrev 0x00000a36 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_abbrev 0x00000a97 0x191 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_abbrev 0x00000c28 0x8b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_abbrev 0x00000cb3 0x30a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_abbrev 0x00000fbd 0x170 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000112d 0x232 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_abbrev 0x0000135f 0x1c6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_abbrev 0x00001525 0x24c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_abbrev 0x00001771 0x1d9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_abbrev 0x0000194a 0x1a3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x00001aed 0x1e3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_abbrev 0x00001cd0 0x157 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_abbrev 0x00001e27 0x1ed c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_abbrev 0x00002014 0x197 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_abbrev 0x000021ab 0x216 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_abbrev 0x000023c1 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_abbrev 0x00002476 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_abbrev 0x0000252b 0xb5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_abbrev 0x000025e0 0xb8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_abbrev 0x00002698 0x297 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_abbrev 0x0000292f 0x1c3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_abbrev 0x00002af2 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_abbrev 0x00002b6b 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_abbrev 0x00002be4 0x18c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x00002d70 0x1c9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_abbrev 0x00002f39 0x80 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_abbrev 0x00002fb9 0x184 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_abbrev 0x0000313d 0x1b8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_abbrev 0x000032f5 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_abbrev 0x00003474 0x1a7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_abbrev 0x0000361b 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_abbrev 0x000037a9 0x3b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_abbrev 0x000037e4 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_abbrev 0x00003963 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_abbrev 0x00003af1 0x17f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_abbrev 0x00003c70 0x16b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_abbrev 0x00003ddb 0x18e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_abbrev 0x00003f69 0x1d2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_abbrev 0x0000413b 0x204 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_abbrev 0x0000433f 0x1e3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_abbrev 0x00004522 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_abbrev 0x00004673 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_abbrev 0x000047c4 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_abbrev 0x00004915 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_abbrev 0x00004a66 0x151 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_abbrev 0x00004bb7 0x13f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_abbrev 0x00004cf6 0x176 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_abbrev 0x00004e6c 0xba c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_abbrev 0x00004f26 0x155 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_abbrev 0x0000507b 0xfb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_abbrev 0x00005176 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_abbrev 0x000051d7 0xb9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_abbrev 0x00005290 0x1b1 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_abbrev 0x00005441 0x149 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_abbrev 0x0000558a 0x132 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_abbrev 0x000056bc 0xfb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_abbrev 0x000057b7 0xc7 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x0000587e 0x5e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x000058dc 0xfb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x000059d7 0xfb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x00005ad2 0x88 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_abbrev 0x00005b5a 0xfb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x00005c55 0xb7 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_abbrev 0x00005d0c 0x181 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x00005e8d 0xfb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_abbrev 0x00005f88 0xc1 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x00006049 0x115 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x0000615e 0x5d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_abbrev 0x000061bb 0x100 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_abbrev 0x000062bb 0x158 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x00006413 0xf7 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x0000650a 0x17c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x00006686 0xf0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x00006776 0x191 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x00006907 0x18d ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x00006a94 0x1c3 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_abbrev 0x00006c57 0x104 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_abbrev 0x00006d5b 0x172 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x00006ecd 0x6c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_abbrev 0x00006f39 0x3f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_abbrev 0x00006f78 0xf6 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x0000706e 0x76 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x000070e4 0x76 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x0000715a 0x3f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_abbrev 0x00007199 0x134 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x000072cd 0x14e ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000741b 0xf0 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000750b 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000751d 0x10c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x00007629 0x44 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000766d 0x112 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_abbrev 0x0000777f 0x150 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x000078cf 0x3f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_abbrev 0x0000790e 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x00007920 0xcf ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x000079ef 0xcf ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x00007abe 0xfb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x00007bb9 0xb7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_abbrev 0x00007c70 0x16e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_abbrev 0x00007dde 0x7c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_abbrev 0x00007e5a 0x1ae c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_abbrev 0x00008008 0x1de c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x000081e6 0x72 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_line 0x00000000 0x15d9b + *(.debug_line) + .debug_line 0x00000000 0x66 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .debug_line 0x00000066 0x30a obj/default/LCD.o + .debug_line 0x00000370 0x5fe obj/default/hello_world_small.o + .debug_line 0x0000096e 0x494 obj/default/hex_encoder.o + .debug_line 0x00000e02 0x195 obj/default/hex_out.o + .debug_line 0x00000f97 0x1bb obj/default/input_int.o + .debug_line 0x00001152 0x452 obj/default/inst_decoder.o + .debug_line 0x000015a4 0x222 obj/default/lcd_out.o + .debug_line 0x000017c6 0x3f4 obj/default/sys_debug.o + .debug_line 0x00001bba 0x80 obj/default/sys_except.o + .debug_line 0x00001c3a 0x12f obj/default/sys_memory.o + .debug_line 0x00001d69 0x33e obj/default/sys_prog.o + .debug_line 0x000020a7 0x7f obj/default/sys_register.o + .debug_line 0x00002126 0x24f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_line 0x00002375 0x11a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_line 0x0000248f 0x81 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + .debug_line 0x00002510 0x325 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_line 0x00002835 0x270 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_line 0x00002aa5 0x110f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_line 0x00003bb4 0x2f3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_line 0x00003ea7 0x1309 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_line 0x000051b0 0x410 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_line 0x000055c0 0x60c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_line 0x00005bcc 0x5ee c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_line 0x000061ba 0x644 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_line 0x000067fe 0x34d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_line 0x00006b4b 0x230 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_line 0x00006d7b 0x2cb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_line 0x00007046 0x3c6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_line 0x0000740c 0x80d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_line 0x00007c19 0x306 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_line 0x00007f1f 0x1af c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_line 0x000080ce 0x30d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_line 0x000083db 0x304 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_line 0x000086df 0x125e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_line 0x0000993d 0x58d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_line 0x00009eca 0x225 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_line 0x0000a0ef 0x21d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_line 0x0000a30c 0x29c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_line 0x0000a5a8 0x38f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_line 0x0000a937 0x2d8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_line 0x0000ac0f 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_line 0x0000aeac 0x25f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_line 0x0000b10b 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_line 0x0000b3a8 0x2eb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_line 0x0000b693 0x267 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_line 0x0000b8fa 0x1e2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_line 0x0000badc 0x29e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_line 0x0000bd7a 0x29d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_line 0x0000c017 0x29c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_line 0x0000c2b3 0x2f2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_line 0x0000c5a5 0x405 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_line 0x0000c9aa 0x430 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_line 0x0000cdda 0x3e5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_line 0x0000d1bf 0x301 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_line 0x0000d4c0 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_line 0x0000d5e9 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_line 0x0000d712 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_line 0x0000d83b 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_line 0x0000d964 0x129 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_line 0x0000da8d 0x175 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_line 0x0000dc02 0x149 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_line 0x0000dd4b 0x94 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_line 0x0000dddf 0x189 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_line 0x0000df68 0x14f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_line 0x0000e0b7 0xaa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_line 0x0000e161 0x105 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_line 0x0000e266 0x222 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_line 0x0000e488 0x1e4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_line 0x0000e66c 0x187 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_line 0x0000e7f3 0x299 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_line 0x0000ea8c 0x254 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_line 0x0000ece0 0x1aa ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .debug_line 0x0000ee8a 0x2a1 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_line 0x0000f12b 0x2a2 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_line 0x0000f3cd 0x217 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_line 0x0000f5e4 0x2a1 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_line 0x0000f885 0x2ca ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_line 0x0000fb4f 0x20f ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x0000fd5e 0x2c8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_line 0x00010026 0xed ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_line 0x00010113 0x261 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_line 0x00010374 0x202 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_line 0x00010576 0x2c9 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_line 0x0001083f 0x2eb ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_line 0x00010b2a 0x217 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x00010d41 0x4ab ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x000111ec 0x317 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x00011503 0x3f7 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x000118fa 0x405 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x00011cff 0x8c1 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_line 0x000125c0 0x1b7 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_line 0x00012777 0x2dc ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x00012a53 0x25c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_line 0x00012caf 0x1b5 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_line 0x00012e64 0x25a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x000130be 0x83 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x00013141 0x83 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x000131c4 0x1b5 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_line 0x00013379 0x2c8 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_line 0x00013641 0x249 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x0001388a 0x2b4 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x00013b3e 0x6b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x00013ba9 0x248 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x00013df1 0x72 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x00013e63 0x2ff ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_line 0x00014162 0x2dc ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_line 0x0001443e 0x1ce ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_line 0x0001460c 0xab ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x000146b7 0x26b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_line 0x00014922 0x27c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_line 0x00014b9e 0x297 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_line 0x00014e35 0x203 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_line 0x00015038 0x23b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_line 0x00015273 0x2a2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_line 0x00015515 0x316 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_line 0x0001582b 0x36a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_line 0x00015b95 0x206 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_frame 0x00000000 0x202c + *(.debug_frame) + .debug_frame 0x00000000 0x84 obj/default/LCD.o + .debug_frame 0x00000084 0x10c obj/default/hello_world_small.o + .debug_frame 0x00000190 0x48 obj/default/hex_encoder.o + .debug_frame 0x000001d8 0x64 obj/default/hex_out.o + .debug_frame 0x0000023c 0x80 obj/default/input_int.o + .debug_frame 0x000002bc 0x198 obj/default/inst_decoder.o + .debug_frame 0x00000454 0x80 obj/default/lcd_out.o + .debug_frame 0x000004d4 0x9c obj/default/sys_debug.o + .debug_frame 0x00000570 0x2c obj/default/sys_except.o + .debug_frame 0x0000059c 0x9c obj/default/sys_memory.o + .debug_frame 0x00000638 0x9c obj/default/sys_prog.o + .debug_frame 0x000006d4 0x2c obj/default/sys_register.o + .debug_frame 0x00000700 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_frame 0x00000770 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_frame 0x00000790 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_frame 0x000007d0 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_frame 0x000007f0 0x64 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_frame 0x00000854 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_frame 0x00000880 0x64 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_frame 0x000008e4 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_frame 0x00000924 0x118 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_frame 0x00000a3c 0x4c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_frame 0x00000a88 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_frame 0x00000ac0 0x60 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_frame 0x00000b20 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_frame 0x00000b90 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_frame 0x00000bbc 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_frame 0x00000bf4 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_frame 0x00000c14 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_frame 0x00000c34 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_frame 0x00000c54 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_frame 0x00000c74 0x228 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_frame 0x00000e9c 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_frame 0x00000ed4 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_frame 0x00000ef4 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_frame 0x00000f14 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_frame 0x00000f40 0x78 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_frame 0x00000fb8 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_frame 0x00000fd8 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_frame 0x00001004 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_frame 0x00001030 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_frame 0x0000105c 0x3c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_frame 0x00001098 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_frame 0x000010c4 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_frame 0x000010f0 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_frame 0x0000111c 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_frame 0x00001148 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_frame 0x00001180 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_frame 0x000011b8 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_frame 0x00001228 0x3c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_frame 0x00001264 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_frame 0x00001294 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_frame 0x000012c0 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_frame 0x000012ec 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_frame 0x00001318 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_frame 0x00001344 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_frame 0x00001370 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_frame 0x000013a0 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_frame 0x000013c8 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_frame 0x00001400 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_frame 0x00001440 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_frame 0x00001460 0x2c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_frame 0x0000148c 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_frame 0x000014ac 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + .debug_frame 0x000014cc 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_frame 0x00001514 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_frame 0x00001540 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_frame 0x00001588 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_frame 0x000015d0 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_frame 0x00001618 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_frame 0x00001660 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_frame 0x0000168c 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_frame 0x000016d4 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_frame 0x0000171c 0x30 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_frame 0x0000174c 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_frame 0x00001778 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_frame 0x000017a4 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_frame 0x000017ec 0x64 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_frame 0x00001850 0x80 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_frame 0x000018d0 0x80 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_frame 0x00001950 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_frame 0x0000197c 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_frame 0x000019a8 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_frame 0x000019d4 0x124 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_frame 0x00001af8 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_frame 0x00001b24 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_frame 0x00001b50 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_frame 0x00001b7c 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_frame 0x00001ba8 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_frame 0x00001bf0 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_frame 0x00001c1c 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_frame 0x00001c48 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_frame 0x00001c74 0x80 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_frame 0x00001cf4 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_frame 0x00001d20 0x4c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_frame 0x00001d6c 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_frame 0x00001d98 0x68 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_frame 0x00001e00 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_frame 0x00001e48 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_frame 0x00001e74 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_frame 0x00001ea0 0x2c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_frame 0x00001ecc 0x30 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_frame 0x00001efc 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_frame 0x00001f1c 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_frame 0x00001f44 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_frame 0x00001f64 0x34 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_frame 0x00001f98 0x4c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_frame 0x00001fe4 0x48 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_str 0x00000000 0x23b5 + *(.debug_str) + .debug_str 0x00000000 0x12 obj/default/LCD.o + .debug_str 0x00000012 0x1c obj/default/hello_world_small.o + .debug_str 0x0000002e 0x1f obj/default/inst_decoder.o + .debug_str 0x0000004d 0x12 obj/default/lcd_out.o + .debug_str 0x0000005f 0x25 obj/default/sys_debug.o + .debug_str 0x00000084 0x9 obj/default/sys_memory.o + .debug_str 0x0000008d 0x12 obj/default/sys_prog.o + .debug_str 0x0000009f 0x167 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + 0x1b4 (size before relaxing) + .debug_str 0x00000206 0x37 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + 0x175 (size before relaxing) + .debug_str 0x0000023d 0xf0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-ctype_.o) + 0x113 (size before relaxing) + .debug_str 0x0000032d 0x457 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + 0x539 (size before relaxing) + .debug_str 0x00000784 0xe9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + 0x18b (size before relaxing) + .debug_str 0x0000086d 0x1c4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + 0x706 (size before relaxing) + .debug_str 0x00000a31 0x49 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x51a (size before relaxing) + .debug_str 0x00000a7a 0x19c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + 0x60f (size before relaxing) + .debug_str 0x00000c16 0x68 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x540 (size before relaxing) + .debug_str 0x00000c7e 0x105 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x602 (size before relaxing) + .debug_str 0x00000d83 0x15e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x651 (size before relaxing) + .debug_str 0x00000ee1 0x69 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x571 (size before relaxing) + .debug_str 0x00000f4a 0x61 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x543 (size before relaxing) + .debug_str 0x00000fab 0xdf c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x528 (size before relaxing) + .debug_str 0x0000108a 0x233 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + 0x6b7 (size before relaxing) + .debug_str 0x000012bd 0x126 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x614 (size before relaxing) + .debug_str 0x000013e3 0xef c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x6ff (size before relaxing) + .debug_str 0x000014d2 0x5f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + 0x199 (size before relaxing) + .debug_str 0x00001531 0x6c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + 0x136 (size before relaxing) + .debug_str 0x0000159d 0x50 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + 0x1ab (size before relaxing) + .debug_str 0x000015ed 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + 0x18c (size before relaxing) + .debug_str 0x00001632 0xfb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + 0x61c (size before relaxing) + .debug_str 0x0000172d 0x59 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x5d1 (size before relaxing) + .debug_str 0x00001786 0xea c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + 0x198 (size before relaxing) + .debug_str 0x00001870 0x49 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + 0x198 (size before relaxing) + .debug_str 0x000018b9 0x53 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + 0x519 (size before relaxing) + .debug_str 0x0000190c 0x65 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x53f (size before relaxing) + .debug_str 0x00001971 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + 0x171 (size before relaxing) + .debug_str 0x000019b6 0x4f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x51c (size before relaxing) + .debug_str 0x00001a05 0x19 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + 0x550 (size before relaxing) + .debug_str 0x00001a1e 0x46 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + 0x50c (size before relaxing) + .debug_str 0x00001a64 0x4e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x524 (size before relaxing) + .debug_str 0x00001ab2 0x4c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x5ff (size before relaxing) + .debug_str 0x00001afe 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x171 (size before relaxing) + .debug_str 0x00001b3e 0x48 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x50e (size before relaxing) + .debug_str 0x00001b86 0x46 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x51a (size before relaxing) + .debug_str 0x00001bcc 0x44 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + 0x51a (size before relaxing) + .debug_str 0x00001c10 0xb2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + 0x1f7 (size before relaxing) + .debug_str 0x00001cc2 0xa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + 0x1f7 (size before relaxing) + .debug_str 0x00001ccc 0x137 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + 0x26f (size before relaxing) + .debug_str 0x00001e03 0x40 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + 0x241 (size before relaxing) + .debug_str 0x00001e43 0x35 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + 0x231 (size before relaxing) + .debug_str 0x00001e78 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + 0x1fe (size before relaxing) + .debug_str 0x00001e80 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + 0x1fe (size before relaxing) + .debug_str 0x00001e88 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + 0x1fe (size before relaxing) + .debug_str 0x00001e90 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + 0x1fe (size before relaxing) + .debug_str 0x00001e98 0x8 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + 0x1fe (size before relaxing) + .debug_str 0x00001ea0 0x18 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + 0x1de (size before relaxing) + .debug_str 0x00001eb8 0xa c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + 0x207 (size before relaxing) + .debug_str 0x00000000 0x1a3 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_thenan_df.o) + .debug_str 0x00001ec2 0x14 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + 0x1da (size before relaxing) + .debug_str 0x00001ed6 0x9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + 0x1ac (size before relaxing) + .debug_str 0x00000000 0x161 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clz.o) + .debug_str 0x00001edf 0x9 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + 0x17e (size before relaxing) + .debug_str 0x00001ee8 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + 0x206 (size before relaxing) + .debug_str 0x00001ef8 0xb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + 0x1e8 (size before relaxing) + .debug_str 0x00001f03 0x10 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_fpcmp_parts_df.o) + 0x1c6 (size before relaxing) + .debug_str 0x00001f13 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_str 0x00001f25 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_str 0x00001f37 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_errno.o) + .debug_str 0x00001f49 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_str 0x00001f5b 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_str 0x00001f6d 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_str 0x00001f7f 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_str 0x00001f91 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_str 0x00001fa3 0x20 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_str 0x00001fc3 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_str 0x00001fd5 0x1a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_str 0x00001fef 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_str 0x00002001 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_str 0x00002013 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_str 0x00002025 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_str 0x00002037 0x1a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_str 0x00002051 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_str 0x00002063 0x1a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_str 0x0000207d 0x1a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_str 0x00002097 0x25 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_str 0x000020bc 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_str 0x000020ce 0x23 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_str 0x000020f1 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_str 0x00002103 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_str 0x00002115 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_str 0x00002127 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_str 0x00002139 0x18 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_str 0x00002151 0x1a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_str 0x0000216b 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_str 0x0000217d 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_str 0x0000218f 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_str 0x000021a1 0x1a ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_str 0x000021bb 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_str 0x000021cd 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_str 0x000021df 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_str 0x000021f1 0x12 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_str 0x00002203 0x3e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x1cd (size before relaxing) + .debug_str 0x00002241 0x41 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + 0x51a (size before relaxing) + .debug_str 0x00002282 0x45 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + 0x178 (size before relaxing) + .debug_str 0x000022c7 0x54 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x532 (size before relaxing) + .debug_str 0x0000231b 0x7e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x55c (size before relaxing) + .debug_str 0x00002399 0x1c ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_loc 0x00000000 0xc7db + *(.debug_loc) + .debug_loc 0x00000000 0xac obj/default/LCD.o + .debug_loc 0x000000ac 0x183 obj/default/hello_world_small.o + .debug_loc 0x0000022f 0x56 obj/default/hex_encoder.o + .debug_loc 0x00000285 0x81 obj/default/hex_out.o + .debug_loc 0x00000306 0xac obj/default/input_int.o + .debug_loc 0x000003b2 0x25a obj/default/inst_decoder.o + .debug_loc 0x0000060c 0xac obj/default/lcd_out.o + .debug_loc 0x000006b8 0xd7 obj/default/sys_debug.o + .debug_loc 0x0000078f 0x2b obj/default/sys_except.o + .debug_loc 0x000007ba 0xd7 obj/default/sys_memory.o + .debug_loc 0x00000891 0xd7 obj/default/sys_prog.o + .debug_loc 0x00000968 0x2b obj/default/sys_register.o + .debug_loc 0x00000993 0x1d2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_loc 0x00000b65 0x4f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_loc 0x00000bb4 0xc0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sprintf.o) + .debug_loc 0x00000c74 0x3c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_loc 0x00000cb0 0x1dc0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_loc 0x00002a70 0x7c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_loc 0x00002aec 0x1da7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-dtoa.o) + .debug_loc 0x00004893 0x1f6 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_loc 0x00004a89 0x1e1 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_loc 0x00004c6a 0x4a7 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_loc 0x00005111 0x4e4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_loc 0x000055f5 0x1d2 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_loc 0x000057c7 0xe1 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-locale.o) + .debug_loc 0x000058a8 0x11c c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_loc 0x000059c4 0xaeb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_loc 0x000064af 0x106 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_loc 0x000065b5 0xca c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_loc 0x0000667f 0x117 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_loc 0x00006796 0xa1 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_loc 0x00006837 0x1547 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mprec.o) + .debug_loc 0x00007d7e 0x8c0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_loc 0x0000863e 0x36 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isinfd.o) + .debug_loc 0x00008674 0x18 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-s_isnand.o) + .debug_loc 0x0000868c 0x5b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_loc 0x000086e7 0x21f c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_loc 0x00008906 0x65 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strcmp.o) + .debug_loc 0x0000896b 0x97 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_loc 0x00008a02 0xff c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_loc 0x00008b01 0x5b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-closer.o) + .debug_loc 0x00008b5c 0xe4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_loc 0x00008c40 0x79 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_loc 0x00008cb9 0x5b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_loc 0x00008d14 0x97 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_loc 0x00008dab 0x97 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_loc 0x00008e42 0xc12 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_loc 0x00009a54 0xbcc c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_loc 0x0000a620 0x47a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_loc 0x0000aa9a 0x3b4 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_loc 0x0000ae4e 0x1df c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_loc 0x0000b02d 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_eq_df.o) + .debug_loc 0x0000b09d 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ne_df.o) + .debug_loc 0x0000b10d 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_gt_df.o) + .debug_loc 0x0000b17d 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_ge_df.o) + .debug_loc 0x0000b1ed 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_lt_df.o) + .debug_loc 0x0000b25d 0x9a c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_si_to_df.o) + .debug_loc 0x0000b2f7 0x8b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_df_to_si.o) + .debug_loc 0x0000b382 0x5b c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_loc 0x0000b3dd 0x103 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_loc 0x0000b4e0 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_loc 0x0000b4fe 0x184 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_loc 0x0000b682 0xe5 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_unpack_df.o) + .debug_loc 0x0000b767 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_close.o) + .debug_loc 0x0000b7bd 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev.o) + .debug_loc 0x0000b7e8 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_loc 0x0000b83e 0x57 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_loc 0x0000b895 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_load.o) + .debug_loc 0x0000b8eb 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_loc 0x0000b941 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_main.o) + .debug_loc 0x0000b96c 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_loc 0x0000b9c2 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_read.o) + .debug_loc 0x0000ba18 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_loc 0x0000ba43 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_loc 0x0000ba6e 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_loc 0x0000ba99 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_write.o) + .debug_loc 0x0000baef 0x81 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_loc 0x0000bb70 0xac ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_loc 0x0000bc1c 0xac ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_loc 0x0000bcc8 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_loc 0x0000bcf3 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_loc 0x0000bd1e 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_loc 0x0000bd49 0x184 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207.o) + .debug_loc 0x0000becd 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_avalon_lcd_16207_fd.o) + .debug_loc 0x0000bef8 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_loc 0x0000bf23 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_loc 0x0000bf4e 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_loc 0x0000bf79 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_loc 0x0000bfcf 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_loc 0x0000bffa 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_loc 0x0000c025 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_loc 0x0000c050 0xac ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic.o) + .debug_loc 0x0000c0fc 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_loc 0x0000c127 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_loc 0x0000c17d 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_loc 0x0000c1a8 0x81 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_open.o) + .debug_loc 0x0000c229 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_tick.o) + .debug_loc 0x0000c27f 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(altera_nios2_qsys_irq.o) + .debug_loc 0x0000c2aa 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_loc 0x0000c2d5 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_loc 0x0000c300 0x2b ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_loc 0x0000c32b 0x1e c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_loc 0x0000c349 0x3d c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_loc 0x0000c386 0x114 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_loc 0x0000c49a 0x120 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_loc 0x0000c5ba 0x1cb c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_loc 0x0000c785 0x56 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_macinfo + *(.debug_macinfo) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.debug_alt_sim_info + 0x00000000 0x40 + *(.debug_alt_sim_info) + .debug_alt_sim_info + 0x00000000 0x10 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .debug_alt_sim_info + 0x00000010 0x30 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x00032000 __alt_data_end = 0x32000 + 0x00032000 PROVIDE (__alt_stack_pointer, __alt_data_end) + 0x000145f4 PROVIDE (__alt_stack_limit, __alt_stack_base) + 0x000145f4 PROVIDE (__alt_heap_start, end) + 0x00032000 PROVIDE (__alt_heap_limit, 0x32000) +OUTPUT(DE2_115_ASM3_release.elf elf32-littlenios2) + +.debug_ranges 0x00000000 0x9e0 + .debug_ranges 0x00000000 0x20 ../DE2_115_ASM3_release_bsp//obj/HAL/src/crt0.o + .debug_ranges 0x00000020 0x168 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-vfprintf.o) + .debug_ranges 0x00000188 0x20 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_ranges 0x000001a8 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_ranges 0x000001d0 0xa0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_ranges 0x00000270 0x70 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_ranges 0x000002e0 0x110 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_ranges 0x000003f0 0x38 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-callocr.o) + .debug_ranges 0x00000428 0x190 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_udivdi3.o) + .debug_ranges 0x000005b8 0x1c0 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_umoddi3.o) + .debug_ranges 0x00000778 0x30 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_addsub_df.o) + .debug_ranges 0x000007a8 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_mul_df.o) + .debug_ranges 0x00000830 0x50 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_div_df.o) + .debug_ranges 0x00000880 0x18 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_usi_to_df.o) + .debug_ranges 0x00000898 0x88 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_muldi3.o) + .debug_ranges 0x00000920 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_clzsi2.o) + .debug_ranges 0x00000948 0x28 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/mno-hw-mul\libgcc.a(_pack_df.o) + .debug_ranges 0x00000970 0x28 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_ranges 0x00000998 0x30 ../DE2_115_ASM3_release_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_ranges 0x000009c8 0x18 c:/altera/13.0sp1/nios2eds/bin/gnu/h-i686-mingw32/bin/../lib/gcc/nios2-elf/4.1.2/../../../../nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) diff --git a/software/DE2_115_ASM3_release/LCD.c b/software/DE2_115_ASM3_release/LCD.c new file mode 100644 index 0000000..10ff2ea --- /dev/null +++ b/software/DE2_115_ASM3_release/LCD.c @@ -0,0 +1,50 @@ +#include +#include +#include +#include "system.h" +#include "LCD.h" +//------------------------------------------------------------------------- +void LCD_Init() +{ + lcd_write_cmd(LCD_16207_0_BASE,0x38); + usleep(2000); + lcd_write_cmd(LCD_16207_0_BASE,0x0C); + usleep(2000); + lcd_write_cmd(LCD_16207_0_BASE,0x01); + usleep(2000); + lcd_write_cmd(LCD_16207_0_BASE,0x06); + usleep(2000); + lcd_write_cmd(LCD_16207_0_BASE,0x80); + usleep(2000); +} +//------------------------------------------------------------------------- +void LCD_Show_Text(const char* Text) +{ + int i; + for(i=0;i /c/dir). This will ensture +# paths are readable by GNU Make. +# +# If COMSPEC/ComSpec is not defined, Make is launched from linux, and no +# adjustment is necessary +# +#------------------------------------------------------------------------------ + +ifndef COMSPEC +ifdef ComSpec +COMSPEC = $(ComSpec) +endif # ComSpec +endif # COMSPEC + +ifdef COMSPEC # if Windows OS + +ifeq ($(MAKE_VERSION),3.81) +# +# adjust-path/adjust-path-mixed for Mingw Gnu Make on Windows +# +# Example Usage: +# $(call adjust-path,c:/aaa/bbb) => /c/aaa/bbb +# $(call adjust-path-mixed,/c/aaa/bbb) => c:/aaa/bbb +# $(call adjust-path-mixed,/cygdrive/c/aaa/bbb) => c:/aaa/bbb +# + +# +# adjust-path +# - converts back slash characters into forward slashes +# - if input arg ($1) is an empty string then return the empty string +# - if input arg ($1) does not contain the string ":/", then return input arg +# - using sed, convert mixed path [c:/...] into mingw path [/c/...] +define adjust-path +$(strip \ +$(if $1,\ +$(if $(findstring :/,$(subst \,/,$1)),\ +$(shell echo $(subst \,/,$1) | sed -e 's,^\([a-zA-Z]\):/,/\1/,'),\ +$(subst \,/,$1)))) +endef + +# +# adjust-path-mixed +# - converts back slash characters into forward slashes +# - if input arg ($1) is an empty string then return the empty string +# - if input arg ($1) does not begin with a forward slash '/' char, then +# return input arg +# - using sed, convert mingw path [/c/...] or cygwin path [/c/cygdrive/...] +# into a mixed path [c:/...] +define adjust-path-mixed +$(strip \ +$(if $1,\ +$(if $(findstring $(subst \,/,$1),$(patsubst /%,%,$(subst \,/,$1))),\ +$(subst \,/,$1),\ +$(shell echo $(subst \,/,$1) | sed -e 's,^/cygdrive/\([a-zA-Z]\)/,\1:/,' -e 's,^/\([a-zA-Z]\)/,\1:/,')))) +endef + +else # MAKE_VERSION != 3.81 (MAKE_VERSION == 3.80 or MAKE_VERSION == 3.79) +# +# adjust-path for Cygwin Gnu Make +# $(call adjust-path,c:/aaa/bbb) = /cygdrive/c/aaa/bbb +# $(call adjust-path-mixed,/cygdrive/c/aaa/bbb) = c:/aaa/bbb +# +adjust-path = $(if $1,$(shell cygpath -u "$1"),) +adjust-path-mixed = $(if $1,$(shell cygpath -m "$1"),) +endif + +else # !COMSPEC + +adjust-path = $1 +adjust-path-mixed = $1 + +endif # COMSPEC + + +#vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv +# GENERATED SETTINGS START v +#vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv + +#START GENERATED +ACTIVE_BUILD_CONFIG := default +BUILD_CONFIGS := default + +# The following TYPE comment allows tools to identify the 'type' of target this +# makefile is associated with. +# TYPE: APP_MAKEFILE + +# This following VERSION comment indicates the version of the tool used to +# generate this makefile. A makefile variable is provided for VERSION as well. +# ACDS_VERSION: 13.0sp1 +ACDS_VERSION := 13.0sp1 + +# This following BUILD_NUMBER comment indicates the build number of the tool +# used to generate this makefile. +# BUILD_NUMBER: 232 + +# Define path to the application ELF. +# It may be used by the makefile fragments so is defined before including them. +# +ELF := DE2_115_ASM3_release.elf + +# Paths to C, C++, and assembly source files. +C_SRCS += LCD.c +C_SRCS += hello_world_small.c +C_SRCS += hex_encoder.c +C_SRCS += hex_out.c +C_SRCS += input_int.c +C_SRCS += inst_decoder.c +C_SRCS += lcd_out.c +C_SRCS += sys_debug.c +C_SRCS += sys_except.c +C_SRCS += sys_memory.c +C_SRCS += sys_prog.c +C_SRCS += sys_register.c +CXX_SRCS := +ASM_SRCS := + + +# Path to root of object file tree. +OBJ_ROOT_DIR := obj + +# Options to control objdump. +CREATE_OBJDUMP := 1 +OBJDUMP_INCLUDE_SOURCE := 1 +OBJDUMP_FULL_CONTENTS := 0 + +# Options to enable/disable optional files. +CREATE_ELF_DERIVED_FILES := 0 +CREATE_LINKER_MAP := 1 + +# Common arguments for ALT_CFLAGSs +APP_CFLAGS_DEFINED_SYMBOLS := +APP_CFLAGS_UNDEFINED_SYMBOLS := +APP_CFLAGS_OPTIMIZATION := -O0 +APP_CFLAGS_DEBUG_LEVEL := -g +APP_CFLAGS_WARNINGS := -Wall +APP_CFLAGS_USER_FLAGS := + +APP_ASFLAGS_USER := +APP_LDFLAGS_USER := + +# Linker options that have default values assigned later if not +# assigned here. +LINKER_SCRIPT := +CRT0 := +SYS_LIB := + +# Define path to the root of the BSP. +BSP_ROOT_DIR := ../DE2_115_ASM3_release_bsp/ + +# List of application specific include directories, library directories and library names +APP_INCLUDE_DIRS := +APP_LIBRARY_DIRS := +APP_LIBRARY_NAMES := + +# Pre- and post- processor settings. +BUILD_PRE_PROCESS := +BUILD_POST_PROCESS := + +QUARTUS_PROJECT_DIR := ../../ + + +#END GENERATED + +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ +# GENERATED SETTINGS END ^ +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + + +#------------------------------------------------------------------------------ +# DEFAULT TARGET +#------------------------------------------------------------------------------ + +# Define the variable used to echo output if not already defined. +ifeq ($(ECHO),) +ECHO := echo +endif + +# Put "all" rule before included makefile fragments because they may +# define rules and we don't want one of those to become the default rule. +.PHONY : all + +all: + @$(ECHO) [$(APP_NAME) build complete] + +all : build_pre_process libs app build_post_process + + +#------------------------------------------------------------------------------ +# VARIABLES DEPENDENT ON GENERATED CONTENT +#------------------------------------------------------------------------------ + +# Define object file directory per build configuration +CONFIG_OBJ_DIR := $(OBJ_ROOT_DIR)/$(ACTIVE_BUILD_CONFIG) + +ifeq ($(BSP_ROOT_DIR),) +$(error Edit Makefile and provide a value for BSP_ROOT_DIR) +endif + +ifeq ($(wildcard $(BSP_ROOT_DIR)),) +$(error BSP directory does not exist: $(BSP_ROOT_DIR)) +endif + +# Define absolute path to the root of the BSP. +ABS_BSP_ROOT_DIR := $(call adjust-path-mixed,$(shell cd "$(BSP_ROOT_DIR)"; pwd)) + +# Include makefile fragments. Define variable ALT_LIBRARY_ROOT_DIR before +# including each makefile fragment so that it knows the path to itself. +BSP_INCLUDE_FILE := $(BSP_ROOT_DIR)/public.mk +ALT_LIBRARY_ROOT_DIR := $(BSP_ROOT_DIR) +include $(BSP_INCLUDE_FILE) +# C2H will need this to touch the BSP public.mk and avoid the sopc file +# out-of-date error during a BSP make +ABS_BSP_INCLUDE_FILE := $(ABS_BSP_ROOT_DIR)/public.mk + + +ifneq ($(WARNING.SMALL_STACK_SIZE),) +# This WARNING is here to protect you from unknowingly using a very small stack +# If the warning is set, increase your stack size or enable the BSP small stack +# setting to eliminate the warning +$(warning WARNING: $(WARNING.SMALL_STACK_SIZE)) +endif + + +# If the BSP public.mk indicates that ALT_SIM_OPTIMIZE is set, rename the ELF +# by prefixing it with RUN_ON_HDL_SIMULATOR_ONLY_. +ifneq ($(filter -DALT_SIM_OPTIMIZE,$(ALT_CPPFLAGS)),) +ELF := RUN_ON_HDL_SIMULATOR_ONLY_$(ELF) +endif + +# If the BSP public.mk indicates that ALT_PROVIDE_GMON is set, add option to +# download_elf target +ifneq ($(filter -DALT_PROVIDE_GMON,$(ALT_CPPFLAGS)),) +GMON_OUT_FILENAME := gmon.out +WRITE_GMON_OPTION := --write-gmon $(GMON_OUT_FILENAME) +endif + +# Name of ELF application. +APP_NAME := $(basename $(ELF)) + +# Set to defaults if variables not already defined in settings. +ifeq ($(LINKER_SCRIPT),) +LINKER_SCRIPT := $(BSP_LINKER_SCRIPT) +endif +ifeq ($(CRT0),) +CRT0 := $(BSP_CRT0) +endif +ifeq ($(SYS_LIB),) +SYS_LIB := $(BSP_SYS_LIB) +endif + +OBJDUMP_NAME := $(APP_NAME).objdump +OBJDUMP_FLAGS := --disassemble --syms --all-header +ifeq ($(OBJDUMP_INCLUDE_SOURCE),1) +OBJDUMP_FLAGS += --source +endif +ifeq ($(OBJDUMP_FULL_CONTENTS),1) +OBJDUMP_FLAGS += --full-contents +endif + +# Create list of linker dependencies (*.a files). +APP_LDDEPS := $(ALT_LDDEPS) $(LDDEPS) + +# Take lists and add required prefixes. +APP_INC_DIRS := $(addprefix -I, $(ALT_INCLUDE_DIRS) $(APP_INCLUDE_DIRS) $(INC_DIRS)) +ASM_INC_PREFIX := -Wa,-I +APP_ASM_INC_DIRS := $(addprefix $(ASM_INC_PREFIX), $(ALT_INCLUDE_DIRS) $(APP_INCLUDE_DIRS) $(INC_DIRS)) +APP_LIB_DIRS := $(addprefix -L, $(ALT_LIBRARY_DIRS) $(APP_LIBRARY_DIRS) $(LIB_DIRS)) +APP_LIBS := $(addprefix -l, $(ALT_LIBRARY_NAMES) $(APP_LIBRARY_NAMES) $(LIBS)) + +ifneq ($(AVOID_NIOS2_GCC3_OPTIONS),) + +# +# Avoid Nios II GCC 3.X options. +# + +# Detect if small newlib C library is requested. +# If yes, remove the -msmallc option because it is +# now handled by other means. +ifneq ($(filter -msmallc,$(ALT_LDFLAGS)),) + ALT_LDFLAGS := $(filter-out -msmallc,$(ALT_LDFLAGS)) + ALT_C_LIBRARY := smallc +else + ALT_C_LIBRARY := c +endif + +# Put each BSP dependent library in a group to avoid circular dependencies. +APP_BSP_DEP_LIBS := $(foreach l,$(ALT_BSP_DEP_LIBRARY_NAMES),-Wl,--start-group -l$(ALT_C_LIBRARY) -lgcc -l$(l) -Wl,--end-group) + +else # !AVOID_NIOS2_GCC3_OPTIONS + +# +# Use Nios II GCC 3.X options. +# +APP_BSP_DEP_LIBS := $(addprefix -msys-lib=, $(ALT_BSP_DEP_LIBRARY_NAMES)) + +endif # !AVOID_NIOS2_GCC3_OPTIONS + +# Arguments for the C preprocessor, C/C++ compiler, assembler, and linker. +APP_CFLAGS := $(APP_CFLAGS_DEFINED_SYMBOLS) \ + $(APP_CFLAGS_UNDEFINED_SYMBOLS) \ + $(APP_CFLAGS_OPTIMIZATION) \ + $(APP_CFLAGS_DEBUG_LEVEL) \ + $(APP_CFLAGS_WARNINGS) \ + $(APP_CFLAGS_USER_FLAGS) \ + $(ALT_CFLAGS) \ + $(CFLAGS) + +# Arguments only for the C++ compiler. +APP_CXXFLAGS := $(ALT_CXXFLAGS) $(CXXFLAGS) + +# Arguments only for the C preprocessor. +# Prefix each include directory with -I. +APP_CPPFLAGS := $(APP_INC_DIRS) \ + $(ALT_CPPFLAGS) \ + $(CPPFLAGS) + +# Arguments only for the assembler. +APP_ASFLAGS := $(APP_ASM_INC_DIRS) \ + $(ALT_ASFLAGS) \ + $(APP_ASFLAGS_USER) \ + $(ASFLAGS) + +# Arguments only for the linker. +APP_LDFLAGS := $(APP_LDFLAGS_USER) + +ifneq ($(LINKER_SCRIPT),) +APP_LDFLAGS += -T'$(LINKER_SCRIPT)' +endif + +ifneq ($(AVOID_NIOS2_GCC3_OPTIONS),) + +# Avoid Nios II GCC 3.x options. +ifneq ($(CRT0),) +APP_LDFLAGS += $(CRT0) +endif + +# The equivalent of the -msys-lib option is provided +# by the GROUP() command in the linker script. +# Note this means the SYS_LIB variable is now ignored. + +else # !AVOID_NIOS2_GCC3_OPTIONS + +# Use Nios II GCC 3.x options. +ifneq ($(CRT0),) +APP_LDFLAGS += -msys-crt0='$(CRT0)' +endif +ifneq ($(SYS_LIB),) +APP_LDFLAGS += -msys-lib=$(SYS_LIB) +endif + +endif # !AVOID_NIOS2_GCC3_OPTIONS + +APP_LDFLAGS += \ + $(APP_LIB_DIRS) \ + $(ALT_LDFLAGS) \ + $(LDFLAGS) + +LINKER_MAP_NAME := $(APP_NAME).map +ifeq ($(CREATE_LINKER_MAP), 1) +APP_LDFLAGS += -Wl,-Map=$(LINKER_MAP_NAME) +endif + +# QUARTUS_PROJECT_DIR and SOPC_NAME need to be defined if you want the +# mem_init_install target of the mem_init.mk (located in the associated BSP) +# to know how to copy memory initialization files (e.g. .dat, .hex) into +# directories required for Quartus compilation or RTL simulation. + +# Defining QUARTUS_PROJECT_DIR causes mem_init_install to copy memory +# initialization files into your Quartus project directory. This is required +# to provide the initial memory contents of FPGA memories that can be +# initialized by the programming file (.sof) or Hardcopy ROMs. It is also used +# for VHDL simulation of on-chip memories. + +# Defining SOPC_NAME causes the mem_init_install target to copy memory +# initialization files into your RTL simulation directory. This is required +# to provide the initial memory contents of all memories that can be +# initialized by RTL simulation. This variable should be set to the same name +# as your SOPC Builder system name. For example, if you have a system called +# "foo.sopc", this variable should be set to "foo". + +# If SOPC_NAME is not set and QUARTUS_PROJECT_DIR is set, then derive SOPC_NAME. +ifeq ($(SOPC_NAME),) +ifneq ($(QUARTUS_PROJECT_DIR),) +SOPC_NAME := $(basename $(notdir $(wildcard $(QUARTUS_PROJECT_DIR)/*.sopcinfo))) +endif +endif + +# Defining JDI_FILE is required to specify the JTAG Debug Information File +# path. This file is generated by Quartus, and is needed along with the +# .sopcinfo file to resolve processor instance ID's from names in a multi-CPU +# systems. For multi-CPU systems, the processor instance ID is used to select +# from multiple CPU's during ELF download. + +# Both JDI_FILE and SOPCINFO_FILE are provided by the BSP if they found during +# BSP creation. If JDI_FILE is not set and QUARTUS_PROJECT_DIR is set, then +# derive JDI_FILE. We do not attempt to derive SOPCINFO_FILE since there may be +# multiple .sopcinfo files in a Quartus project. +ifeq ($(JDI_FILE),) +ifneq ($(QUARTUS_PROJECT_DIR),) +JDI_FILE := $(wildcard $(QUARTUS_PROJECT_DIR)/*.jdi) +endif +endif + +# Path to root runtime directory used for hdl simulation +RUNTIME_ROOT_DIR := $(CONFIG_OBJ_DIR)/runtime + + + +#------------------------------------------------------------------------------ +# MAKEFILE INCLUDES DEPENDENT ON GENERATED CONTENT +#------------------------------------------------------------------------------ +# mem_init.mk is a generated makefile fragment. This file defines all targets +# used to generate HDL initialization simulation files and pre-initialized +# onchip memory files. +MEM_INIT_FILE := $(BSP_ROOT_DIR)/mem_init.mk +include $(MEM_INIT_FILE) + +# Create list of object files to be built using the list of source files. +# The source file hierarchy is preserved in the object tree. +# The supported file extensions are: +# +# .c - for C files +# .cxx .cc .cpp - for C++ files +# .S .s - for assembler files +# +# Handle source files specified by --src-dir & --src-rdir differently, to +# save some processing time in calling the adjust-path macro. + +OBJ_LIST_C := $(patsubst %.c,%.o,$(filter %.c,$(C_SRCS))) +OBJ_LIST_CPP := $(patsubst %.cpp,%.o,$(filter %.cpp,$(CXX_SRCS))) +OBJ_LIST_CXX := $(patsubst %.cxx,%.o,$(filter %.cxx,$(CXX_SRCS))) +OBJ_LIST_CC := $(patsubst %.cc,%.o,$(filter %.cc,$(CXX_SRCS))) +OBJ_LIST_S := $(patsubst %.S,%.o,$(filter %.S,$(ASM_SRCS))) +OBJ_LIST_SS := $(patsubst %.s,%.o,$(filter %.s,$(ASM_SRCS))) + +OBJ_LIST := $(sort $(OBJ_LIST_C) $(OBJ_LIST_CPP) $(OBJ_LIST_CXX) \ + $(OBJ_LIST_CC) $(OBJ_LIST_S) $(OBJ_LIST_SS)) + +SDIR_OBJ_LIST_C := $(patsubst %.c,%.o,$(filter %.c,$(SDIR_C_SRCS))) +SDIR_OBJ_LIST_CPP := $(patsubst %.cpp,%.o,$(filter %.cpp,$(SDIR_CXX_SRCS))) +SDIR_OBJ_LIST_CXX := $(patsubst %.cxx,%.o,$(filter %.cxx,$(SDIR_CXX_SRCS))) +SDIR_OBJ_LIST_CC := $(patsubst %.cc,%.o,$(filter %.cc,$(SDIR_CXX_SRCS))) +SDIR_OBJ_LIST_S := $(patsubst %.S,%.o,$(filter %.S,$(SDIR_ASM_SRCS))) +SDIR_OBJ_LIST_SS := $(patsubst %.s,%.o,$(filter %.s,$(SDIR_ASM_SRCS))) + +SDIR_OBJ_LIST := $(sort $(SDIR_OBJ_LIST_C) $(SDIR_OBJ_LIST_CPP) \ + $(SDIR_OBJ_LIST_CXX) $(SDIR_OBJ_LIST_CC) $(SDIR_OBJ_LIST_S) \ + $(SDIR_OBJ_LIST_SS)) + +# Relative-pathed objects that being with "../" are handled differently. +# +# Regular objects are created as +# $(CONFIG_OBJ_DIR)//.o +# where the path structure is maintained under the obj directory. This +# applies for both absolute and relative paths; in the absolute path +# case this means the entire source path will be recreated under the obj +# directory. This is done to allow two source files with the same name +# to be included as part of the project. +# +# Note: On Cygwin, the path recreated under the obj directory will be +# the cygpath -u output path. +# +# Relative-path objects that begin with "../" cause problems under this +# scheme, as $(CONFIG_OBJ_DIR)/..// can potentially put the object +# files anywhere in the system, creating clutter and polluting the source tree. +# As such, their paths are flattened - the object file created will be +# $(CONFIG_OBJ_DIR)/.o. Due to this, two files specified with +# "../" in the beginning cannot have the same name in the project. VPATH +# will be set for these sources to allow make to relocate the source file +# via %.o rules. +# +# The following lines separate the object list into the flatten and regular +# lists, and then handles them as appropriate. + +FLATTEN_OBJ_LIST := $(filter ../%,$(OBJ_LIST)) +FLATTEN_APP_OBJS := $(addprefix $(CONFIG_OBJ_DIR)/,$(notdir $(FLATTEN_OBJ_LIST))) + +REGULAR_OBJ_LIST := $(filter-out $(FLATTEN_OBJ_LIST),$(OBJ_LIST)) +REGULAR_OBJ_LIST_C := $(filter $(OBJ_LIST_C),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_CPP := $(filter $(OBJ_LIST_CPP),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_CXX := $(filter $(OBJ_LIST_CXX),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_CC := $(filter $(OBJ_LIST_CC),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_S := $(filter $(OBJ_LIST_S),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_SS := $(filter $(OBJ_LIST_SS),$(REGULAR_OBJ_LIST)) + +FLATTEN_SDIR_OBJ_LIST := $(filter ../%,$(SDIR_OBJ_LIST)) +FLATTEN_SDIR_APP_OBJS := $(addprefix $(CONFIG_OBJ_DIR)/,$(notdir $(FLATTEN_SDIR_OBJ_LIST))) + +REGULAR_SDIR_OBJ_LIST := $(filter-out $(FLATTEN_SDIR_OBJ_LIST),$(SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_C := $(filter $(SDIR_OBJ_LIST_C),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_CPP := $(filter $(SDIR_OBJ_LIST_CPP),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_CXX := $(filter $(SDIR_OBJ_LIST_CXX),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_CC := $(filter $(SDIR_OBJ_LIST_CC),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_S := $(filter $(SDIR_OBJ_LIST_S),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_SS := $(filter $(SDIR_OBJ_LIST_SS),$(REGULAR_SDIR_OBJ_LIST)) + +VPATH := $(sort $(dir $(FLATTEN_OBJ_LIST)) $(dir $(FLATTEN_SDIR_OBJ_LIST))) + +APP_OBJS_C := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_C) \ + $(foreach s,$(REGULAR_OBJ_LIST_C),$(call adjust-path,$s))) + +APP_OBJS_CPP := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_CPP) \ + $(foreach s,$(REGULAR_OBJ_LIST_CPP),$(call adjust-path,$s))) + +APP_OBJS_CXX := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_CXX) \ + $(foreach s,$(REGULAR_OBJ_LIST_CXX),$(call adjust-path,$s))) + +APP_OBJS_CC := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_CC) \ + $(foreach s,$(REGULAR_OBJ_LIST_CC),$(call adjust-path,$s))) + +APP_OBJS_S := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_S) \ + $(foreach s,$(REGULAR_OBJ_LIST_S),$(call adjust-path,$s))) + +APP_OBJS_SS := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_SS) \ + $(foreach s,$(REGULAR_OBJ_LIST_SS),$(call adjust-path,$s))) + +APP_OBJS := $(APP_OBJS_C) $(APP_OBJS_CPP) $(APP_OBJS_CXX) $(APP_OBJS_CC) \ + $(APP_OBJS_S) $(APP_OBJS_SS) \ + $(FLATTEN_APP_OBJS) $(FLATTEN_SDIR_APP_OBJS) + +# Add any extra user-provided object files. +APP_OBJS += $(OBJS) + +# Create list of dependancy files for each object file. +APP_DEPS := $(APP_OBJS:.o=.d) + +# Patch the Elf file with system specific information + +# Patch the Elf with the name of the sopc system +ifneq ($(SOPC_NAME),) +ELF_PATCH_FLAG += --sopc_system_name $(SOPC_NAME) +endif + +# Patch the Elf with the absolute path to the Quartus Project Directory +ifneq ($(QUARTUS_PROJECT_DIR),) +ABS_QUARTUS_PROJECT_DIR := $(call adjust-path-mixed,$(shell cd "$(QUARTUS_PROJECT_DIR)"; pwd)) +ELF_PATCH_FLAG += --quartus_project_dir "$(ABS_QUARTUS_PROJECT_DIR)" +endif + +# Patch the Elf and download args with the JDI_FILE if specified +ifneq ($(wildcard $(JDI_FILE)),) +ELF_PATCH_FLAG += --jdi $(JDI_FILE) +DOWNLOAD_JDI_FLAG := --jdi $(JDI_FILE) +endif + +# Patch the Elf with the SOPCINFO_FILE if specified +ifneq ($(wildcard $(SOPCINFO_FILE)),) +ELF_PATCH_FLAG += --sopcinfo $(SOPCINFO_FILE) +endif + +# Use the DOWNLOAD_CABLE variable to specify which JTAG cable to use. +# This is not needed if you only have one cable. +ifneq ($(DOWNLOAD_CABLE),) +DOWNLOAD_CABLE_FLAG := --cable '$(DOWNLOAD_CABLE)' +endif + + +#------------------------------------------------------------------------------ +# BUILD PRE/POST PROCESS +#------------------------------------------------------------------------------ +build_pre_process : + $(BUILD_PRE_PROCESS) + +build_post_process : + $(BUILD_POST_PROCESS) + +.PHONY: build_pre_process build_post_process + + +#------------------------------------------------------------------------------ +# TOOLS +#------------------------------------------------------------------------------ + +# +# Set tool default variables if not already defined. +# If these are defined, they would typically be defined in an +# included makefile fragment. +# +ifeq ($(DEFAULT_CROSS_COMPILE),) +DEFAULT_CROSS_COMPILE := nios2-elf- +endif + +ifeq ($(DEFAULT_STACK_REPORT),) +DEFAULT_STACKREPORT := nios2-stackreport +endif + +ifeq ($(DEFAULT_DOWNLOAD),) +DEFAULT_DOWNLOAD := nios2-download +endif + +ifeq ($(DEFAULT_FLASHPROG),) +DEFAULT_FLASHPROG := nios2-flash-programmer +endif + +ifeq ($(DEFAULT_ELFPATCH),) +DEFAULT_ELFPATCH := nios2-elf-insert +endif + +ifeq ($(DEFAULT_RM),) +DEFAULT_RM := rm -f +endif + +ifeq ($(DEFAULT_CP),) +DEFAULT_CP := cp -f +endif + +ifeq ($(DEFAULT_MKDIR),) +DEFAULT_MKDIR := mkdir -p +endif + +# +# Set tool variables to defaults if not already defined. +# If these are defined, they would typically be defined by a +# setting in the generated portion of this makefile. +# +ifeq ($(CROSS_COMPILE),) +CROSS_COMPILE := $(DEFAULT_CROSS_COMPILE) +endif + +ifeq ($(origin CC),default) +CC := $(CROSS_COMPILE)gcc -xc +endif + +ifeq ($(origin CXX),default) +CXX := $(CROSS_COMPILE)gcc -xc++ +endif + +ifeq ($(origin AS),default) +AS := $(CROSS_COMPILE)gcc +endif + +ifeq ($(origin AR),default) +AR := $(CROSS_COMPILE)ar +endif + +ifeq ($(origin LD),default) +LD := $(CROSS_COMPILE)g++ +endif + +ifeq ($(origin NM),default) +NM := $(CROSS_COMPILE)nm +endif + +ifeq ($(origin RM),default) +RM := $(DEFAULT_RM) +endif + +ifeq ($(origin CP),default) +CP := $(DEFAULT_CP) +endif + +ifeq ($(OBJDUMP),) +OBJDUMP := $(CROSS_COMPILE)objdump +endif + +ifeq ($(OBJCOPY),) +OBJCOPY := $(CROSS_COMPILE)objcopy +endif + +ifeq ($(STACKREPORT),) +ifeq ($(CROSS_COMPILE),nios2-elf-) +STACKREPORT := $(DEFAULT_STACKREPORT) +else +DISABLE_STACKREPORT := 1 +endif +endif + +ifeq ($(DOWNLOAD),) +DOWNLOAD := $(DEFAULT_DOWNLOAD) +endif + +ifeq ($(FLASHPROG),) +FLASHPROG := $(DEFAULT_FLASHPROG) +endif + +ifeq ($(ELFPATCH),) +ELFPATCH := $(DEFAULT_ELFPATCH) +endif + +ifeq ($(MKDIR),) +MKDIR := $(DEFAULT_MKDIR) +endif + +#------------------------------------------------------------------------------ +# PATTERN RULES TO BUILD OBJECTS +#------------------------------------------------------------------------------ + +define compile.c +@$(ECHO) Info: Compiling $< to $@ +@$(MKDIR) $(@D) +$(CC) -MP -MMD -c $(APP_CPPFLAGS) $(APP_CFLAGS) -o $@ $< +$(CC_POST_PROCESS) +endef + +define compile.cpp +@$(ECHO) Info: Compiling $< to $@ +@$(MKDIR) $(@D) +$(CXX) -MP -MMD -c $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< +$(CXX_POST_PROCESS) +endef + +# If assembling with the compiler, ensure "-Wa," is prepended to all APP_ASFLAGS +ifeq ($(AS),$(patsubst %as,%,$(AS))) +COMMA := , +APP_ASFLAGS := $(filter-out $(APP_CFLAGS),$(addprefix -Wa$(COMMA),$(patsubst -Wa$(COMMA)%,%,$(APP_ASFLAGS)))) +endif + +define compile.s +@$(ECHO) Info: Assembling $< to $@ +@$(MKDIR) $(@D) +$(AS) -MP -MMD -c $(APP_CPPFLAGS) $(APP_CFLAGS) $(APP_ASFLAGS) -o $@ $< +$(AS_POST_PROCESS) +endef + +ifeq ($(MAKE_VERSION),3.81) +.SECONDEXPANSION: + +$(APP_OBJS_C): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.c) + $(compile.c) + +$(APP_OBJS_CPP): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.cpp) + $(compile.cpp) + +$(APP_OBJS_CC): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.cc) + $(compile.cpp) + +$(APP_OBJS_CXX): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.cxx) + $(compile.cpp) + +$(APP_OBJS_S): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.S) + $(compile.s) + +$(APP_OBJS_SS): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.s) + $(compile.s) + +endif # MAKE_VERSION != 3.81 + +$(CONFIG_OBJ_DIR)/%.o: %.c + $(compile.c) + +$(CONFIG_OBJ_DIR)/%.o: %.cpp + $(compile.cpp) + +$(CONFIG_OBJ_DIR)/%.o: %.cc + $(compile.cpp) + +$(CONFIG_OBJ_DIR)/%.o: %.cxx + $(compile.cpp) + +$(CONFIG_OBJ_DIR)/%.o: %.S + $(compile.s) + +$(CONFIG_OBJ_DIR)/%.o: %.s + $(compile.s) + + +#------------------------------------------------------------------------------ +# PATTERN RULES TO INTERMEDIATE FILES +#------------------------------------------------------------------------------ + +$(CONFIG_OBJ_DIR)/%.s: %.c + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CC) -S $(APP_CPPFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.s: %.cpp + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -S $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.s: %.cc + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -S $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.s: %.cxx + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -S $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.c + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CC) -E $(APP_CPPFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.cpp + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -E $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.cc + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -E $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.cxx + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -E $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + + +#------------------------------------------------------------------------------ +# TARGET RULES +#------------------------------------------------------------------------------ + +.PHONY : help +help : + @$(ECHO) "Summary of Makefile targets" + @$(ECHO) " Build targets:" + @$(ECHO) " all (default) - Application and all libraries (including BSP)" + @$(ECHO) " bsp - Just the BSP" + @$(ECHO) " libs - All libraries (including BSP)" + @$(ECHO) " flash - All flash files" + @$(ECHO) " mem_init_generate - All memory initialization files" +ifeq ($(QSYS),1) + @$(ECHO) " mem_init_install - This target is deprecated for QSys Systems" + @$(ECHO) " --> Use the mem_init_generate target and then" + @$(ECHO) " add the generated meminit.qip file to your" + @$(ECHO) " Quartus II Project." +else # if QSYS != 1 + @$(ECHO) " mem_init_install - Copy memory initialization files to Quartus II project" +endif # QSYS == 1 + @$(ECHO) + @$(ECHO) " Clean targets:" + @$(ECHO) " clean_all - Application and all libraries (including BSP)" + @$(ECHO) " clean - Just the application" + @$(ECHO) " clean_bsp - Just the BSP" + @$(ECHO) " clean_libs - All libraries (including BSP)" + @$(ECHO) + @$(ECHO) " Run targets:" + @$(ECHO) " download-elf - Download and run your elf executable" + @$(ECHO) " program-flash - Program flash contents to the board" + +# Handy rule to skip making libraries and just make application. +.PHONY : app +app : $(ELF) + +ifeq ($(CREATE_OBJDUMP), 1) +app : $(OBJDUMP_NAME) +endif + +ifeq ($(CREATE_ELF_DERIVED_FILES),1) +app : elf_derived_files +endif + +.PHONY: elf_derived_files +elf_derived_files: default_mem_init + +# Handy rule for making just the BSP. +.PHONY : bsp +bsp : + @$(ECHO) Info: Building $(BSP_ROOT_DIR) + @$(MAKE) --no-print-directory -C $(BSP_ROOT_DIR) + + +# Make sure all makeable libraries (including the BSP) are up-to-date. +LIB_TARGETS := $(patsubst %,%-recurs-make-lib,$(MAKEABLE_LIBRARY_ROOT_DIRS)) + +.PHONY : libs +libs : $(LIB_TARGETS) + +ifneq ($(strip $(LIB_TARGETS)),) +$(LIB_TARGETS): %-recurs-make-lib: + @$(ECHO) Info: Building $* + $(MAKE) --no-print-directory -C $* +endif + +ifneq ($(strip $(APP_LDDEPS)),) +$(APP_LDDEPS): libs + @true +endif + +# Rules to force your project to rebuild or relink +# .force_relink file will cause any application that depends on this project to relink +# .force_rebuild file will cause this project to rebuild object files +# .force_rebuild_all file will cause this project and any project that depends on this project to rebuild object files + +FORCE_RELINK_DEP := .force_relink +FORCE_REBUILD_DEP := .force_rebuild +FORCE_REBUILD_ALL_DEP := .force_rebuild_all +FORCE_REBUILD_DEP_LIST := $(CONFIG_OBJ_DIR)/$(FORCE_RELINK_DEP) $(CONFIG_OBJ_DIR)/$(FORCE_REBUILD_DEP) $(FORCE_REBUILD_ALL_DEP) + +$(FORCE_REBUILD_DEP_LIST): + +$(APP_OBJS): $(wildcard $(CONFIG_OBJ_DIR)/$(FORCE_REBUILD_DEP)) $(wildcard $(addsuffix /$(FORCE_REBUILD_ALL_DEP), . $(ALT_LIBRARY_DIRS))) + +$(ELF): $(wildcard $(addsuffix /$(FORCE_RELINK_DEP), $(CONFIG_OBJ_DIR) $(ALT_LIBRARY_DIRS))) + + +# Clean just the application. +.PHONY : clean +ifeq ($(CREATE_ELF_DERIVED_FILES),1) +clean : clean_elf_derived_files +endif + +clean : + @$(RM) -r $(ELF) $(OBJDUMP_NAME) $(LINKER_MAP_NAME) $(OBJ_ROOT_DIR) $(RUNTIME_ROOT_DIR) $(FORCE_REBUILD_DEP_LIST) + @$(ECHO) [$(APP_NAME) clean complete] + +# Clean just the BSP. +.PHONY : clean_bsp +clean_bsp : + @$(ECHO) Info: Cleaning $(BSP_ROOT_DIR) + @$(MAKE) --no-print-directory -C $(BSP_ROOT_DIR) clean + +# Clean all makeable libraries including the BSP. +LIB_CLEAN_TARGETS := $(patsubst %,%-recurs-make-clean-lib,$(MAKEABLE_LIBRARY_ROOT_DIRS)) + +.PHONY : clean_libs +clean_libs : $(LIB_CLEAN_TARGETS) + +ifneq ($(strip $(LIB_CLEAN_TARGETS)),) +$(LIB_CLEAN_TARGETS): %-recurs-make-clean-lib: + @$(ECHO) Info: Cleaning $* + $(MAKE) --no-print-directory -C $* clean +endif + +.PHONY: clean_elf_derived_files +clean_elf_derived_files: mem_init_clean + +# Clean application and all makeable libraries including the BSP. +.PHONY : clean_all +clean_all : clean mem_init_clean clean_libs + +# Include the dependency files unless the make goal is performing a clean +# of the application. +ifneq ($(firstword $(MAKECMDGOALS)),clean) +ifneq ($(firstword $(MAKECMDGOALS)),clean_all) +-include $(APP_DEPS) +endif +endif + +.PHONY : download-elf +download-elf : $(ELF) + @if [ "$(DOWNLOAD)" = "none" ]; \ + then \ + $(ECHO) Downloading $(ELF) not supported; \ + else \ + $(ECHO) Info: Downloading $(ELF); \ + $(DOWNLOAD) --go --cpu_name=$(CPU_NAME) $(DOWNLOAD_CABLE_FLAG) $(SOPC_SYSID_FLAG) $(DOWNLOAD_JDI_FLAG) $(WRITE_GMON_OPTION) $(ELF); \ + fi + +# Delete the target of a rule if it has changed and its commands exit +# with a nonzero exit status. +.DELETE_ON_ERROR: + +# Rules for flash programming commands +PROGRAM_FLASH_SUFFIX := -program +PROGRAM_FLASH_TARGET := $(addsuffix $(PROGRAM_FLASH_SUFFIX), $(FLASH_FILES)) + +.PHONY : program-flash +program-flash : $(PROGRAM_FLASH_TARGET) + +.PHONY : $(PROGRAM_FLASH_TARGET) +$(PROGRAM_FLASH_TARGET) : flash + @if [ "$(FLASHPROG)" = "none" ]; \ + then \ + $(ECHO) Programming flash not supported; \ + else \ + $(ECHO) Info: Programming $(basename $@).flash; \ + if [ -z "$($(basename $@)_EPCS_FLAGS)" ]; \ + then \ + $(ECHO) $(FLASHPROG) $(SOPC_SYSID_FLAG) --base=$($(basename $@)_START) $(basename $@).flash; \ + $(FLASHPROG) $(DOWNLOAD_CABLE_FLAG) $(SOPC_SYSID_FLAG) --base=$($(basename $@)_START) $(basename $@).flash; \ + else \ + $(ECHO) $(FLASHPROG) $(SOPC_SYSID_FLAG) --epcs --base=$($(basename $@)_START) $(basename $@).flash; \ + $(FLASHPROG) $(DOWNLOAD_CABLE_FLAG) $(SOPC_SYSID_FLAG) --epcs --base=$($(basename $@)_START) $(basename $@).flash; \ + fi \ + fi + + +# Rules for simulating with an HDL Simulator [QSYS only] +ifeq ($(QSYS),1) +IP_MAKE_SIMSCRIPT := ip-make-simscript + +ifeq ($(VSIM),) +VSIM_EXE := "$(if $(VSIM_DIR),$(VSIM_DIR)/,)vsim" +ifeq ($(ENABLE_VSIM_GUI),1) +VSIM := $(VSIM_EXE) -gui +else +VSIM := $(VSIM_EXE) -c +endif # ENABLE_VSIM_GUI == 1 +endif # VSIM not set + +ifeq ($(SPD),) +ifneq ($(ABS_QUARTUS_PROJECT_DIR),) +ifneq ($(SOPC_NAME),) +SPD := $(ABS_QUARTUS_PROJECT_DIR)/$(SOPC_NAME)_tb.spd +endif # SOPC_NAME set +endif # ABS_QUARTUS_PROJECT_DIR set +endif # SPD == empty string + +ifeq ($(MSIM_SCRIPT),) +SIM_SCRIPT_DIR := $(RUNTIME_ROOT_DIR)/sim +MSIM_SCRIPT := $(SIM_SCRIPT_DIR)/mentor/msim_setup.tcl +endif # MSIM_SCRIPT == empty string + +ifeq ($(MAKE_VERSION),3.81) +ABS_MEM_INIT_DESCRIPTOR_FILE := $(abspath $(MEM_INIT_DESCRIPTOR_FILE)) +else +ABS_MEM_INIT_DESCRIPTOR_FILE := $(call adjust-path-mixed,$(shell pwd))/$(MEM_INIT_DESCRIPTOR_FILE) +endif + +$(MSIM_SCRIPT): $(SPD) $(MEM_INIT_DESCRIPTOR_FILE) +ifeq ($(SPD),) + $(error No SPD file specified. Ensure QUARTUS_PROJECT_DIR variable is set) +endif + @$(MKDIR) $(SIM_SCRIPT_DIR) + $(IP_MAKE_SIMSCRIPT) --spd=$(SPD) --spd=$(MEM_INIT_DESCRIPTOR_FILE) --output-directory=$(SIM_SCRIPT_DIR) + +VSIM_COMMAND = \ + cd $(dir $(MSIM_SCRIPT)) && \ + $(VSIM) -do "do $(notdir $(MSIM_SCRIPT)); ld; $(if $(VSIM_RUN_TIME),run ${VSIM_RUN_TIME};quit;)" + +.PHONY: sim +sim: $(MSIM_SCRIPT) mem_init_generate +ifeq ($(MSIM_SCRIPT),) + $(error MSIM_SCRIPT not set) +endif + $(VSIM_COMMAND) + +endif # QSYS == 1 + + +#------------------------------------------------------------------------------ +# ELF TARGET RULE +#------------------------------------------------------------------------------ +# Rule for constructing the executable elf file. +$(ELF) : $(APP_OBJS) $(LINKER_SCRIPT) $(APP_LDDEPS) + @$(ECHO) Info: Linking $@ + $(LD) $(APP_LDFLAGS) $(APP_CFLAGS) -o $@ $(filter-out $(CRT0),$(APP_OBJS)) $(APP_LIBS) $(APP_BSP_DEP_LIBS) +ifneq ($(DISABLE_ELFPATCH),1) + $(ELFPATCH) $@ $(ELF_PATCH_FLAG) +endif +ifneq ($(DISABLE_STACKREPORT),1) + @bash -c "$(STACKREPORT) $@" +endif + +$(OBJDUMP_NAME) : $(ELF) + @$(ECHO) Info: Creating $@ + $(OBJDUMP) $(OBJDUMP_FLAGS) $< >$@ + +# Rule for printing the name of the elf file +.PHONY: print-elf-name +print-elf-name: + @$(ECHO) $(ELF) + + diff --git a/software/DE2_115_ASM3_release/create-this-app b/software/DE2_115_ASM3_release/create-this-app new file mode 100644 index 0000000..275882c --- /dev/null +++ b/software/DE2_115_ASM3_release/create-this-app @@ -0,0 +1,114 @@ +#!/bin/bash +# +# This script creates the hello_world application in this directory. + + +BSP_DIR=../DE2_115_ASM3_release_bsp +QUARTUS_PROJECT_DIR=../../ +NIOS2_APP_GEN_ARGS="--elf-name DE2_115_ASM3_release.elf --set OBJDUMP_INCLUDE_SOURCE 1 --src-files hello_world.c" + + +# First, check to see if $SOPC_KIT_NIOS2 environmental variable is set. +# This variable is required for the command line tools to execute correctly. +if [ -z "${SOPC_KIT_NIOS2}" ] +then + echo Required \$SOPC_KIT_NIOS2 Environmental Variable is not set! + exit 1 +fi + + +# Also make sure that the APP has not been created already. Check for +# existence of Makefile in the app directory +if [ -f ./Makefile ] +then + echo Application has already been created! Delete Makefile if you want to create a new application makefile + exit 1 +fi + + +# We are selecting hal_default bsp because it supports this application. +# Check to see if the hal_default has already been generated by checking for +# existence of the public.mk file. If not, we need to run +# create-this-bsp file to generate the bsp. +if [ ! -f ${BSP_DIR}/public.mk ]; then + # Since BSP doesn't exist, create the BSP + # Pass any command line arguments passed to this script to the BSP. + pushd ${BSP_DIR} >> /dev/null + ./create-this-bsp "$@" || { + echo "create-this-bsp failed" + exit 1 + } + popd >> /dev/null +fi + + +# Don't run make if create-this-app script is called with --no-make arg +SKIP_MAKE= +while [ $# -gt 0 ] +do + case "$1" in + --no-make) + SKIP_MAKE=1 + ;; + esac + shift +done + + +# Now we also need to go copy the sources for this application to the +# local directory. +find "${SOPC_KIT_NIOS2}/examples/software/hello_world/" -name '*.c' -or -name '*.h' -or -name 'hostfs*' | xargs -i cp -L {} ./ || { + echo "failed during copying example source files" + exit 1 +} + +find "${SOPC_KIT_NIOS2}/examples/software/hello_world/" -name 'readme.txt' -or -name 'Readme.txt' | xargs -i cp -L {} ./ || { + echo "failed copying readme file" +} + +if [ -d "${SOPC_KIT_NIOS2}/examples/software/hello_world/system" ] +then + cp -RL "${SOPC_KIT_NIOS2}/examples/software/hello_world/system" . || { + echo "failed during copying project support files" + exit 1 + } +fi + +chmod -R +w . || { + echo "failed during changing file permissions" + exit 1 +} + +cmd="nios2-app-generate-makefile --bsp-dir ${BSP_DIR} --set QUARTUS_PROJECT_DIR=${QUARTUS_PROJECT_DIR} ${NIOS2_APP_GEN_ARGS}" + +echo "create-this-app: Running \"${cmd}\"" +$cmd || { + echo "nios2-app-generate-makefile failed" + exit 1 +} + +if [ -z "$SKIP_MAKE" ]; then + cmd="make" + + echo "create-this-app: Running \"$cmd\"" + $cmd || { + echo "make failed" + exit 1 + } + + echo + echo "To download and run the application:" + echo " 1. Make sure the board is connected to the system." + echo " 2. Run 'nios2-configure-sof ' to configure the FPGA with the hardware design." + echo " 3. If you have a stdio device, run 'nios2-terminal' in a different shell." + echo " 4. Run 'make download-elf' from the application directory." + echo + echo "To debug the application:" + echo " Import the project into Nios II Software Build Tools for Eclipse." + echo " Refer to Nios II Software Build Tools for Eclipse Documentation for more information." + echo + echo -e "" +fi + + +exit 0 diff --git a/software/DE2_115_ASM3_release/hello_world_small.c b/software/DE2_115_ASM3_release/hello_world_small.c new file mode 100644 index 0000000..eafc378 --- /dev/null +++ b/software/DE2_115_ASM3_release/hello_world_small.c @@ -0,0 +1,216 @@ +#include "sys/alt_stdio.h" +#include +#include "system.h" +#include "hex_out.h" +#include "lcd_out.h" +#include "sys_register.h" +#include "sys_memory.h" +#include "input_int.h" +#include "sys_debug.h" +#include "sys_prog.h" + +#define ledrs (volatile int *) LEDRS_BASE + +static void init() { + // lcd + lcd_init(); + lcd_print("Starting now..."); + + registers_init(); + memory_init(); + // hex + clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); + print_block("ve", 2, HEX6_7); + print_block("r-", 2, HEX4_5); + print_block("0003", 4, HEX0_3); + delay10ms(200); + clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); + + lcd_caret_reset2(); + lcd_print("Ok!"); +} + +char stack[5]; + +void store_value(){ + unsigned int memi = global_registers[Ssw_memi]; + memory_store(memi, Ssw_data); + + { // �f�o�b�N�\�� + char buf[5]; + sprintf(buf, "%02x", (unsigned char)memi); + print_block(buf, 2, HEX6_7); + print_block("--", 2, HEX4_5); + sprintf(buf, "%04d", global_registers[Ssw_data]); + print_block(buf, 4, HEX0_3); + + display_mem((unsigned char)memi, global_registers[Ssw_data]); + } +} +void store_inst(){ + char inst; + char mem_index; + char reg_index; + unsigned int stored_pc; + struct InstRec inst_rec; + + // �K�v�ȏ��̎擾 + inst = global_registers[Ssw_inst]; + mem_index = global_registers[Ssw_memi]; + reg_index = global_registers[Ssw_regi]; + inst_rec.inst = (unsigned int)inst; + inst_rec.memi = (unsigned int)mem_index; + inst_rec.regi = (unsigned int)reg_index; + + // �X�g�A���� + stored_pc = get_pc(); + inst_memory_store(get_pc(), inst_rec); + { // �f�o�b�N�\�� + char buf[5]; + sprintf(buf, "0x%02x", inst_rec.inst); + print_block(buf, 4, HEX0_3); + sprintf(buf, "--"); + print_block(buf, 2, HEX4_5); + sprintf(buf, "%02x", get_pc()); + print_block(buf, 2, HEX6_7); + + display_inst(inst_rec, stored_pc); + } + inc_pc(); +} + +void print_change_memory(unsigned int current_memory) { + char buf[17]; + sprintf(buf, "Current page:%2d", current_memory); + lcd_caret_reset(); + lcd_print("Change program"); + lcd_caret_reset2(); + lcd_print(buf); + clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); +} + +static void reset_mem_cancel() { + lcd_caret_reset(); + lcd_print("rewrite all 0?"); + lcd_caret_reset2(); + lcd_print("push again -> NG"); +} +static char reset_mem(char confirmed) { + if (confirmed == 0) { + lcd_caret_reset(); + lcd_print("rewrite all 0?"); + lcd_caret_reset2(); + lcd_print("push again"); + // �m�F�v�� + return 1; + } else { + int i; + for (i = 0; i < MEM_SIZE; i++){ + memory_store(i,Szero); + } + lcd_caret_reset(); + lcd_print("rewrite all 0?"); + lcd_caret_reset2(); + lcd_print("push again -> OK"); + + // PC���Z�b�g���� + return 0; + } +} +static void reset_pc_cancel() { + lcd_caret_reset(); + lcd_print("reset pc?"); + lcd_caret_reset2(); + lcd_print("push again -> NG"); +} +static char reset_pc(char confirmed) { + if (confirmed == 0) { + lcd_caret_reset(); + lcd_print("reset pc?"); + lcd_caret_reset2(); + lcd_print("push again"); + // �m�F�v�� + return 1; + } else { + set_pc(0); + + lcd_caret_reset(); + lcd_print("reset pc?"); + lcd_caret_reset2(); + lcd_print("push again -> OK"); + + // PC���Z�b�g���� + return 0; + } +} + + +int main() +{ + init(); + + enum RunMode fRun = RUN_STOP; + char reset_pc_confirmed = 0; + char reset_mem_confirmed = 0; + + while(1) { + // interrupt + in_int(); + + // event + // CANCEL + if (global_registers[Ssw_rw] == 0 + || ((PUSH_EVENT & PUSH_ANY) && !(PUSH_EVENT & PUSH_VALSTR))) { + if (reset_mem_confirmed == 1) { + reset_mem_confirmed = 0; //�m�F�L�����Z�� + reset_mem_cancel(); + } + } + if (global_registers[Ssw_rw] == 0 + || ((PUSH_EVENT & PUSH_ANY) && !(PUSH_EVENT & PUSH_INSSTR))) { + if (reset_pc_confirmed == 1) { + reset_pc_confirmed = 0; //�m�F�L�����Z�� + reset_pc_cancel(); + } + } + // CONFIRM + if (PUSH_EVENT & PUSH_VALSTR) { + // �l�̃X�g�A + if (global_registers[Ssw_rw] == 1) { + //�폜 + char res = reset_mem(reset_mem_confirmed);//���m�F�̏��F + if (1 == res) reset_mem_confirmed = 1; //���m�F + if (0 == res) reset_mem_confirmed = 0; //���Z�b�g�̊m�F + } else { + store_value(); + } + } + if (PUSH_EVENT & PUSH_INSSTR) { + // ���߂̃X�g�A + if (global_registers[Ssw_rw] == 1) { + //PC�̃��Z�b�g + char res = reset_pc(reset_pc_confirmed);//���m�F�̏��F + if (1 == res) reset_pc_confirmed = 1; //���m�F + if (0 == res) reset_pc_confirmed = 0; //���Z�b�g�̊m�F + } else { + store_inst(); + } + } + // RUN + if (PUSH_EVENT & PUSH_RUN) { + if (global_current_memory != (unsigned int)global_registers[Ssw_psel]) { + global_current_memory = (unsigned int)global_registers[Ssw_psel]; + print_change_memory(global_current_memory); + } + else { + // �v���O�����������ݒ� + fRun = RUN_INIT; + } + } + if (fRun != RUN_STOP) { + // �v���O�������s���荞�� & ���s���[�h�X�V + fRun = run_proc(fRun); + } + } + return 0; +} diff --git a/software/DE2_115_ASM3_release/hex_encoder.c b/software/DE2_115_ASM3_release/hex_encoder.c new file mode 100644 index 0000000..9639775 --- /dev/null +++ b/software/DE2_115_ASM3_release/hex_encoder.c @@ -0,0 +1,205 @@ +/* + * hex_encoder.c + * + * Created on: 2016/11/17 + * Author: takayun + */ + +#include "hex_encoder.h" +#include + +void encodeNumHex(int hex_i, int num) { + char encoded = 0; + switch (num) { + case 0: + encoded = (char)0x40; // 100 0000 + break; + case 1: + encoded = (char)0xF9; // 111 1001 + break; + case 2: + encoded = (char)0x24; // 010 0100 + break; + case 3: + encoded = (char)0x30; // 011 0000 + break; + case 4: + encoded = (char)0x19; // 001 1001 + break; + case 5: + encoded = (char)0x12; // 001 0010 + break; + case 6: + encoded = (char)0x02; // 000 0010 + break; + case 7: + encoded = (char)0x58; // 101 1000 + break; + case 8: + encoded = (char)0x00; // 000 0000 + break; + case 9: + encoded = (char)0x10; // 001 0000 + break; + default: + encoded = 0; + break; + } + + switch (hex_i) { + case 0: + *hex0 = encoded; + break; + case 1: + *hex1 = encoded; + break; + case 2: + *hex2 = encoded; + break; + case 3: + *hex3 = encoded; + break; + case 4: + *hex4 = encoded; + break; + case 5: + *hex5 = encoded; + break; + case 6: + *hex6 = encoded; + break; + case 7: + *hex7 = encoded; + break; + default: + break; + } +} + +void encodeLatHex(int hex_i, char c) { + char encoded = 0; + + if (isdigit(c)) { + encodeNumHex(hex_i, c-'0'); + return; + } + + switch (c) { + case ' ': + encoded = (char)0xFF; // 111 1111 + break; + case '-': + encoded = (char)0x3F; // 011 1111 + break; + case 'a': + encoded = (char)0x08; // 000 1000 + break; + case 'b': + encoded = (char)0x03; // 000 0011 + break; + case 'c': + encoded = (char)0x27; // 010 0111 + break; + case 'd': + encoded = (char)0x21; // 010 0001 + break; + case 'e': + encoded = (char)0x06; // 000 0110 + break; + case 'f': + encoded = (char)0x0E; // 000 1110 + break; + case 'g': + encoded = (char)0x42; // 100 0010 + break; + case 'h': + encoded = (char)0x0B; // 000 1011 + break; + case 'i': + encoded = (char)0xFB; // 111 1011 + break; + case 'j': + encoded = (char)0x61; // 110 0001 + break; + case 'k': + encoded = (char)0x0A; // 000 1010 + break; + case 'l': + encoded = (char)0x47; // 100 0111 + break; + case 'm': + encoded = (char)0x48; // 100 1000 + break; + case 'n': + encoded = (char)0x2B; // 010 1011 + break; + case 'o': + encoded = (char)0x23; // 010 0011 + break; + case 'p': + encoded = (char)0x0C; // 000 1100 + break; + case 'q': + encoded = (char)0x04; // 000 0100 + break; + case 'r': + encoded = (char)0x2F; // 010 1111 + break; + case 's': + encoded = (char)0x13; // 001 0011 + break; + case 't': + encoded = (char)0x07; // 000 0111 + break; + case 'u': + encoded = (char)0x63; // 110 0011 + break; + case 'v': + encoded = (char)0x41; // 100 0001 + break; + case 'w': + encoded = (char)0x01; // 000 0001 + break; + case 'x': + encoded = (char)0x09; // 000 1001 + break; + case 'y': + encoded = (char)0x11; // 001 0001 + break; + case 'z': + encoded = (char)0x64; // 110 0100 + break; + default: + encoded = 0; + break; + } + + switch (hex_i) { + case 0: + *hex0 = encoded; + break; + case 1: + *hex1 = encoded; + break; + case 2: + *hex2 = encoded; + break; + case 3: + *hex3 = encoded; + break; + case 4: + *hex4 = encoded; + break; + case 5: + *hex5 = encoded; + break; + case 6: + *hex6 = encoded; + break; + case 7: + *hex7 = encoded; + break; + default: + break; + } +} diff --git a/software/DE2_115_ASM3_release/hex_encoder.h b/software/DE2_115_ASM3_release/hex_encoder.h new file mode 100644 index 0000000..7909cf8 --- /dev/null +++ b/software/DE2_115_ASM3_release/hex_encoder.h @@ -0,0 +1,38 @@ +/* + * hex_encoder.h + * + * Created on: 2016/11/17 + * Author: takayun + */ + +#ifndef HEX_ENCODER_H_ +#define HEX_ENCODER_H_ + +#include "system.h" + +/************************************************** + * Defines + **************************************************/ + +#define hex0 (volatile char *) HEX0_BASE +#define hex1 (volatile char *) HEX1_BASE +#define hex2 (volatile char *) HEX2_BASE +#define hex3 (volatile char *) HEX3_BASE +#define hex4 (volatile char *) HEX4_BASE +#define hex5 (volatile char *) HEX5_BASE +#define hex6 (volatile char *) HEX6_BASE +#define hex7 (volatile char *) HEX7_BASE + +/************************************************** + * Variables + **************************************************/ + + +/************************************************** + * Functions + **************************************************/ + +void encodeNumHex(int hex_i, int num); +void encodeLatHex(int hex_i, char c); + +#endif /* HEX_ENCODER_H_ */ diff --git a/software/DE2_115_ASM3_release/hex_out.c b/software/DE2_115_ASM3_release/hex_out.c new file mode 100644 index 0000000..874dbac --- /dev/null +++ b/software/DE2_115_ASM3_release/hex_out.c @@ -0,0 +1,67 @@ +/* + * hex_out.c + * + * Created on: 2016/11/24 + * Author: takayun + */ +#include "hex_out.h" +#include "hex_encoder.h" +#include "sys_except.h" + +void print_block(char * str, unsigned int size, enum BLOCK_N block_i) { + int i; + if (block_i == HEX0_3) { + if (size > 4) panic(); + for (i = 0; i < size; i++) { + encodeLatHex(i,str[size-1-i]); + } + } + else if (block_i == HEX4_5) { + if (size > 2) panic(); + for (i = 0; i < size; i++) { + encodeLatHex(i+4,str[size-1-i]); + } + } + else if (block_i == HEX6_7) { + if (size > 2) panic(); + for (i = 0; i < size; i++) { + encodeLatHex(i+6,str[size-1-i]); + } + } +} + +void clear_block(enum BLOCK_N block_i) { + if (block_i == HEX0_3) { + print_block(" ", 4, HEX0_3); + } + else if (block_i == HEX4_5) { + print_block(" ", 2, HEX4_5); + } + else if (block_i == HEX6_7) { + print_block(" ", 2, HEX6_7); + } +} + +void print_number(char num) { + int i; + char buf[5]; + char val; + for (i = 0; i < 4; i++) { + if (num < 0) { + buf[0] = '-'; + val = -num; + } else { + buf[0] = ' '; + val = num; + } + buf[1] = val/100%10 + '0'; + buf[2] = val/10%10 + '0'; + buf[3] = val%10 + '0'; + } + clear_block(HEX0_3); + print_block(buf, 4, HEX0_3); +} + + + + diff --git a/software/DE2_115_ASM3_release/hex_out.h b/software/DE2_115_ASM3_release/hex_out.h new file mode 100644 index 0000000..99219b5 --- /dev/null +++ b/software/DE2_115_ASM3_release/hex_out.h @@ -0,0 +1,33 @@ +/* + * hex_out.h + * + * Created on: 2016/11/24 + * Author: takayun + */ + +#ifndef HEX_IO_H_ +#define HEX_IO_H_ + +/************************************************** + * Defines + **************************************************/ + +enum BLOCK_N { + HEX0_3, HEX4_5, HEX6_7 +}; + +/************************************************** + * Variables + **************************************************/ + + +/************************************************** + * Functions + **************************************************/ + +void print_block(char * str, unsigned int size, enum BLOCK_N block_i); +void clear_block(enum BLOCK_N block_i); +void print_number(char num); + + +#endif /* HEX_IO_H_ */ diff --git a/software/DE2_115_ASM3_release/input_int.c b/software/DE2_115_ASM3_release/input_int.c new file mode 100644 index 0000000..0145286 --- /dev/null +++ b/software/DE2_115_ASM3_release/input_int.c @@ -0,0 +1,71 @@ +/* + * input_int.c + * + * Created on: 2016/11/24 + * Author: takayun + */ +#include "input_int.h" +#include "sys_register.h" + +unsigned char PUSH_EVENT = PUSH_NONE; + +void in_int() { + push_int(); +} + +static void update_sw_reg(sw_t s) { + global_registers[Ssw_data] = (char)s.data.value; + global_registers[Ssw_inst] = (char)s.splited.instruction_code; + global_registers[Ssw_memi] = (char)s.splited.memory_index; + global_registers[Ssw_regi] = (char)s.splited.register_index; + global_registers[Ssw_psel] = (char)s.splited.program_selecter; + global_registers[Ssw_rw] = (char)s.splited.rw_mode; + global_registers[Ssw_run] = (char)s.splited.run_mode; +} + +enum PushEvent push_decode(char psw) { + int result = PUSH_NONE; + switch(psw) { + case 0x3: + result += PUSH_ANY; + result += PUSH_VALSTR; + break; + case 0x5: + result += PUSH_ANY; + result += PUSH_INSSTR; + break; + case 0x6: + result += PUSH_ANY; + result += PUSH_RUN; + break; + } + return result; +} + +void push_int() { + static unsigned char status = 0; + static enum PushEvent event_code; + volatile sw_t s; + s.sw = *switches; + + switch (status) { + case 0: + PUSH_EVENT = PUSH_NONE; + if (*push_switches != 7) { + event_code = push_decode(*push_switches); + status = 1; + } + update_sw_reg(s); // �X�C�b�`���W�X�^�X�V + break; + case 1: + if (*push_switches == 7) status = 2; + break; + case 2: + PUSH_EVENT = event_code; + status = 0; + break; + default: + status = 0; + break; + } +} diff --git a/software/DE2_115_ASM3_release/input_int.h b/software/DE2_115_ASM3_release/input_int.h new file mode 100644 index 0000000..e1d0612 --- /dev/null +++ b/software/DE2_115_ASM3_release/input_int.h @@ -0,0 +1,62 @@ +/* + * input_int.h + * + * Created on: 2016/11/24 + * Author: takayun + */ + +#ifndef SWITCHES_INT_H_ +#define SWITCHES_INT_H_ + +#include "system.h" + +/************************************************** + * Defines + **************************************************/ + +#define switches (volatile int *) SWITCHES_BASE +#define push_switches (volatile char *) PUSH_SWITCHES_BASE + +typedef union { + int sw; + struct { + unsigned int run_mode : 1; + unsigned int rw_mode : 1; + unsigned int program_selecter : 4; + unsigned int memory_index : 4; + unsigned int register_index : 4; + unsigned int instruction_code : 4; + } splited; + struct { + unsigned int : 10; + unsigned int value : 8; + } data; +} sw_t; + +enum PushEvent{ + PUSH_NONE = 1<<0, + PUSH_ANY = 1<<1, + PUSH_VALSTR = 1<<2, + PUSH_INSSTR = 1<<3, + PUSH_RUN = 1<<4 +}; + +/************************************************** + * Variables + **************************************************/ + +extern unsigned char PUSH_EVENT; + +/************************************************** + * Functions + **************************************************/ + +/* Function: in_int + * Sammary: + * �S�Ă̓��͊��荞�݂��s�� + * */ +void in_int(); + +void push_int(); + +#endif /* SWITCHES_INT_H_ */ diff --git a/software/DE2_115_ASM3_release/inst_decoder.c b/software/DE2_115_ASM3_release/inst_decoder.c new file mode 100644 index 0000000..3e6e8a9 --- /dev/null +++ b/software/DE2_115_ASM3_release/inst_decoder.c @@ -0,0 +1,120 @@ +/* + * inst_decoder.c + * + * Created on: 2016/11/25 + * Author: takayun + */ + +#include "inst_decoder.h" +#include "sys_memory.h" +#include "sys_register.h" +#include "hex_out.h" +#include "sys_prog.h" +#include +#include + +struct InstRec inst_fetch(){ + struct InstRec rec = inst_memory_load((unsigned int)global_registers[Spc]); + inc_pc(); + return rec; +} + +void inst_decode(struct InstRec inst_rec){ + switch(inst_rec.inst) { + case INST_END: + break; + case INST_JUMP: + inst_jump(inst_rec.regi, inst_rec.memi); + break; + case INST_OUTPUT: + inst_output(inst_rec.regi, inst_rec.memi); + break; + case INST_LOAD: + inst_load(inst_rec.regi, inst_rec.memi); + break; + case INST_STORE: + inst_store(inst_rec.regi, inst_rec.memi); + break; + case INST_DELAY: + inst_delay(inst_rec.regi, inst_rec.memi); + break; + case INST_ADD: + inst_add(inst_rec.regi, inst_rec.memi); + break; + case INST_SUB: + inst_sub(inst_rec.regi, inst_rec.memi); + break; + case INST_COMP: + inst_comp(inst_rec.regi, inst_rec.memi); + break; + case INST_JEQ: + inst_jeq(inst_rec.regi, inst_rec.memi); + break; + case INST_JNE: + inst_jne(inst_rec.regi, inst_rec.memi); + break; + case INST_JIEQ: + inst_jieq(inst_rec.regi, inst_rec.memi); + break; + case INST_JINE: + inst_jine(inst_rec.regi, inst_rec.memi); + break; + } +} + +void inst_jump(enum Register reg, unsigned char memory_index){ + set_pc(global_registers[reg]+memory_index); +} +void inst_output(enum Register reg, unsigned char memory_index){ + //�������̒l��7�Z�O�ɕ\�� + char buf[5]; + memory_load(memory_index, Sseg); + sprintf(buf, "%04d", global_registers[Sseg]); + print_block(buf, 4, HEX0_3); +} +void inst_load(enum Register reg, unsigned char memory_index){ + memory_load(memory_index, reg); +} +void inst_store(enum Register reg, unsigned char memory_index){ + memory_store(memory_index, reg); +} +void inst_delay(enum Register reg, unsigned char memory_index){ + //���W�X�^�̒l*10ms�҂� + usleep((int)global_registers[reg]*10000); +} +void inst_add(enum Register reg, unsigned char memory_index){ + global_registers[Sacc]+=global_registers[reg]; +} +void inst_sub(enum Register reg, unsigned char memory_index){ + global_registers[Sacc]-=global_registers[reg]; +} +void inst_comp(enum Register reg, unsigned char memory_index){ + if(global_registers[Sacc]==global_registers[reg]){ + global_registers[Sflg]=0; + } else if(global_registers[Sacc] > global_registers[reg]){ + global_registers[Sflg]=-1; + }else{ + global_registers[Sflg]=1; + } +} +void inst_jeq(enum Register reg, unsigned char memory_index){ + if(global_registers[Sflg]==global_registers[reg]){ + inc_pc(); + } +} +void inst_jne(enum Register reg, unsigned char memory_index){ + if(global_registers[Sflg]!=global_registers[reg]){ + inc_pc(); + } +} +void inst_jieq(char im, unsigned char memory_index){ + if(global_registers[Sflg]==im){ + inc_pc(); + } +} +void inst_jine(char im, unsigned char memory_index){ + if(global_registers[Sflg]!=im){ + inc_pc(); + } +} + diff --git a/software/DE2_115_ASM3_release/inst_decoder.h b/software/DE2_115_ASM3_release/inst_decoder.h new file mode 100644 index 0000000..036e179 --- /dev/null +++ b/software/DE2_115_ASM3_release/inst_decoder.h @@ -0,0 +1,51 @@ +/* + * inst_decoder.h + * + * Created on: 2016/11/25 + * Author: takayun + */ + +#ifndef INST_DECODER_H_ +#define INST_DECODER_H_ + +#include "sys_register.h" + +#define INST_END 0x0 +#define INST_JUMP 0x1 +#define INST_OUTPUT 0x2 +#define INST_LOAD 0x3 +#define INST_STORE 0x4 +#define INST_DELAY 0x5 +#define INST_ADD 0x6 +#define INST_COMP 0x7 +#define INST_JEQ 0x8 +#define INST_JNE 0x9 +#define INST_JIEQ 0xA +#define INST_JINE 0xB +#define INST_SUB 0xC + +struct InstRec { + unsigned int inst : 4; + unsigned int memi : 4; + unsigned int regi : 4; +}; + +struct InstRec inst_fetch(); + +void inst_decode(struct InstRec inst_rec); + +void inst_jump(enum Register reg, unsigned char memory_index); +void inst_output(enum Register reg, unsigned char memory_index); +void inst_load(enum Register reg, unsigned char memory_index); +void inst_store(enum Register reg, unsigned char memory_index); +void inst_delay(enum Register reg, unsigned char memory_index); +void inst_add(enum Register reg, unsigned char memory_index); +void inst_sub(enum Register reg, unsigned char memory_index); +void inst_comp(enum Register reg, unsigned char memory_index); +void inst_jeq(enum Register reg, unsigned char memory_index); +void inst_jne(enum Register reg, unsigned char memory_index); +void inst_jieq(char im, unsigned char memory_index); +void inst_jine(char im, unsigned char memory_index); + + +#endif /* INST_DECODER_H_ */ diff --git a/software/DE2_115_ASM3_release/lcd_out.c b/software/DE2_115_ASM3_release/lcd_out.c new file mode 100644 index 0000000..b09a0bb --- /dev/null +++ b/software/DE2_115_ASM3_release/lcd_out.c @@ -0,0 +1,29 @@ +#include "lcd_out.h" +#include +#include +#include +#include +#include "system.h" +#include "LCD.h" + +// LCD�̏����� +void lcd_init() { + *lcd_on = 1; + *lcd_blon = 1; + LCD_Init(); +} + +// LCD�̃L�����b�g���P�s�ڂ̂͂��߂Ɉړ����� +void lcd_caret_reset() { + LCD_Init(); +} + +// LCD�̃L�����b�g���Q�s�ڂ̂͂��߂Ɉړ����� +void lcd_caret_reset2() { + LCD_Line2(); +} + +// LCD�ɕ�����\������ +void lcd_print(const char *str) { + LCD_Show_Text(str); +} diff --git a/software/DE2_115_ASM3_release/lcd_out.h b/software/DE2_115_ASM3_release/lcd_out.h new file mode 100644 index 0000000..7c51dd4 --- /dev/null +++ b/software/DE2_115_ASM3_release/lcd_out.h @@ -0,0 +1,28 @@ +/* + * lcd_out.h + * + * Created on: 2016/12/02 + * Author: takayun + */ + +#ifndef LCD_OUT_H_ +#define LCD_OUT_H_ + +#include "system.h" + +#define lcd_on (volatile char *) LCD_ON_BASE +#define lcd_blon (volatile char *) LCD_BLON_BASE + +// LCD�̏����� +void lcd_init(); + +// LCD�̃L�����b�g���P�s�ڂ̂͂��߂Ɉړ����� +void lcd_caret_reset(); + +// LCD�̃L�����b�g���Q�s�ڂ̂͂��߂Ɉړ����� +void lcd_caret_reset2(); + +// LCD�ɕ�����\������ +void lcd_print(const char *str); + +#endif /* LCD_OUT_H_ */ diff --git a/software/DE2_115_ASM3_release/mem_init/hdl_sim/nios_system_onchip_memory.dat b/software/DE2_115_ASM3_release/mem_init/hdl_sim/nios_system_onchip_memory.dat new file mode 100644 index 0000000..3f4f6ca --- /dev/null +++ b/software/DE2_115_ASM3_release/mem_init/hdl_sim/nios_system_onchip_memory.dat @@ -0,0 +1,18583 @@ +@0000 00400034 +@0001 08406D14 +@0002 0800683A +@0003 00000000 +@0004 00000000 +@0005 00000000 +@0006 00000000 +@0007 00000000 +@0008 DEFFED04 +@0009 DFC00015 +@000A D8400215 +@000B D8800315 +@000C D8C00415 +@000D D9000515 +@000E D9400615 +@000F D9800715 +@0010 D9C00815 +@0011 000B307A +@0012 DA000915 +@0013 DA400A15 +@0014 DA800B15 +@0015 DAC00C15 +@0016 DB000D15 +@0017 DB400E15 +@0018 DB800F15 +@0019 DBC01015 +@001A D9401115 +@001B EBFFFF04 +@001C DBC01215 +@001D 0009313A +@001E 2880004C +@001F 10000326 +@0020 20000226 +@0021 00000EC0 +@0022 00000306 +@0023 DF401215 +@0024 E8BFFF17 +@0025 003DA03A +@0026 D9401117 +@0027 DF401217 +@0028 DFC00017 +@0029 2801707A +@002A D8400217 +@002B D8800317 +@002C D8C00417 +@002D D9000517 +@002E D9400617 +@002F D9800717 +@0030 D9C00817 +@0031 DA000917 +@0032 DA400A17 +@0033 DA800B17 +@0034 DAC00C17 +@0035 DB000D17 +@0036 DB400E17 +@0037 DB800F17 +@0038 DBC01017 +@0039 DEC01304 +@003A EF80083A +@003B DEFFF904 +@003C DFC00615 +@003D DF000515 +@003E DF000504 +@003F 0005313A +@0040 E0BFFC15 +@0041 E0BFFC17 +@0042 E0BFFF15 +@0043 E03FFD15 +@0044 00800044 +@0045 E0BFFE15 +@0046 E0FFFF17 +@0047 E0BFFE17 +@0048 1884703A +@0049 1005003A +@004A 1000161E +@004B E0BFFD17 +@004C 00C00074 +@004D 18D0D904 +@004E 100490FA +@004F 10C5883A +@0050 11400017 +@0051 E0BFFD17 +@0052 00C00074 +@0053 18D0D904 +@0054 100490FA +@0055 10C5883A +@0056 10800104 +@0057 11000017 +@0058 283EE83A +@0059 0005313A +@005A E0BFFB15 +@005B E0BFFB17 +@005C E0BFFF15 +@005D E0BFFF17 +@005E 1004C03A +@005F 103FE31E +@0060 00000706 +@0061 E0BFFE17 +@0062 1085883A +@0063 E0BFFE15 +@0064 E0BFFD17 +@0065 10800044 +@0066 E0BFFD15 +@0067 003FDE06 +@0068 E037883A +@0069 DFC00117 +@006A DF000017 +@006B DEC00204 +@006C F800283A +@006D 06C000F4 +@006E DEC80014 +@006F 06800074 +@0070 D6A88414 +@0071 00800074 +@0072 108F7914 +@0073 00C00074 +@0074 18D17D14 +@0075 10C00326 +@0076 10000015 +@0077 10800104 +@0078 10FFFD36 +@0079 000C8EC0 +@007A 000CB2C0 +@007B 003FFF06 +@007C DEFFFE04 +@007D DFC00115 +@007E DF000015 +@007F D839883A +@0080 00C00134 +@0081 18C40C04 +@0082 00800E04 +@0083 18800035 +@0084 0101F404 +@0085 000CE900 +@0086 00C00134 +@0087 18C40C04 +@0088 00800304 +@0089 18800035 +@008A 0101F404 +@008B 000CE900 +@008C 00C00134 +@008D 18C40C04 +@008E 00800044 +@008F 18800035 +@0090 0101F404 +@0091 000CE900 +@0092 00C00134 +@0093 18C40C04 +@0094 00800184 +@0095 18800035 +@0096 0101F404 +@0097 000CE900 +@0098 00C00134 +@0099 18C40C04 +@009A 00802004 +@009B 18800035 +@009C 0101F404 +@009D 000CE900 +@009E E037883A +@009F DFC00117 +@00A0 DF000017 +@00A1 DEC00204 +@00A2 F800283A +@00A3 DEFFFB04 +@00A4 DFC00415 +@00A5 DF000315 +@00A6 DC000215 +@00A7 DF000204 +@00A8 E13FFF15 +@00A9 E03FFE15 +@00AA 00001006 +@00AB E0BFFE17 +@00AC 1007883A +@00AD E0BFFF17 +@00AE 1885883A +@00AF 10800003 +@00B0 10C03FCC +@00B1 18C0201C +@00B2 18FFE004 +@00B3 00800134 +@00B4 10840E04 +@00B5 10C00035 +@00B6 0101F404 +@00B7 000CE900 +@00B8 E0BFFE17 +@00B9 10800044 +@00BA E0BFFE15 +@00BB E43FFE17 +@00BC E13FFF17 +@00BD 0002D480 +@00BE 80BFEC36 +@00BF E037883A +@00C0 DFC00217 +@00C1 DF000117 +@00C2 DC000017 +@00C3 DEC00304 +@00C4 F800283A +@00C5 DEFFFE04 +@00C6 DFC00115 +@00C7 DF000015 +@00C8 D839883A +@00C9 00C00134 +@00CA 18C40C04 +@00CB 00803004 +@00CC 18800035 +@00CD 0101F404 +@00CE 000CE900 +@00CF E037883A +@00D0 DFC00117 +@00D1 DF000017 +@00D2 DEC00204 +@00D3 F800283A +@00D4 DEFFF604 +@00D5 DFC00915 +@00D6 DF000815 +@00D7 DF000804 +@00D8 00C00074 +@00D9 18FFEA04 +@00DA 18800017 +@00DB E0BFF815 +@00DC 18800117 +@00DD E0BFF915 +@00DE 18800217 +@00DF E0BFFA15 +@00E0 18800317 +@00E1 E0BFFB15 +@00E2 00C00074 +@00E3 18FFEF04 +@00E4 18800017 +@00E5 E0BFFC15 +@00E6 18800117 +@00E7 E0BFFD15 +@00E8 18800217 +@00E9 E0BFFE15 +@00EA 18800317 +@00EB E0BFFF15 +@00EC 00001F00 +@00ED E13FF804 +@00EE 000028C0 +@00EF 00003140 +@00F0 E13FFC04 +@00F1 000028C0 +@00F2 E037883A +@00F3 DFC00117 +@00F4 DF000017 +@00F5 DEC00204 +@00F6 F800283A +@00F7 DEFFFE04 +@00F8 DFC00115 +@00F9 DF000015 +@00FA D839883A +@00FB 0001F0C0 +@00FC 01000074 +@00FD 213FF404 +@00FE 0001FA40 +@00FF 0002AA00 +@0100 000259C0 +@0101 0009883A +@0102 00013680 +@0103 01000044 +@0104 00013680 +@0105 01000084 +@0106 00013680 +@0107 01000074 +@0108 213FF804 +@0109 01400084 +@010A 01800084 +@010B 00011D80 +@010C 01000074 +@010D 213FF904 +@010E 01400084 +@010F 01800044 +@0110 00011D80 +@0111 01000074 +@0112 213FFA04 +@0113 01400104 +@0114 000D883A +@0115 00011D80 +@0116 01003204 +@0117 00025200 +@0118 0009883A +@0119 00013680 +@011A 01000044 +@011B 00013680 +@011C 01000084 +@011D 00013680 +@011E 0001F7C0 +@011F 01000074 +@0120 213FFC04 +@0121 0001FA40 +@0122 E037883A +@0123 DFC00117 +@0124 DF000017 +@0125 DEC00204 +@0126 F800283A +@0127 DEFFFB04 +@0128 DFC00415 +@0129 DF000315 +@012A DF000304 +@012B 00800074 +@012C 1090D504 +@012D 10800283 +@012E 10803FCC +@012F 1080201C +@0130 10BFE004 +@0131 E0BFFD15 +@0132 E13FFD17 +@0133 014001C4 +@0134 00026B00 +@0135 E0BFFD17 +@0136 11803FCC +@0137 E13FFE04 +@0138 01400074 +@0139 297FFD04 +@013A 0002C740 +@013B E13FFE04 +@013C 01400084 +@013D 01800084 +@013E 00011D80 +@013F 01000074 +@0140 213FFF04 +@0141 01400084 +@0142 01800044 +@0143 00011D80 +@0144 00800074 +@0145 1090D504 +@0146 108001C3 +@0147 11803FCC +@0148 3180201C +@0149 31BFE004 +@014A E13FFE04 +@014B 01400074 +@014C 29400004 +@014D 0002C740 +@014E E13FFE04 +@014F 01400104 +@0150 000D883A +@0151 00011D80 +@0152 E0BFFD17 +@0153 11003FCC +@0154 00800074 +@0155 1090D504 +@0156 108001C3 +@0157 11403FCC +@0158 2940201C +@0159 297FE004 +@015A 00020800 +@015B E037883A +@015C DFC00117 +@015D DF000017 +@015E DEC00204 +@015F F800283A +@0160 DEFFF904 +@0161 DFC00615 +@0162 DF000515 +@0163 DF000504 +@0164 00800074 +@0165 1090D504 +@0166 10800203 +@0167 E0BFFC85 +@0168 00800074 +@0169 1090D504 +@016A 10800283 +@016B E0BFFC45 +@016C 00800074 +@016D 1090D504 +@016E 10800243 +@016F E0BFFC05 +@0170 E0BFFC83 +@0171 108003CC +@0172 10803FCC +@0173 110003CC +@0174 E0FFFD17 +@0175 00BFFC04 +@0176 1884703A +@0177 1104B03A +@0178 E0BFFD15 +@0179 E0BFFC43 +@017A 108003CC +@017B 10803FCC +@017C 108003CC +@017D 1008913A +@017E E0FFFD17 +@017F 00BFC3C4 +@0180 1884703A +@0181 1104B03A +@0182 E0BFFD15 +@0183 E0BFFC03 +@0184 108003CC +@0185 10803FCC +@0186 108003CC +@0187 1008923A +@0188 E0FFFD17 +@0189 00BC3FC4 +@018A 1884703A +@018B 1104B03A +@018C E0BFFD15 +@018D 00028E80 +@018E E0BFFB15 +@018F 00028E80 +@0190 1009883A +@0191 E17FFD17 +@0192 00026180 +@0193 E0BFFD17 +@0194 108003CC +@0195 11803FCC +@0196 E13FFE04 +@0197 01400074 +@0198 29400204 +@0199 0002C740 +@019A E13FFE04 +@019B 01400104 +@019C 000D883A +@019D 00011D80 +@019E E0FFFE04 +@019F 008B4B44 +@01A0 1880000D +@01A1 18000085 +@01A2 E13FFE04 +@01A3 01400084 +@01A4 01800044 +@01A5 00011D80 +@01A6 00028E80 +@01A7 100D883A +@01A8 E13FFE04 +@01A9 01400074 +@01AA 297FFD04 +@01AB 0002C740 +@01AC E13FFE04 +@01AD 01400084 +@01AE 01800084 +@01AF 00011D80 +@01B0 E13FFD17 +@01B1 E17FFB17 +@01B2 0001FD40 +@01B3 00027DC0 +@01B4 E037883A +@01B5 DFC00117 +@01B6 DF000017 +@01B7 DEC00204 +@01B8 F800283A +@01B9 DEFFF804 +@01BA DFC00715 +@01BB DF000615 +@01BC DF000604 +@01BD E13FFF15 +@01BE E13FFA04 +@01BF 01400074 +@01C0 29400404 +@01C1 E1BFFF17 +@01C2 0002C740 +@01C3 0001F540 +@01C4 01000074 +@01C5 21000904 +@01C6 0001FA40 +@01C7 0001F7C0 +@01C8 E13FFA04 +@01C9 0001FA40 +@01CA 0009883A +@01CB 00013680 +@01CC 01000044 +@01CD 00013680 +@01CE 01000084 +@01CF 00013680 +@01D0 E037883A +@01D1 DFC00117 +@01D2 DF000017 +@01D3 DEC00204 +@01D4 F800283A +@01D5 DEFFFE04 +@01D6 DFC00115 +@01D7 DF000015 +@01D8 D839883A +@01D9 0001F540 +@01DA 01000074 +@01DB 21000D04 +@01DC 0001FA40 +@01DD 0001F7C0 +@01DE 01000074 +@01DF 21001104 +@01E0 0001FA40 +@01E1 E037883A +@01E2 DFC00117 +@01E3 DF000017 +@01E4 DEC00204 +@01E5 F800283A +@01E6 DEFFFB04 +@01E7 DFC00415 +@01E8 DF000315 +@01E9 DF000304 +@01EA E13FFE05 +@01EB E0BFFE07 +@01EC 1004C03A +@01ED 10000B1E +@01EE 0001F540 +@01EF 01000074 +@01F0 21000D04 +@01F1 0001FA40 +@01F2 0001F7C0 +@01F3 01000074 +@01F4 21001604 +@01F5 0001FA40 +@01F6 00800044 +@01F7 E0BFFF15 +@01F8 00001406 +@01F9 E03FFD15 +@01FA 00000606 +@01FB E13FFD17 +@01FC 000B883A +@01FD 00026B00 +@01FE E0BFFD17 +@01FF 10800044 +@0200 E0BFFD15 +@0201 E0BFFD17 +@0202 10800410 +@0203 103FF71E +@0204 0001F540 +@0205 01000074 +@0206 21000D04 +@0207 0001FA40 +@0208 0001F7C0 +@0209 01000074 +@020A 21001904 +@020B 0001FA40 +@020C E03FFF15 +@020D E0BFFF17 +@020E E037883A +@020F DFC00117 +@0210 DF000017 +@0211 DEC00204 +@0212 F800283A +@0213 DEFFFE04 +@0214 DFC00115 +@0215 DF000015 +@0216 D839883A +@0217 0001F540 +@0218 01000074 +@0219 21001E04 +@021A 0001FA40 +@021B 0001F7C0 +@021C 01000074 +@021D 21001104 +@021E 0001FA40 +@021F E037883A +@0220 DFC00117 +@0221 DF000017 +@0222 DEC00204 +@0223 F800283A +@0224 DEFFFC04 +@0225 DFC00315 +@0226 DF000215 +@0227 DF000204 +@0228 E13FFE05 +@0229 E0BFFE07 +@022A 1004C03A +@022B 10000B1E +@022C 0001F540 +@022D 01000074 +@022E 21001E04 +@022F 0001FA40 +@0230 0001F7C0 +@0231 01000074 +@0232 21001604 +@0233 0001FA40 +@0234 00800044 +@0235 E0BFFF15 +@0236 00000B06 +@0237 0009883A +@0238 00028B00 +@0239 0001F540 +@023A 01000074 +@023B 21001E04 +@023C 0001FA40 +@023D 0001F7C0 +@023E 01000074 +@023F 21001904 +@0240 0001FA40 +@0241 E03FFF15 +@0242 E0BFFF17 +@0243 E037883A +@0244 DFC00117 +@0245 DF000017 +@0246 DEC00204 +@0247 F800283A +@0248 DEFFFC04 +@0249 DFC00315 +@024A DF000215 +@024B DF000204 +@024C 00003DC0 +@024D E03FFF15 +@024E E03FFEC5 +@024F E03FFE85 +@0250 00000006 +@0251 00014F00 +@0252 00800074 +@0253 1090D504 +@0254 10800303 +@0255 10803FCC +@0256 1080201C +@0257 10BFE004 +@0258 1005003A +@0259 10000E1E +@025A 00800074 +@025B 10888404 +@025C 10800003 +@025D 10803FCC +@025E 1080008C +@025F 1005003A +@0260 10000C1E +@0261 00800074 +@0262 10888404 +@0263 10800003 +@0264 10803FCC +@0265 1080010C +@0266 1004C03A +@0267 1000051E +@0268 E0BFFE87 +@0269 10800058 +@026A 1000021E +@026B E03FFE85 +@026C 00007540 +@026D 00800074 +@026E 1090D504 +@026F 10800303 +@0270 10803FCC +@0271 1080201C +@0272 10BFE004 +@0273 1005003A +@0274 10000E1E +@0275 00800074 +@0276 10888404 +@0277 10800003 +@0278 10803FCC +@0279 1080008C +@027A 1005003A +@027B 10000C1E +@027C 00800074 +@027D 10888404 +@027E 10800003 +@027F 10803FCC +@0280 1080020C +@0281 1004C03A +@0282 1000051E +@0283 E0BFFEC7 +@0284 10800058 +@0285 1000021E +@0286 E03FFEC5 +@0287 000084C0 +@0288 00800074 +@0289 10888404 +@028A 10800003 +@028B 10803FCC +@028C 1080010C +@028D 1005003A +@028E 1000161E +@028F 00800074 +@0290 1090D504 +@0291 10800303 +@0292 10803FCC +@0293 1080201C +@0294 10BFE004 +@0295 10800058 +@0296 10000D1E +@0297 E13FFE87 +@0298 00007980 +@0299 E0BFFE45 +@029A E0BFFE47 +@029B 10800058 +@029C 1000021E +@029D 00800044 +@029E E0BFFE85 +@029F E0BFFE47 +@02A0 1004C03A +@02A1 1000031E +@02A2 E03FFE85 +@02A3 00000106 +@02A4 000049C0 +@02A5 00800074 +@02A6 10888404 +@02A7 10800003 +@02A8 10803FCC +@02A9 1080020C +@02AA 1005003A +@02AB 1000161E +@02AC 00800074 +@02AD 1090D504 +@02AE 10800303 +@02AF 10803FCC +@02B0 1080201C +@02B1 10BFE004 +@02B2 10800058 +@02B3 10000D1E +@02B4 E13FFEC7 +@02B5 00008900 +@02B6 E0BFFE05 +@02B7 E0BFFE07 +@02B8 10800058 +@02B9 1000021E +@02BA 00800044 +@02BB E0BFFEC5 +@02BC E0BFFE07 +@02BD 1004C03A +@02BE 1000031E +@02BF E03FFEC5 +@02C0 00000106 +@02C1 00005800 +@02C2 00800074 +@02C3 10888404 +@02C4 10800003 +@02C5 10803FCC +@02C6 1080040C +@02C7 1005003A +@02C8 10001A1E +@02C9 00800074 +@02CA 1090D504 +@02CB 108002C3 +@02CC 10C03FCC +@02CD 18C0201C +@02CE 18FFE004 +@02CF 00800074 +@02D0 108F7D04 +@02D1 10800017 +@02D2 18800E26 +@02D3 00800074 +@02D4 1090D504 +@02D5 108002C3 +@02D6 10C03FCC +@02D7 18C0201C +@02D8 18FFE004 +@02D9 00800074 +@02DA 108F7D04 +@02DB 10C00015 +@02DC 00800074 +@02DD 108F7D04 +@02DE 11000017 +@02DF 00006E40 +@02E0 00000206 +@02E1 00800044 +@02E2 E0BFFF15 +@02E3 E0BFFF17 +@02E4 1005003A +@02E5 103F6B1E +@02E6 E13FFF17 +@02E7 00029500 +@02E8 E0BFFF15 +@02E9 003F6706 +@02EA DEFFFC04 +@02EB DF000315 +@02EC DF000304 +@02ED E13FFE15 +@02EE E17FFF15 +@02EF E03FFD05 +@02F0 E0BFFF17 +@02F1 108002A8 +@02F2 10002F1E +@02F3 E0BFFF17 +@02F4 1085883A +@02F5 1087883A +@02F6 00800034 +@02F7 1082FB04 +@02F8 1885883A +@02F9 10800017 +@02FA 1000683A +@02FB 00000C14 +@02FC 00000C20 +@02FD 00000C2C +@02FE 00000C38 +@02FF 00000C44 +@0300 00000C50 +@0301 00000C5C +@0302 00000C68 +@0303 00000C74 +@0304 00000C7C +@0305 00801004 +@0306 E0BFFD05 +@0307 00001B06 +@0308 00BFFE44 +@0309 E0BFFD05 +@030A 00001806 +@030B 00800904 +@030C E0BFFD05 +@030D 00001506 +@030E 00800C04 +@030F E0BFFD05 +@0310 00001206 +@0311 00800644 +@0312 E0BFFD05 +@0313 00000F06 +@0314 00800484 +@0315 E0BFFD05 +@0316 00000C06 +@0317 00800084 +@0318 E0BFFD05 +@0319 00000906 +@031A 00801604 +@031B E0BFFD05 +@031C 00000606 +@031D E03FFD05 +@031E 00000406 +@031F 00800404 +@0320 E0BFFD05 +@0321 00000106 +@0322 E03FFD05 +@0323 E0BFFE17 +@0324 10800228 +@0325 1000371E +@0326 E0BFFE17 +@0327 1085883A +@0328 1087883A +@0329 00800034 +@032A 10832E04 +@032B 1885883A +@032C 10800017 +@032D 1000683A +@032E 00000CD8 +@032F 00000CEC +@0330 00000D00 +@0331 00000D14 +@0332 00000D28 +@0333 00000D3C +@0334 00000D50 +@0335 00000D64 +@0336 00C00134 +@0337 18C42C04 +@0338 E0BFFD03 +@0339 18800005 +@033A 00002206 +@033B 00C00134 +@033C 18C42804 +@033D E0BFFD03 +@033E 18800005 +@033F 00001D06 +@0340 00C00134 +@0341 18C42404 +@0342 E0BFFD03 +@0343 18800005 +@0344 00001806 +@0345 00C00134 +@0346 18C42004 +@0347 E0BFFD03 +@0348 18800005 +@0349 00001306 +@034A 00C00134 +@034B 18C41C04 +@034C E0BFFD03 +@034D 18800005 +@034E 00000E06 +@034F 00C00134 +@0350 18C41804 +@0351 E0BFFD03 +@0352 18800005 +@0353 00000906 +@0354 00C00134 +@0355 18C41404 +@0356 E0BFFD03 +@0357 18800005 +@0358 00000406 +@0359 00C00134 +@035A 18C41004 +@035B E0BFFD03 +@035C 18800005 +@035D E037883A +@035E DF000017 +@035F DEC00104 +@0360 F800283A +@0361 DEFFFA04 +@0362 DFC00515 +@0363 DF000415 +@0364 DF000404 +@0365 E13FFD15 +@0366 E17FFE05 +@0367 E03FFC05 +@0368 E0BFFE07 +@0369 1007883A +@036A 00800074 +@036B 10888504 +@036C 10800017 +@036D 1885883A +@036E 10800003 +@036F 10803FCC +@0370 1080010C +@0371 1005003A +@0372 1000051E +@0373 E0BFFE07 +@0374 117FF404 +@0375 E13FFD17 +@0376 0000BA80 +@0377 0000F906 +@0378 E0BFFE07 +@0379 10BFF804 +@037A E0BFFF15 +@037B E0FFFF17 +@037C 188016E8 +@037D 1000B81E +@037E E13FFF17 +@037F E13FFF17 +@0380 2105883A +@0381 1087883A +@0382 00800034 +@0383 10838704 +@0384 1885883A +@0385 10800017 +@0386 1000683A +@0387 00000F88 +@0388 000010D8 +@0389 000010D8 +@038A 000010D8 +@038B 000010D8 +@038C 000010D8 +@038D 000010D8 +@038E 000010D8 +@038F 000010D8 +@0390 000010D8 +@0391 000010D8 +@0392 000010D8 +@0393 000010D8 +@0394 00000F94 +@0395 000010D8 +@0396 000010D8 +@0397 000010D8 +@0398 000010D8 +@0399 000010D8 +@039A 000010D8 +@039B 000010D8 +@039C 000010D8 +@039D 000010D8 +@039E 000010D8 +@039F 000010D8 +@03A0 000010D8 +@03A1 000010D8 +@03A2 000010D8 +@03A3 000010D8 +@03A4 000010D8 +@03A5 000010D8 +@03A6 000010D8 +@03A7 000010D8 +@03A8 000010D8 +@03A9 000010D8 +@03AA 000010D8 +@03AB 000010D8 +@03AC 000010D8 +@03AD 000010D8 +@03AE 000010D8 +@03AF 000010D8 +@03B0 000010D8 +@03B1 000010D8 +@03B2 000010D8 +@03B3 000010D8 +@03B4 000010D8 +@03B5 000010D8 +@03B6 000010D8 +@03B7 000010D8 +@03B8 000010D8 +@03B9 000010D8 +@03BA 000010D8 +@03BB 000010D8 +@03BC 000010D8 +@03BD 000010D8 +@03BE 000010D8 +@03BF 000010D8 +@03C0 000010D8 +@03C1 000010D8 +@03C2 000010D8 +@03C3 000010D8 +@03C4 000010D8 +@03C5 000010D8 +@03C6 000010D8 +@03C7 000010D8 +@03C8 00000FA0 +@03C9 00000FAC +@03CA 00000FB8 +@03CB 00000FC4 +@03CC 00000FD0 +@03CD 00000FDC +@03CE 00000FE8 +@03CF 00000FF4 +@03D0 00001000 +@03D1 0000100C +@03D2 00001018 +@03D3 00001024 +@03D4 00001030 +@03D5 0000103C +@03D6 00001048 +@03D7 00001054 +@03D8 00001060 +@03D9 0000106C +@03DA 00001078 +@03DB 00001084 +@03DC 00001090 +@03DD 0000109C +@03DE 000010A8 +@03DF 000010B4 +@03E0 000010C0 +@03E1 000010CC +@03E2 00BFFFC4 +@03E3 E0BFFC05 +@03E4 00005206 +@03E5 00800FC4 +@03E6 E0BFFC05 +@03E7 00004F06 +@03E8 00800204 +@03E9 E0BFFC05 +@03EA 00004C06 +@03EB 008000C4 +@03EC E0BFFC05 +@03ED 00004906 +@03EE 008009C4 +@03EF E0BFFC05 +@03F0 00004606 +@03F1 00800844 +@03F2 E0BFFC05 +@03F3 00004306 +@03F4 00800184 +@03F5 E0BFFC05 +@03F6 00004006 +@03F7 00800384 +@03F8 E0BFFC05 +@03F9 00003D06 +@03FA 00801084 +@03FB E0BFFC05 +@03FC 00003A06 +@03FD 008002C4 +@03FE E0BFFC05 +@03FF 00003706 +@0400 00BFFEC4 +@0401 E0BFFC05 +@0402 00003406 +@0403 00801844 +@0404 E0BFFC05 +@0405 00003106 +@0406 00800284 +@0407 E0BFFC05 +@0408 00002E06 +@0409 008011C4 +@040A E0BFFC05 +@040B 00002B06 +@040C 00801204 +@040D E0BFFC05 +@040E 00002806 +@040F 00800AC4 +@0410 E0BFFC05 +@0411 00002506 +@0412 008008C4 +@0413 E0BFFC05 +@0414 00002206 +@0415 00800304 +@0416 E0BFFC05 +@0417 00001F06 +@0418 00800104 +@0419 E0BFFC05 +@041A 00001C06 +@041B 00800BC4 +@041C E0BFFC05 +@041D 00001906 +@041E 008004C4 +@041F E0BFFC05 +@0420 00001606 +@0421 008001C4 +@0422 E0BFFC05 +@0423 00001306 +@0424 008018C4 +@0425 E0BFFC05 +@0426 00001006 +@0427 00801044 +@0428 E0BFFC05 +@0429 00000D06 +@042A 00800044 +@042B E0BFFC05 +@042C 00000A06 +@042D 00800244 +@042E E0BFFC05 +@042F 00000706 +@0430 00800444 +@0431 E0BFFC05 +@0432 00000406 +@0433 00801904 +@0434 E0BFFC05 +@0435 00000106 +@0436 E03FFC05 +@0437 E0BFFD17 +@0438 10800228 +@0439 1000371E +@043A E0BFFD17 +@043B 1085883A +@043C 1087883A +@043D 00800034 +@043E 10844204 +@043F 1885883A +@0440 10800017 +@0441 1000683A +@0442 00001128 +@0443 0000113C +@0444 00001150 +@0445 00001164 +@0446 00001178 +@0447 0000118C +@0448 000011A0 +@0449 000011B4 +@044A 00C00134 +@044B 18C42C04 +@044C E0BFFC03 +@044D 18800005 +@044E 00002206 +@044F 00C00134 +@0450 18C42804 +@0451 E0BFFC03 +@0452 18800005 +@0453 00001D06 +@0454 00C00134 +@0455 18C42404 +@0456 E0BFFC03 +@0457 18800005 +@0458 00001806 +@0459 00C00134 +@045A 18C42004 +@045B E0BFFC03 +@045C 18800005 +@045D 00001306 +@045E 00C00134 +@045F 18C41C04 +@0460 E0BFFC03 +@0461 18800005 +@0462 00000E06 +@0463 00C00134 +@0464 18C41804 +@0465 E0BFFC03 +@0466 18800005 +@0467 00000906 +@0468 00C00134 +@0469 18C41404 +@046A E0BFFC03 +@046B 18800005 +@046C 00000406 +@046D 00C00134 +@046E 18C41004 +@046F E0BFFC03 +@0470 18800005 +@0471 E037883A +@0472 DFC00117 +@0473 DF000017 +@0474 DEC00204 +@0475 F800283A +@0476 DEFFFA04 +@0477 DFC00515 +@0478 DF000415 +@0479 DF000404 +@047A E13FFD15 +@047B E17FFE15 +@047C E1BFFF15 +@047D E0BFFF17 +@047E 1004C03A +@047F 10001A1E +@0480 E0BFFE17 +@0481 10800170 +@0482 1000011E +@0483 000255C0 +@0484 E03FFC15 +@0485 00001006 +@0486 E0FFFC17 +@0487 E0BFFE17 +@0488 10C5C83A +@0489 1007883A +@048A E0BFFD17 +@048B 1885883A +@048C 10BFFFC4 +@048D 10800003 +@048E 11403FCC +@048F 2940201C +@0490 297FE004 +@0491 E13FFC17 +@0492 0000D840 +@0493 E0BFFC17 +@0494 10800044 +@0495 E0BFFC15 +@0496 E0FFFC17 +@0497 E0BFFE17 +@0498 18BFED36 +@0499 00003B06 +@049A E0BFFF17 +@049B 10800058 +@049C 10001B1E +@049D E0BFFE17 +@049E 108000F0 +@049F 1000011E +@04A0 000255C0 +@04A1 E03FFC15 +@04A2 00001106 +@04A3 E0BFFC17 +@04A4 11000104 +@04A5 E0FFFC17 +@04A6 E0BFFE17 +@04A7 10C5C83A +@04A8 1007883A +@04A9 E0BFFD17 +@04AA 1885883A +@04AB 10BFFFC4 +@04AC 10800003 +@04AD 11403FCC +@04AE 2940201C +@04AF 297FE004 +@04B0 0000D840 +@04B1 E0BFFC17 +@04B2 10800044 +@04B3 E0BFFC15 +@04B4 E0FFFC17 +@04B5 E0BFFE17 +@04B6 18BFEC36 +@04B7 00001D06 +@04B8 E0BFFF17 +@04B9 10800098 +@04BA 10001A1E +@04BB E0BFFE17 +@04BC 108000F0 +@04BD 1000011E +@04BE 000255C0 +@04BF E03FFC15 +@04C0 00001106 +@04C1 E0BFFC17 +@04C2 11000184 +@04C3 E0FFFC17 +@04C4 E0BFFE17 +@04C5 10C5C83A +@04C6 1007883A +@04C7 E0BFFD17 +@04C8 1885883A +@04C9 10BFFFC4 +@04CA 10800003 +@04CB 11403FCC +@04CC 2940201C +@04CD 297FE004 +@04CE 0000D840 +@04CF E0BFFC17 +@04D0 10800044 +@04D1 E0BFFC15 +@04D2 E0FFFC17 +@04D3 E0BFFE17 +@04D4 18BFEC36 +@04D5 E037883A +@04D6 DFC00117 +@04D7 DF000017 +@04D8 DEC00204 +@04D9 F800283A +@04DA DEFFFD04 +@04DB DFC00215 +@04DC DF000115 +@04DD DF000104 +@04DE E13FFF15 +@04DF E0BFFF17 +@04E0 1004C03A +@04E1 1000061E +@04E2 01000074 +@04E3 21002104 +@04E4 01400104 +@04E5 000D883A +@04E6 00011D80 +@04E7 00001106 +@04E8 E0BFFF17 +@04E9 10800058 +@04EA 1000061E +@04EB 01000074 +@04EC 21002304 +@04ED 01400084 +@04EE 01800044 +@04EF 00011D80 +@04F0 00000806 +@04F1 E0BFFF17 +@04F2 10800098 +@04F3 1000051E +@04F4 01000074 +@04F5 21002304 +@04F6 01400084 +@04F7 01800084 +@04F8 00011D80 +@04F9 E037883A +@04FA DFC00117 +@04FB DF000017 +@04FC DEC00204 +@04FD F800283A +@04FE DEFFF904 +@04FF DFC00615 +@0500 DF000515 +@0501 DF000504 +@0502 E13FFF05 +@0503 E03FFC15 +@0504 00002906 +@0505 E0BFFF07 +@0506 1004403A +@0507 1000061E +@0508 00800B44 +@0509 E0BFFD05 +@050A E0BFFF03 +@050B 0085C83A +@050C E0BFFB05 +@050D 00000406 +@050E 00800804 +@050F E0BFFD05 +@0510 E0BFFF03 +@0511 E0BFFB05 +@0512 E13FFB07 +@0513 01401904 +@0514 0002B6C0 +@0515 11003FCC +@0516 2100201C +@0517 213FE004 +@0518 01400284 +@0519 0002BCC0 +@051A 10800C04 +@051B E0BFFD45 +@051C E13FFB07 +@051D 01400284 +@051E 0002B6C0 +@051F 11003FCC +@0520 2100201C +@0521 213FE004 +@0522 01400284 +@0523 0002BCC0 +@0524 10800C04 +@0525 E0BFFD85 +@0526 E13FFB07 +@0527 01400284 +@0528 0002BCC0 +@0529 10800C04 +@052A E0BFFDC5 +@052B E0BFFC17 +@052C 10800044 +@052D E0BFFC15 +@052E E0BFFC17 +@052F 10800110 +@0530 103FD41E +@0531 0009883A +@0532 00013680 +@0533 E13FFD04 +@0534 01400104 +@0535 000D883A +@0536 00011D80 +@0537 E037883A +@0538 DFC00117 +@0539 DF000017 +@053A DEC00204 +@053B F800283A +@053C DEFFFE04 +@053D DFC00115 +@053E DF000015 +@053F D839883A +@0540 00016AC0 +@0541 E037883A +@0542 DFC00117 +@0543 DF000017 +@0544 DEC00204 +@0545 F800283A +@0546 DEFFFE04 +@0547 DF000115 +@0548 DF000104 +@0549 E13FFF15 +@054A E0BFFF17 +@054B 1004D2BA +@054C 1007883A +@054D 00BFFFC4 +@054E 1884703A +@054F 1007883A +@0550 00800074 +@0551 1090D504 +@0552 10C001C5 +@0553 E0BFFF17 +@0554 1004D3BA +@0555 108003CC +@0556 1007883A +@0557 00800074 +@0558 1090D504 +@0559 10C00205 +@055A E0BFFF17 +@055B 1004D1BA +@055C 108003CC +@055D 1007883A +@055E 00800074 +@055F 1090D504 +@0560 10C00285 +@0561 E0BFFF17 +@0562 1004D2BA +@0563 108003CC +@0564 1007883A +@0565 00800074 +@0566 1090D504 +@0567 10C00245 +@0568 E0BFFF17 +@0569 1004D0BA +@056A 108003CC +@056B 1007883A +@056C 00800074 +@056D 1090D504 +@056E 10C002C5 +@056F E0BFFF17 +@0570 1004D07A +@0571 1080004C +@0572 1007883A +@0573 00800074 +@0574 1090D504 +@0575 10C00305 +@0576 E0BFFF17 +@0577 1080004C +@0578 1007883A +@0579 00800074 +@057A 1090D504 +@057B 10C00345 +@057C E037883A +@057D DF000017 +@057E DEC00104 +@057F F800283A +@0580 DEFFFC04 +@0581 DF000315 +@0582 DF000304 +@0583 E13FFE05 +@0584 00800044 +@0585 E0BFFD15 +@0586 E0BFFE07 +@0587 E0BFFF15 +@0588 E0FFFF17 +@0589 18800160 +@058A 10000E1E +@058B E0FFFF17 +@058C 188001A0 +@058D 1000121E +@058E E0FFFF17 +@058F 188000E0 +@0590 1000011E +@0591 00001406 +@0592 E0BFFD17 +@0593 10800084 +@0594 E0BFFD15 +@0595 E0BFFD17 +@0596 10800104 +@0597 E0BFFD15 +@0598 00000D06 +@0599 E0BFFD17 +@059A 10800084 +@059B E0BFFD15 +@059C E0BFFD17 +@059D 10800204 +@059E E0BFFD15 +@059F 00000606 +@05A0 E0BFFD17 +@05A1 10800084 +@05A2 E0BFFD15 +@05A3 E0BFFD17 +@05A4 10800404 +@05A5 E0BFFD15 +@05A6 E0BFFD17 +@05A7 E037883A +@05A8 DF000017 +@05A9 DEC00104 +@05AA F800283A +@05AB DEFFFC04 +@05AC DFC00315 +@05AD DF000215 +@05AE DF000204 +@05AF 00800134 +@05B0 10843404 +@05B1 10800017 +@05B2 E0BFFE15 +@05B3 D0A6F803 +@05B4 10803FCC +@05B5 E0BFFF15 +@05B6 E0FFFF17 +@05B7 18800060 +@05B8 10001E1E +@05B9 E0FFFF17 +@05BA 188000A0 +@05BB 1000261E +@05BC E0FFFF17 +@05BD 1805003A +@05BE 1000011E +@05BF 00002606 +@05C0 00800044 +@05C1 D0A00005 +@05C2 00800134 +@05C3 10843004 +@05C4 10800003 +@05C5 10803FCC +@05C6 1080201C +@05C7 10BFE004 +@05C8 108001E0 +@05C9 10000A1E +@05CA 00800134 +@05CB 10843004 +@05CC 10800003 +@05CD 11003FCC +@05CE 2100201C +@05CF 213FE004 +@05D0 00016000 +@05D1 D0A6F715 +@05D2 00800044 +@05D3 D0A6F805 +@05D4 E13FFE17 +@05D5 00015180 +@05D6 00001006 +@05D7 00800134 +@05D8 10843004 +@05D9 10800003 +@05DA 10803FCC +@05DB 1080201C +@05DC 10BFE004 +@05DD 108001D8 +@05DE 1000081E +@05DF 00800084 +@05E0 D0A6F805 +@05E1 00000506 +@05E2 D0A6F717 +@05E3 D0A00005 +@05E4 D026F805 +@05E5 00000106 +@05E6 D026F805 +@05E7 E037883A +@05E8 DFC00117 +@05E9 DF000017 +@05EA DEC00204 +@05EB F800283A +@05EC DEFFFD04 +@05ED DFC00215 +@05EE DF000115 +@05EF DF000104 +@05F0 00800074 +@05F1 1090D504 +@05F2 10800043 +@05F3 11003FCC +@05F4 2100201C +@05F5 213FE004 +@05F6 00026680 +@05F7 E0BFFF15 +@05F8 00027DC0 +@05F9 E0BFFF17 +@05FA E037883A +@05FB DFC00117 +@05FC DF000017 +@05FD DEC00204 +@05FE F800283A +@05FF DEFFFC04 +@0600 DFC00315 +@0601 DF000215 +@0602 DF000204 +@0603 E13FFE15 +@0604 E0BFFE17 +@0605 108003CC +@0606 10803FCC +@0607 E0BFFF15 +@0608 E0FFFF17 +@0609 18800368 +@060A 10008D1E +@060B E13FFF17 +@060C E13FFF17 +@060D 2105883A +@060E 1087883A +@060F 00800034 +@0610 10861404 +@0611 1885883A +@0612 10800017 +@0613 1000683A +@0614 00001A60 +@0615 00001884 +@0616 000018AC +@0617 000018D4 +@0618 000018FC +@0619 00001924 +@061A 0000194C +@061B 0000199C +@061C 000019C4 +@061D 000019EC +@061E 00001A14 +@061F 00001A3C +@0620 00001974 +@0621 E0BFFE17 +@0622 1004D23A +@0623 108003CC +@0624 11003FCC +@0625 E0BFFE17 +@0626 1004D13A +@0627 108003CC +@0628 11403FCC +@0629 0001A740 +@062A 00006D06 +@062B E0BFFE17 +@062C 1004D23A +@062D 108003CC +@062E 11003FCC +@062F E0BFFE17 +@0630 1004D13A +@0631 108003CC +@0632 11403FCC +@0633 0001AD00 +@0634 00006306 +@0635 E0BFFE17 +@0636 1004D23A +@0637 108003CC +@0638 11003FCC +@0639 E0BFFE17 +@063A 1004D13A +@063B 108003CC +@063C 11403FCC +@063D 0001B400 +@063E 00005906 +@063F E0BFFE17 +@0640 1004D23A +@0641 108003CC +@0642 11003FCC +@0643 E0BFFE17 +@0644 1004D13A +@0645 108003CC +@0646 11403FCC +@0647 0001B780 +@0648 00004F06 +@0649 E0BFFE17 +@064A 1004D23A +@064B 108003CC +@064C 11003FCC +@064D E0BFFE17 +@064E 1004D13A +@064F 108003CC +@0650 11403FCC +@0651 0001BB00 +@0652 00004506 +@0653 E0BFFE17 +@0654 1004D23A +@0655 108003CC +@0656 11003FCC +@0657 E0BFFE17 +@0658 1004D13A +@0659 108003CC +@065A 11403FCC +@065B 0001C0C0 +@065C 00003B06 +@065D E0BFFE17 +@065E 1004D23A +@065F 108003CC +@0660 11003FCC +@0661 E0BFFE17 +@0662 1004D13A +@0663 108003CC +@0664 11403FCC +@0665 0001C680 +@0666 00003106 +@0667 E0BFFE17 +@0668 1004D23A +@0669 108003CC +@066A 11003FCC +@066B E0BFFE17 +@066C 1004D13A +@066D 108003CC +@066E 11403FCC +@066F 0001CC40 +@0670 00002706 +@0671 E0BFFE17 +@0672 1004D23A +@0673 108003CC +@0674 11003FCC +@0675 E0BFFE17 +@0676 1004D13A +@0677 108003CC +@0678 11403FCC +@0679 0001D940 +@067A 00001D06 +@067B E0BFFE17 +@067C 1004D23A +@067D 108003CC +@067E 11003FCC +@067F E0BFFE17 +@0680 1004D13A +@0681 108003CC +@0682 11403FCC +@0683 0001E000 +@0684 00001306 +@0685 E0BFFE17 +@0686 1004D23A +@0687 108003CC +@0688 11003FCC +@0689 E0BFFE17 +@068A 1004D13A +@068B 108003CC +@068C 11403FCC +@068D 0001E6C0 +@068E 00000906 +@068F E0BFFE17 +@0690 1004D23A +@0691 108003CC +@0692 11003FCC +@0693 E0BFFE17 +@0694 1004D13A +@0695 108003CC +@0696 11403FCC +@0697 0001EBC0 +@0698 E037883A +@0699 DFC00117 +@069A DF000017 +@069B DEC00204 +@069C F800283A +@069D DEFFFC04 +@069E DFC00315 +@069F DF000215 +@06A0 DF000204 +@06A1 E13FFE15 +@06A2 E17FFF05 +@06A3 E0FFFE17 +@06A4 00800074 +@06A5 1090D504 +@06A6 10C5883A +@06A7 10800003 +@06A8 10C03FCC +@06A9 18C0201C +@06AA 18FFE004 +@06AB E0BFFF03 +@06AC 1885883A +@06AD 1009883A +@06AE 00028B00 +@06AF E037883A +@06B0 DFC00117 +@06B1 DF000017 +@06B2 DEC00204 +@06B3 F800283A +@06B4 DEFFFA04 +@06B5 DFC00515 +@06B6 DF000415 +@06B7 DF000404 +@06B8 E13FFE15 +@06B9 E17FFF05 +@06BA E13FFF03 +@06BB 01400384 +@06BC 000274C0 +@06BD 00800074 +@06BE 1090D504 +@06BF 10800383 +@06C0 11803FCC +@06C1 3180201C +@06C2 31BFE004 +@06C3 E13FFC04 +@06C4 01400074 +@06C5 29402404 +@06C6 0002C740 +@06C7 E13FFC04 +@06C8 01400104 +@06C9 000D883A +@06CA 00011D80 +@06CB E037883A +@06CC DFC00117 +@06CD DF000017 +@06CE DEC00204 +@06CF F800283A +@06D0 DEFFFC04 +@06D1 DFC00315 +@06D2 DF000215 +@06D3 DF000204 +@06D4 E13FFE15 +@06D5 E17FFF05 +@06D6 E13FFF03 +@06D7 E17FFE17 +@06D8 000274C0 +@06D9 E037883A +@06DA DFC00117 +@06DB DF000017 +@06DC DEC00204 +@06DD F800283A +@06DE DEFFFC04 +@06DF DFC00315 +@06E0 DF000215 +@06E1 DF000204 +@06E2 E13FFE15 +@06E3 E17FFF05 +@06E4 E13FFF03 +@06E5 E17FFE17 +@06E6 00026B00 +@06E7 E037883A +@06E8 DFC00117 +@06E9 DF000017 +@06EA DEC00204 +@06EB F800283A +@06EC DEFFFC04 +@06ED DFC00315 +@06EE DF000215 +@06EF DF000204 +@06F0 E13FFE15 +@06F1 E17FFF05 +@06F2 E0FFFE17 +@06F3 00800074 +@06F4 1090D504 +@06F5 10C5883A +@06F6 10800003 +@06F7 11003FCC +@06F8 2100201C +@06F9 213FE004 +@06FA 0149C404 +@06FB 0002C3C0 +@06FC 1009883A +@06FD 000CE900 +@06FE E037883A +@06FF DFC00117 +@0700 DF000017 +@0701 DEC00204 +@0702 F800283A +@0703 DEFFFD04 +@0704 DF000215 +@0705 DF000204 +@0706 E13FFE15 +@0707 E17FFF05 +@0708 00800074 +@0709 1090D504 +@070A 10800143 +@070B 1009883A +@070C E0FFFE17 +@070D 00800074 +@070E 1090D504 +@070F 10C5883A +@0710 10800003 +@0711 2085883A +@0712 1007883A +@0713 00800074 +@0714 1090D504 +@0715 10C00145 +@0716 E037883A +@0717 DF000017 +@0718 DEC00104 +@0719 F800283A +@071A DEFFFD04 +@071B DF000215 +@071C DF000204 +@071D E13FFE15 +@071E E17FFF05 +@071F 00800074 +@0720 1090D504 +@0721 10800143 +@0722 1009883A +@0723 E0FFFE17 +@0724 00800074 +@0725 1090D504 +@0726 10C5883A +@0727 10800003 +@0728 2085C83A +@0729 1007883A +@072A 00800074 +@072B 1090D504 +@072C 10C00145 +@072D E037883A +@072E DF000017 +@072F DEC00104 +@0730 F800283A +@0731 DEFFFD04 +@0732 DF000215 +@0733 DF000204 +@0734 E13FFE15 +@0735 E17FFF05 +@0736 00800074 +@0737 1090D504 +@0738 11000143 +@0739 E0FFFE17 +@073A 00800074 +@073B 1090D504 +@073C 10C5883A +@073D 10800003 +@073E 20C03FCC +@073F 18C0201C +@0740 18FFE004 +@0741 10803FCC +@0742 1080201C +@0743 10BFE004 +@0744 1880041E +@0745 00800074 +@0746 1090D504 +@0747 10000185 +@0748 00001806 +@0749 00800074 +@074A 1090D504 +@074B 11000143 +@074C E0FFFE17 +@074D 00800074 +@074E 1090D504 +@074F 10C5883A +@0750 10800003 +@0751 20C03FCC +@0752 18C0201C +@0753 18FFE004 +@0754 10803FCC +@0755 1080201C +@0756 10BFE004 +@0757 10C0050E +@0758 00C00074 +@0759 18D0D504 +@075A 00BFFFC4 +@075B 18800185 +@075C 00000406 +@075D 00C00074 +@075E 18D0D504 +@075F 00800044 +@0760 18800185 +@0761 E037883A +@0762 DF000017 +@0763 DEC00104 +@0764 F800283A +@0765 DEFFFC04 +@0766 DFC00315 +@0767 DF000215 +@0768 DF000204 +@0769 E13FFE15 +@076A E17FFF05 +@076B 00800074 +@076C 1090D504 +@076D 11000183 +@076E E0FFFE17 +@076F 00800074 +@0770 1090D504 +@0771 10C5883A +@0772 10800003 +@0773 20C03FCC +@0774 18C0201C +@0775 18FFE004 +@0776 10803FCC +@0777 1080201C +@0778 10BFE004 +@0779 1880011E +@077A 00027DC0 +@077B E037883A +@077C DFC00117 +@077D DF000017 +@077E DEC00204 +@077F F800283A +@0780 DEFFFC04 +@0781 DFC00315 +@0782 DF000215 +@0783 DF000204 +@0784 E13FFE15 +@0785 E17FFF05 +@0786 00800074 +@0787 1090D504 +@0788 11000183 +@0789 E0FFFE17 +@078A 00800074 +@078B 1090D504 +@078C 10C5883A +@078D 10800003 +@078E 20C03FCC +@078F 18C0201C +@0790 18FFE004 +@0791 10803FCC +@0792 1080201C +@0793 10BFE004 +@0794 18800126 +@0795 00027DC0 +@0796 E037883A +@0797 DFC00117 +@0798 DF000017 +@0799 DEC00204 +@079A F800283A +@079B DEFFFC04 +@079C DFC00315 +@079D DF000215 +@079E DF000204 +@079F E13FFE05 +@07A0 E17FFF05 +@07A1 00800074 +@07A2 1090D504 +@07A3 10800183 +@07A4 10C03FCC +@07A5 18C0201C +@07A6 18FFE004 +@07A7 E0BFFE07 +@07A8 1880011E +@07A9 00027DC0 +@07AA E037883A +@07AB DFC00117 +@07AC DF000017 +@07AD DEC00204 +@07AE F800283A +@07AF DEFFFC04 +@07B0 DFC00315 +@07B1 DF000215 +@07B2 DF000204 +@07B3 E13FFE05 +@07B4 E17FFF05 +@07B5 00800074 +@07B6 1090D504 +@07B7 10800183 +@07B8 10C03FCC +@07B9 18C0201C +@07BA 18FFE004 +@07BB E0BFFE07 +@07BC 18800126 +@07BD 00027DC0 +@07BE E037883A +@07BF DFC00117 +@07C0 DF000017 +@07C1 DEC00204 +@07C2 F800283A +@07C3 DEFFFE04 +@07C4 DFC00115 +@07C5 DF000015 +@07C6 D839883A +@07C7 00C00134 +@07C8 18C40404 +@07C9 00800044 +@07CA 18800005 +@07CB 00C00134 +@07CC 18C40804 +@07CD 00800044 +@07CE 18800005 +@07CF 00001F00 +@07D0 E037883A +@07D1 DFC00117 +@07D2 DF000017 +@07D3 DEC00204 +@07D4 F800283A +@07D5 DEFFFE04 +@07D6 DFC00115 +@07D7 DF000015 +@07D8 D839883A +@07D9 00001F00 +@07DA E037883A +@07DB DFC00117 +@07DC DF000017 +@07DD DEC00204 +@07DE F800283A +@07DF DEFFFE04 +@07E0 DFC00115 +@07E1 DF000015 +@07E2 D839883A +@07E3 00003140 +@07E4 E037883A +@07E5 DFC00117 +@07E6 DF000017 +@07E7 DEC00204 +@07E8 F800283A +@07E9 DEFFFD04 +@07EA DFC00215 +@07EB DF000115 +@07EC DF000104 +@07ED E13FFF15 +@07EE E13FFF17 +@07EF 000028C0 +@07F0 E037883A +@07F1 DFC00117 +@07F2 DF000017 +@07F3 DEC00204 +@07F4 F800283A +@07F5 DEFFF504 +@07F6 DFC00A15 +@07F7 DF000915 +@07F8 DF000904 +@07F9 E13FFE15 +@07FA E17FFF15 +@07FB E0BFFE17 +@07FC 108003CC +@07FD 11403FCC +@07FE E13FF704 +@07FF 000225C0 +@0800 E0BFFE17 +@0801 1004D23A +@0802 108003CC +@0803 11403FCC +@0804 E13FF844 +@0805 00020EC0 +@0806 0001F540 +@0807 E13FF944 +@0808 01400074 +@0809 29402604 +@080A E1BFFF17 +@080B E1FFF704 +@080C 0002C740 +@080D E13FF944 +@080E 0001FA40 +@080F 0001F7C0 +@0810 E0BFFE17 +@0811 1004D13A +@0812 108003CC +@0813 11C03FCC +@0814 E13FF944 +@0815 E1BFF844 +@0816 01400074 +@0817 29402B04 +@0818 0002C740 +@0819 E13FF944 +@081A 0001FA40 +@081B E037883A +@081C DFC00117 +@081D DF000017 +@081E DEC00204 +@081F F800283A +@0820 DEFFF704 +@0821 DFC00815 +@0822 DF000715 +@0823 DF000704 +@0824 E13FFE05 +@0825 E17FFF05 +@0826 0001F540 +@0827 E1BFFE03 +@0828 E13FF904 +@0829 01400074 +@082A 29403004 +@082B 0002C740 +@082C E13FF904 +@082D 0001FA40 +@082E 0001F7C0 +@082F E1BFFF07 +@0830 E13FF904 +@0831 01400074 +@0832 29403304 +@0833 0002C740 +@0834 E13FF904 +@0835 0001FA40 +@0836 E037883A +@0837 DFC00117 +@0838 DF000017 +@0839 DEC00204 +@083A F800283A +@083B DEFFFD04 +@083C DF000215 +@083D DF000204 +@083E E13FFE15 +@083F E17FFF15 +@0840 E0BFFF17 +@0841 108001E8 +@0842 1000481E +@0843 E0BFFF17 +@0844 1085883A +@0845 1087883A +@0846 00800034 +@0847 10884B04 +@0848 1885883A +@0849 10800017 +@084A 1000683A +@084B 00002148 +@084C 00002164 +@084D 00002180 +@084E 0000219C +@084F 000021C0 +@0850 000021E4 +@0851 00002208 +@0852 E0BFFE17 +@0853 00C01684 +@0854 10C00005 +@0855 00C01144 +@0856 10C00045 +@0857 10000085 +@0858 00003A06 +@0859 E0BFFE17 +@085A 00C01404 +@085B 10C00005 +@085C 00C010C4 +@085D 10C00045 +@085E 10000085 +@085F 00003306 +@0860 E0BFFE17 +@0861 00C014C4 +@0862 10C00005 +@0863 00C01404 +@0864 10C00045 +@0865 10000085 +@0866 00002C06 +@0867 E0FFFE17 +@0868 008011C4 +@0869 18800005 +@086A 00801404 +@086B 18800045 +@086C 00800C04 +@086D 18800085 +@086E 180000C5 +@086F 00002306 +@0870 E0FFFE17 +@0871 008011C4 +@0872 18800005 +@0873 00801404 +@0874 18800045 +@0875 00800C44 +@0876 18800085 +@0877 180000C5 +@0878 00001A06 +@0879 E0FFFE17 +@087A 00801044 +@087B 18800005 +@087C 008010C4 +@087D 18800045 +@087E 008010C4 +@087F 18800085 +@0880 180000C5 +@0881 00001106 +@0882 E0FFFE17 +@0883 00801184 +@0884 18800005 +@0885 00801304 +@0886 18800045 +@0887 008011C4 +@0888 18800085 +@0889 180000C5 +@088A 00000806 +@088B E0FFFE17 +@088C 00801B84 +@088D 18800005 +@088E 00801BC4 +@088F 18800045 +@0890 00801B84 +@0891 18800085 +@0892 180000C5 +@0893 E037883A +@0894 DF000017 +@0895 DEC00104 +@0896 F800283A +@0897 DEFFFC04 +@0898 DF000315 +@0899 DF000304 +@089A E13FFD15 +@089B E17FFE05 +@089C E0BFFE03 +@089D E0BFFF15 +@089E E0FFFF17 +@089F 18800368 +@08A0 1000991E +@08A1 E13FFF17 +@08A2 E13FFF17 +@08A3 2105883A +@08A4 1087883A +@08A5 00800034 +@08A6 1088AA04 +@08A7 1885883A +@08A8 10800017 +@08A9 1000683A +@08AA 000022DC +@08AB 00002300 +@08AC 0000232C +@08AD 00002350 +@08AE 0000237C +@08AF 000023A8 +@08B0 000023D4 +@08B1 0000241C +@08B2 00002448 +@08B3 0000246C +@08B4 00002490 +@08B5 000024BC +@08B6 000023F8 +@08B7 E0FFFD17 +@08B8 00801144 +@08B9 18800005 +@08BA 00801384 +@08BB 18800045 +@08BC 00801104 +@08BD 18800085 +@08BE 180000C5 +@08BF 00008406 +@08C0 E0FFFD17 +@08C1 00801284 +@08C2 18800005 +@08C3 00801544 +@08C4 18800045 +@08C5 00801344 +@08C6 18800085 +@08C7 00801404 +@08C8 188000C5 +@08C9 18000105 +@08CA 00007906 +@08CB E0FFFD17 +@08CC 008013C4 +@08CD 18800005 +@08CE 00801544 +@08CF 18800045 +@08D0 00801504 +@08D1 18800085 +@08D2 180000C5 +@08D3 00007006 +@08D4 E0FFFD17 +@08D5 00801304 +@08D6 18800005 +@08D7 008013C4 +@08D8 18800045 +@08D9 00801044 +@08DA 18800085 +@08DB 00801104 +@08DC 188000C5 +@08DD 18000105 +@08DE 00006506 +@08DF E0FFFD17 +@08E0 008014C4 +@08E1 18800005 +@08E2 00801504 +@08E3 18800045 +@08E4 008013C4 +@08E5 18800085 +@08E6 00801484 +@08E7 188000C5 +@08E8 18000105 +@08E9 00005A06 +@08EA E0FFFD17 +@08EB 00801104 +@08EC 18800005 +@08ED 00801144 +@08EE 18800045 +@08EF 00801304 +@08F0 18800085 +@08F1 00801644 +@08F2 188000C5 +@08F3 18000105 +@08F4 00004F06 +@08F5 E0FFFD17 +@08F6 00801044 +@08F7 18800005 +@08F8 00801104 +@08F9 18800045 +@08FA 00801104 +@08FB 18800085 +@08FC 180000C5 +@08FD 00004606 +@08FE E0FFFD17 +@08FF 008014C4 +@0900 18800005 +@0901 00801544 +@0902 18800045 +@0903 00801084 +@0904 18800085 +@0905 180000C5 +@0906 00003D06 +@0907 E0FFFD17 +@0908 008010C4 +@0909 18800005 +@090A 008013C4 +@090B 18800045 +@090C 00801344 +@090D 18800085 +@090E 00801404 +@090F 188000C5 +@0910 18000105 +@0911 00003206 +@0912 E0FFFD17 +@0913 00801284 +@0914 18800005 +@0915 00801144 +@0916 18800045 +@0917 00801444 +@0918 18800085 +@0919 180000C5 +@091A 00002906 +@091B E0FFFD17 +@091C 00801284 +@091D 18800005 +@091E 00801384 +@091F 18800045 +@0920 00801144 +@0921 18800085 +@0922 180000C5 +@0923 00002006 +@0924 E0FFFD17 +@0925 00801284 +@0926 18800005 +@0927 00801244 +@0928 18800045 +@0929 00801144 +@092A 18800085 +@092B 00801444 +@092C 188000C5 +@092D 18000105 +@092E 00001506 +@092F E0FFFD17 +@0930 00801284 +@0931 18800005 +@0932 00801244 +@0933 18800045 +@0934 00801384 +@0935 18800085 +@0936 00801144 +@0937 188000C5 +@0938 18000105 +@0939 00000A06 +@093A E0FFFD17 +@093B 00801384 +@093C 18800005 +@093D 00801BC4 +@093E 18800045 +@093F 008013C4 +@0940 18800085 +@0941 00801C04 +@0942 188000C5 +@0943 18000105 +@0944 E037883A +@0945 DF000017 +@0946 DEC00104 +@0947 F800283A +@0948 DEFFFD04 +@0949 DFC00215 +@094A DF000115 +@094B DF000104 +@094C E13FFF15 +@094D E13FFF17 +@094E 0149C404 +@094F 0002C3C0 +@0950 1009883A +@0951 000CE900 +@0952 E037883A +@0953 DFC00117 +@0954 DF000017 +@0955 DEC00204 +@0956 F800283A +@0957 DEFFFE04 +@0958 DFC00115 +@0959 DF000015 +@095A D839883A +@095B 0009883A +@095C 00013680 +@095D 01000074 +@095E 21003604 +@095F 01400104 +@0960 000D883A +@0961 00011D80 +@0962 E037883A +@0963 DFC00117 +@0964 DF000017 +@0965 DEC00204 +@0966 F800283A +@0967 DEFFFD04 +@0968 DF000215 +@0969 DF000204 +@096A E03FFF15 +@096B 00001306 +@096C E03FFE15 +@096D 00000B06 +@096E E0BFFF17 +@096F E13FFE17 +@0970 00C00074 +@0971 18CF8B04 +@0972 1004913A +@0973 10C5883A +@0974 1105883A +@0975 10000005 +@0976 E0BFFE17 +@0977 10800044 +@0978 E0BFFE15 +@0979 E0BFFE17 +@097A 10800410 +@097B 103FF21E +@097C E0BFFF17 +@097D 10800044 +@097E E0BFFF15 +@097F E0BFFF17 +@0980 10800410 +@0981 103FEA1E +@0982 E037883A +@0983 DF000017 +@0984 DEC00104 +@0985 F800283A +@0986 DEFFFD04 +@0987 DF000215 +@0988 DF000204 +@0989 E13FFE15 +@098A E17FFF15 +@098B D0A6F917 +@098C E0FFFE17 +@098D 01000074 +@098E 210FCB04 +@098F 1004913A +@0990 10C5883A +@0991 1085883A +@0992 1085883A +@0993 1107883A +@0994 E0BFFF17 +@0995 18800015 +@0996 E037883A +@0997 DF000017 +@0998 DEC00104 +@0999 F800283A +@099A DEFFFE04 +@099B DF000115 +@099C DF000104 +@099D E13FFF15 +@099E D0A6F917 +@099F E0FFFF17 +@09A0 01000074 +@09A1 210FCB04 +@09A2 1004913A +@09A3 10C5883A +@09A4 1085883A +@09A5 1085883A +@09A6 1105883A +@09A7 10800017 +@09A8 E037883A +@09A9 DF000017 +@09AA DEC00104 +@09AB F800283A +@09AC DEFFFC04 +@09AD DFC00315 +@09AE DF000215 +@09AF DF000204 +@09B0 E13FFE15 +@09B1 E17FFF15 +@09B2 E0BFFE17 +@09B3 10800430 +@09B4 1000011E +@09B5 000255C0 +@09B6 D166F917 +@09B7 E1BFFE17 +@09B8 E0FFFF17 +@09B9 00800074 +@09BA 1090D504 +@09BB 10C5883A +@09BC 11000003 +@09BD 00C00074 +@09BE 18CF8B04 +@09BF 2804913A +@09C0 10C5883A +@09C1 1185883A +@09C2 11000005 +@09C3 D0A6F917 +@09C4 E13FFE17 +@09C5 00C00074 +@09C6 18CF8B04 +@09C7 1004913A +@09C8 10C5883A +@09C9 1105883A +@09CA 10800003 +@09CB 10803FCC +@09CC 1080201C +@09CD 10BFE004 +@09CE E037883A +@09CF DFC00117 +@09D0 DF000017 +@09D1 DEC00204 +@09D2 F800283A +@09D3 DEFFFC04 +@09D4 DFC00315 +@09D5 DF000215 +@09D6 DF000204 +@09D7 E13FFE15 +@09D8 E17FFF15 +@09D9 E0BFFE17 +@09DA 10800430 +@09DB 1000011E +@09DC 000255C0 +@09DD E17FFF17 +@09DE D0A6F917 +@09DF E13FFE17 +@09E0 00C00074 +@09E1 18CF8B04 +@09E2 1004913A +@09E3 10C5883A +@09E4 1105883A +@09E5 10C00003 +@09E6 00800074 +@09E7 1090D504 +@09E8 1145883A +@09E9 10C00005 +@09EA E0FFFF17 +@09EB 00800074 +@09EC 1090D504 +@09ED 10C5883A +@09EE 10800003 +@09EF 10803FCC +@09F0 1080201C +@09F1 10BFE004 +@09F2 E037883A +@09F3 DFC00117 +@09F4 DF000017 +@09F5 DEC00204 +@09F6 F800283A +@09F7 DEFFFF04 +@09F8 DF000015 +@09F9 D839883A +@09FA 00800074 +@09FB 1090D504 +@09FC 10800043 +@09FD 10803FCC +@09FE 10800044 +@09FF 1009883A +@0A00 00800074 +@0A01 108F7D04 +@0A02 10800017 +@0A03 1006913A +@0A04 00804004 +@0A05 10C5C83A +@0A06 2080092E +@0A07 00800074 +@0A08 1090D504 +@0A09 10800043 +@0A0A 10800044 +@0A0B 1007883A +@0A0C 00800074 +@0A0D 1090D504 +@0A0E 10C00045 +@0A0F 00000306 +@0A10 00800074 +@0A11 1090D504 +@0A12 10000045 +@0A13 0005883A +@0A14 E037883A +@0A15 DF000017 +@0A16 DEC00104 +@0A17 F800283A +@0A18 DEFFFC04 +@0A19 DFC00315 +@0A1A DF000215 +@0A1B DF000204 +@0A1C E13FFF15 +@0A1D E03FFE15 +@0A1E 00000406 +@0A1F 00027DC0 +@0A20 E0BFFE17 +@0A21 10800044 +@0A22 E0BFFE15 +@0A23 E0FFFE17 +@0A24 E0BFFF17 +@0A25 18BFF936 +@0A26 0005883A +@0A27 E037883A +@0A28 DFC00117 +@0A29 DF000017 +@0A2A DEC00204 +@0A2B F800283A +@0A2C DEFFFE04 +@0A2D DF000115 +@0A2E DF000104 +@0A2F E13FFF15 +@0A30 E0BFFF17 +@0A31 1007883A +@0A32 00800074 +@0A33 1090D504 +@0A34 10C00045 +@0A35 0005883A +@0A36 E037883A +@0A37 DF000017 +@0A38 DEC00104 +@0A39 F800283A +@0A3A DEFFFE04 +@0A3B DF000115 +@0A3C DF000104 +@0A3D 00800074 +@0A3E 1090D504 +@0A3F 10800043 +@0A40 11003FCC +@0A41 00800074 +@0A42 108F7D04 +@0A43 10800017 +@0A44 1006913A +@0A45 00804004 +@0A46 10C5C83A +@0A47 2080062E +@0A48 00800074 +@0A49 1090D504 +@0A4A 10800043 +@0A4B 10803FCC +@0A4C E0BFFF15 +@0A4D 00000106 +@0A4E E03FFF15 +@0A4F E0BFFF17 +@0A50 E037883A +@0A51 DF000017 +@0A52 DEC00104 +@0A53 F800283A +@0A54 DEFFF904 +@0A55 DFC00615 +@0A56 DF000515 +@0A57 DF000504 +@0A58 E13FFE15 +@0A59 E0BFFE17 +@0A5A 10800058 +@0A5B 1000101E +@0A5C 0001F540 +@0A5D 01000074 +@0A5E 21003804 +@0A5F 0001FA40 +@0A60 00800074 +@0A61 1090D504 +@0A62 10000045 +@0A63 0009883A +@0A64 00013680 +@0A65 01000044 +@0A66 00013680 +@0A67 01000084 +@0A68 00013680 +@0A69 00800084 +@0A6A E0BFFF15 +@0A6B 00003606 +@0A6C E0BFFE17 +@0A6D 10800098 +@0A6E 1000291E +@0A6F 00017B00 +@0A70 E0BFFB15 +@0A71 E13FFB17 +@0A72 00017FC0 +@0A73 00800074 +@0A74 1090D504 +@0A75 10800043 +@0A76 11803FCC +@0A77 E13FFC04 +@0A78 01400074 +@0A79 29403B04 +@0A7A 0002C740 +@0A7B 01000074 +@0A7C 21003D04 +@0A7D 01400084 +@0A7E 01800084 +@0A7F 00011D80 +@0A80 E13FFC04 +@0A81 01400084 +@0A82 01800044 +@0A83 00011D80 +@0A84 00800074 +@0A85 1090D504 +@0A86 10800343 +@0A87 10803FCC +@0A88 1080201C +@0A89 10BFE004 +@0A8A 1005003A +@0A8B 1000021E +@0A8C 01001904 +@0A8D 00025200 +@0A8E E0BFFB17 +@0A8F 108003CC +@0A90 1005003A +@0A91 1000031E +@0A92 00800084 +@0A93 E0BFFF15 +@0A94 00000D06 +@0A95 008000C4 +@0A96 E0BFFF15 +@0A97 00000A06 +@0A98 E0BFFE17 +@0A99 108000D8 +@0A9A 1000061E +@0A9B 0001F540 +@0A9C 01000074 +@0A9D 21003E04 +@0A9E 0001FA40 +@0A9F E03FFF15 +@0AA0 00000106 +@0AA1 E03FFF15 +@0AA2 E0BFFF17 +@0AA3 E037883A +@0AA4 DFC00117 +@0AA5 DF000017 +@0AA6 DEC00204 +@0AA7 F800283A +@0AA8 DEFFFE04 +@0AA9 DF000115 +@0AAA DF000104 +@0AAB E03FFF15 +@0AAC 00000806 +@0AAD E0FFFF17 +@0AAE 00800074 +@0AAF 1090D504 +@0AB0 10C5883A +@0AB1 10000005 +@0AB2 E0BFFF17 +@0AB3 10800044 +@0AB4 E0BFFF15 +@0AB5 E0BFFF17 +@0AB6 108003D0 +@0AB7 103FF51E +@0AB8 E037883A +@0AB9 DF000017 +@0ABA DEC00104 +@0ABB F800283A +@0ABC 29001B2E +@0ABD 28001A16 +@0ABE 00800044 +@0ABF 0007883A +@0AC0 01C007C4 +@0AC1 00000306 +@0AC2 19C01326 +@0AC3 18C00044 +@0AC4 28000416 +@0AC5 294B883A +@0AC6 1085883A +@0AC7 293FFA36 +@0AC8 10000D26 +@0AC9 0007883A +@0ACA 21400236 +@0ACB 2149C83A +@0ACC 1886B03A +@0ACD 1004D07A +@0ACE 280AD07A +@0ACF 103FFA1E +@0AD0 30000226 +@0AD1 2005883A +@0AD2 F800283A +@0AD3 1809883A +@0AD4 2005883A +@0AD5 F800283A +@0AD6 0007883A +@0AD7 003FF806 +@0AD8 00800044 +@0AD9 0007883A +@0ADA 003FEF06 +@0ADB DEFFFE04 +@0ADC DC000015 +@0ADD DFC00115 +@0ADE 0021883A +@0ADF 20000C16 +@0AE0 000D883A +@0AE1 28000E16 +@0AE2 0002AF00 +@0AE3 1007883A +@0AE4 8005003A +@0AE5 1000011E +@0AE6 00C7C83A +@0AE7 1805883A +@0AE8 DFC00117 +@0AE9 DC000017 +@0AEA DEC00204 +@0AEB F800283A +@0AEC 0109C83A +@0AED 04000044 +@0AEE 000D883A +@0AEF 283FF20E +@0AF0 014BC83A +@0AF1 8021003A +@0AF2 003FEF06 +@0AF3 DEFFFF04 +@0AF4 DFC00015 +@0AF5 01800044 +@0AF6 2807883A +@0AF7 20000416 +@0AF8 28000C16 +@0AF9 DFC00017 +@0AFA DEC00104 +@0AFB 0002AF01 +@0AFC 0109C83A +@0AFD 28000B16 +@0AFE 180B883A +@0AFF 01800044 +@0B00 0002AF00 +@0B01 0085C83A +@0B02 DFC00017 +@0B03 DEC00104 +@0B04 F800283A +@0B05 014BC83A +@0B06 DFC00017 +@0B07 DEC00104 +@0B08 0002AF01 +@0B09 0147C83A +@0B0A 003FF306 +@0B0B 000D883A +@0B0C 0002AF01 +@0B0D 01800044 +@0B0E 0002AF01 +@0B0F 20000A26 +@0B10 0007883A +@0B11 2080004C +@0B12 1005003A +@0B13 2008D07A +@0B14 1000011E +@0B15 1947883A +@0B16 294B883A +@0B17 203FF91E +@0B18 1805883A +@0B19 F800283A +@0B1A 0007883A +@0B1B 1805883A +@0B1C F800283A +@0B1D DEFFE504 +@0B1E 2013883A +@0B1F DFC01815 +@0B20 D9801915 +@0B21 D9C01A15 +@0B22 D8801904 +@0B23 D8800015 +@0B24 00800074 +@0B25 10888604 +@0B26 11000017 +@0B27 D9C00017 +@0B28 00808204 +@0B29 00E00034 +@0B2A 18FFFFC4 +@0B2B 280D883A +@0B2C D880040D +@0B2D D9400104 +@0B2E 00BFFFC4 +@0B2F D8C00615 +@0B30 D8C00315 +@0B31 DA400515 +@0B32 D880048D +@0B33 DA400115 +@0B34 0002E140 +@0B35 D8C00117 +@0B36 18000005 +@0B37 DFC01817 +@0B38 DEC01B04 +@0B39 F800283A +@0B3A DEFFE604 +@0B3B 2813883A +@0B3C DFC01815 +@0B3D D9C01915 +@0B3E D8801904 +@0B3F D8800015 +@0B40 100F883A +@0B41 00808204 +@0B42 00E00034 +@0B43 18FFFFC4 +@0B44 D880040D +@0B45 D9400104 +@0B46 00BFFFC4 +@0B47 D8C00615 +@0B48 D8C00315 +@0B49 DA400515 +@0B4A D880048D +@0B4B DA400115 +@0B4C 0002E140 +@0B4D D8C00117 +@0B4E 18000005 +@0B4F DFC01817 +@0B50 DEC01A04 +@0B51 F800283A +@0B52 208000CC +@0B53 2011883A +@0B54 1000161E +@0B55 20C00017 +@0B56 017FBFF4 +@0B57 297FBFC4 +@0B58 01E02074 +@0B59 39E02004 +@0B5A 1945883A +@0B5B 11C4703A +@0B5C 00C6303A +@0B5D 1886703A +@0B5E 18000C1E +@0B5F 280D883A +@0B60 380B883A +@0B61 21000104 +@0B62 20800017 +@0B63 1187883A +@0B64 1946703A +@0B65 0084303A +@0B66 10C4703A +@0B67 103FF926 +@0B68 20800007 +@0B69 10000326 +@0B6A 21000044 +@0B6B 20800007 +@0B6C 103FFD1E +@0B6D 2205C83A +@0B6E F800283A +@0B6F 30800217 +@0B70 DEFFFE04 +@0B71 DC000015 +@0B72 DFC00115 +@0B73 3021883A +@0B74 0007883A +@0B75 1000061E +@0B76 1805883A +@0B77 30000115 +@0B78 DFC00117 +@0B79 DC000017 +@0B7A DEC00204 +@0B7B F800283A +@0B7C 0006F940 +@0B7D 1007883A +@0B7E 1805883A +@0B7F 80000115 +@0B80 80000215 +@0B81 DFC00117 +@0B82 DC000017 +@0B83 DEC00204 +@0B84 F800283A +@0B85 DEFEA404 +@0B86 DD815815 +@0B87 DC015215 +@0B88 D9C15115 +@0B89 DFC15B15 +@0B8A DF015A15 +@0B8B DDC15915 +@0B8C DD415715 +@0B8D DD015615 +@0B8E DCC15515 +@0B8F DC815415 +@0B90 DC415315 +@0B91 282D883A +@0B92 3021883A +@0B93 D9014F15 +@0B94 00075B80 +@0B95 10800017 +@0B96 D9C15117 +@0B97 D8814915 +@0B98 D8814F17 +@0B99 10000226 +@0B9A 10800E17 +@0B9B 10020D26 +@0B9C B080030B +@0B9D 1080020C +@0B9E 10020E26 +@0B9F B0800417 +@0BA0 10020C26 +@0BA1 B200030B +@0BA2 00800284 +@0BA3 40C0068C +@0BA4 18802F1E +@0BA5 B080038F +@0BA6 10002D16 +@0BA7 B240038B +@0BA8 B2800717 +@0BA9 B2C00917 +@0BAA D9014F17 +@0BAB DC402904 +@0BAC D8804004 +@0BAD 00C10004 +@0BAE 423FFF4C +@0BAF 800D883A +@0BB0 880B883A +@0BB1 DA002C0D +@0BB2 DA402C8D +@0BB3 DA803015 +@0BB4 DAC03215 +@0BB5 D8802D15 +@0BB6 D8C02E15 +@0BB7 D8802915 +@0BB8 D8C02B15 +@0BB9 D8002F15 +@0BBA 0002E140 +@0BBB D8814B15 +@0BBC 10000416 +@0BBD D9014F17 +@0BBE 880B883A +@0BBF 00066300 +@0BC0 1002321E +@0BC1 D8802C0B +@0BC2 1080100C +@0BC3 10000326 +@0BC4 B080030B +@0BC5 10801014 +@0BC6 B080030D +@0BC7 D8814B17 +@0BC8 DFC15B17 +@0BC9 DF015A17 +@0BCA DDC15917 +@0BCB DD815817 +@0BCC DD415717 +@0BCD DD015617 +@0BCE DCC15517 +@0BCF DC815417 +@0BD0 DC415317 +@0BD1 DC015217 +@0BD2 DEC15C04 +@0BD3 F800283A +@0BD4 0005883A +@0BD5 0007883A +@0BD6 DD401904 +@0BD7 D8814215 +@0BD8 802F883A +@0BD9 D8C14315 +@0BDA D8014B15 +@0BDB D8014815 +@0BDC D8014415 +@0BDD D8014715 +@0BDE DD400C15 +@0BDF D8000E15 +@0BE0 D8000D15 +@0BE1 B8800007 +@0BE2 10001926 +@0BE3 00C00944 +@0BE4 10C01726 +@0BE5 B821883A +@0BE6 00000106 +@0BE7 10C00326 +@0BE8 84000044 +@0BE9 80800007 +@0BEA 103FFC1E +@0BEB 85E7C83A +@0BEC 98000E26 +@0BED DC800E17 +@0BEE DC400D17 +@0BEF 008001C4 +@0BF0 94E5883A +@0BF1 8C400044 +@0BF2 ADC00015 +@0BF3 DC800E15 +@0BF4 ACC00115 +@0BF5 DC400D15 +@0BF6 14428B16 +@0BF7 AD400204 +@0BF8 D9014B17 +@0BF9 24C9883A +@0BFA D9014B15 +@0BFB 802F883A +@0BFC B8800007 +@0BFD 10013C26 +@0BFE BDC00044 +@0BFF D8000405 +@0C00 B8C00007 +@0C01 04FFFFC4 +@0C02 D8014C15 +@0C03 D8014A15 +@0C04 D8C14D15 +@0C05 BDC00044 +@0C06 D9414D17 +@0C07 00801604 +@0C08 28FFF804 +@0C09 10C06036 +@0C0A 18C5883A +@0C0B 1085883A +@0C0C 00C00034 +@0C0D 18CC1104 +@0C0E 10C5883A +@0C0F 11000017 +@0C10 2000683A +@0C11 00003FB8 +@0C12 000031A8 +@0C13 000031A8 +@0C14 00003FA4 +@0C15 000031A8 +@0C16 000031A8 +@0C17 000031A8 +@0C18 000031A8 +@0C19 000031A8 +@0C1A 000031A8 +@0C1B 00003D84 +@0C1C 00003F94 +@0C1D 000031A8 +@0C1E 00003D9C +@0C1F 0000403C +@0C20 000031A8 +@0C21 00004028 +@0C22 00003FE4 +@0C23 00003FE4 +@0C24 00003FE4 +@0C25 00003FE4 +@0C26 00003FE4 +@0C27 00003FE4 +@0C28 00003FE4 +@0C29 00003FE4 +@0C2A 00003FE4 +@0C2B 000031A8 +@0C2C 000031A8 +@0C2D 000031A8 +@0C2E 000031A8 +@0C2F 000031A8 +@0C30 000031A8 +@0C31 000031A8 +@0C32 000031A8 +@0C33 000031A8 +@0C34 000031A8 +@0C35 00003800 +@0C36 00003E6C +@0C37 000031A8 +@0C38 00003E6C +@0C39 000031A8 +@0C3A 000031A8 +@0C3B 000031A8 +@0C3C 000031A8 +@0C3D 00003FD0 +@0C3E 000031A8 +@0C3F 000031A8 +@0C40 000038B4 +@0C41 000031A8 +@0C42 000031A8 +@0C43 000031A8 +@0C44 000031A8 +@0C45 000031A8 +@0C46 00003900 +@0C47 000031A8 +@0C48 000031A8 +@0C49 00003F20 +@0C4A 000031A8 +@0C4B 000031A8 +@0C4C 000031A8 +@0C4D 000031A8 +@0C4E 000031A8 +@0C4F 000031A8 +@0C50 000031A8 +@0C51 000031A8 +@0C52 000031A8 +@0C53 000031A8 +@0C54 00003EF4 +@0C55 0000380C +@0C56 00003E6C +@0C57 00003E6C +@0C58 00003E6C +@0C59 00003E58 +@0C5A 0000380C +@0C5B 000031A8 +@0C5C 000031A8 +@0C5D 00003DE0 +@0C5E 000031A8 +@0C5F 00003DB0 +@0C60 000038C0 +@0C61 00003E10 +@0C62 00003DFC +@0C63 000031A8 +@0C64 000040A4 +@0C65 000031A8 +@0C66 0000390C +@0C67 000031A8 +@0C68 000031A8 +@0C69 00003F84 +@0C6A D9014D17 +@0C6B 2000CE26 +@0C6C 01400044 +@0C6D D9800F04 +@0C6E D9C14015 +@0C6F D9414515 +@0C70 D9814115 +@0C71 280F883A +@0C72 D9000F05 +@0C73 D8000405 +@0C74 D8014615 +@0C75 D8C14C17 +@0C76 1880008C +@0C77 1005003A +@0C78 D8815015 +@0C79 1000031E +@0C7A D9014517 +@0C7B 21000084 +@0C7C D9014515 +@0C7D D9414C17 +@0C7E 2940210C +@0C7F D9414E15 +@0C80 28002D1E +@0C81 D9814A17 +@0C82 D8814517 +@0C83 30A1C83A +@0C84 0400290E +@0C85 00800404 +@0C86 14045E0E +@0C87 DC800E17 +@0C88 DC400D17 +@0C89 1027883A +@0C8A 07000074 +@0C8B E700FD84 +@0C8C 050001C4 +@0C8D 00000306 +@0C8E 843FFC04 +@0C8F AD400204 +@0C90 9C00130E +@0C91 94800404 +@0C92 8C400044 +@0C93 AF000015 +@0C94 ACC00115 +@0C95 DC800E15 +@0C96 DC400D15 +@0C97 A47FF60E +@0C98 D9014F17 +@0C99 B00B883A +@0C9A D9800C04 +@0C9B D9C15115 +@0C9C 0002DBC0 +@0C9D D9C15117 +@0C9E 10009E1E +@0C9F 843FFC04 +@0CA0 DC800E17 +@0CA1 DC400D17 +@0CA2 DD401904 +@0CA3 9C3FED16 +@0CA4 9425883A +@0CA5 8C400044 +@0CA6 008001C4 +@0CA7 AF000015 +@0CA8 AC000115 +@0CA9 DC800E15 +@0CAA DC400D15 +@0CAB 1441F516 +@0CAC AD400204 +@0CAD 00000206 +@0CAE DC800E17 +@0CAF DC400D17 +@0CB0 D8800407 +@0CB1 10000B26 +@0CB2 00800044 +@0CB3 94800044 +@0CB4 8C400044 +@0CB5 A8800115 +@0CB6 D8C00404 +@0CB7 008001C4 +@0CB8 A8C00015 +@0CB9 DC800E15 +@0CBA DC400D15 +@0CBB 1441DA16 +@0CBC AD400204 +@0CBD D9015017 +@0CBE 20000B1E +@0CBF D8800444 +@0CC0 94800084 +@0CC1 8C400044 +@0CC2 A8800015 +@0CC3 00C00084 +@0CC4 008001C4 +@0CC5 A8C00115 +@0CC6 DC800E15 +@0CC7 DC400D15 +@0CC8 1441C216 +@0CC9 AD400204 +@0CCA D9414E17 +@0CCB 00802004 +@0CCC 2880B126 +@0CCD D8C14617 +@0CCE 19E1C83A +@0CCF 0400260E +@0CD0 00800404 +@0CD1 1403CF0E +@0CD2 1027883A +@0CD3 07000074 +@0CD4 E700F984 +@0CD5 050001C4 +@0CD6 00000306 +@0CD7 843FFC04 +@0CD8 AD400204 +@0CD9 9C00130E +@0CDA 94800404 +@0CDB 8C400044 +@0CDC AF000015 +@0CDD ACC00115 +@0CDE DC800E15 +@0CDF DC400D15 +@0CE0 A47FF60E +@0CE1 D9014F17 +@0CE2 B00B883A +@0CE3 D9800C04 +@0CE4 D9C15115 +@0CE5 0002DBC0 +@0CE6 D9C15117 +@0CE7 1000551E +@0CE8 843FFC04 +@0CE9 DC800E17 +@0CEA DC400D17 +@0CEB DD401904 +@0CEC 9C3FED16 +@0CED 9425883A +@0CEE 8C400044 +@0CEF 008001C4 +@0CF0 AF000015 +@0CF1 AC000115 +@0CF2 DC800E15 +@0CF3 DC400D15 +@0CF4 14418216 +@0CF5 AD400204 +@0CF6 D9014C17 +@0CF7 2080400C +@0CF8 10004A1E +@0CF9 D9414117 +@0CFA 91E5883A +@0CFB 8C400044 +@0CFC 008001C4 +@0CFD A9400015 +@0CFE A9C00115 +@0CFF DC800E15 +@0D00 DC400D15 +@0D01 14416716 +@0D02 A8C00204 +@0D03 D9814C17 +@0D04 3080010C +@0D05 10002826 +@0D06 D8814A17 +@0D07 D9014517 +@0D08 1121C83A +@0D09 0400240E +@0D0A 00800404 +@0D0B 1404550E +@0D0C DC400D17 +@0D0D 1027883A +@0D0E 07000074 +@0D0F E700FD84 +@0D10 050001C4 +@0D11 00000306 +@0D12 843FFC04 +@0D13 18C00204 +@0D14 9C00110E +@0D15 94800404 +@0D16 8C400044 +@0D17 1F000015 +@0D18 1CC00115 +@0D19 DC800E15 +@0D1A DC400D15 +@0D1B A47FF60E +@0D1C D9014F17 +@0D1D B00B883A +@0D1E D9800C04 +@0D1F 0002DBC0 +@0D20 10001C1E +@0D21 843FFC04 +@0D22 DC800E17 +@0D23 DC400D17 +@0D24 D8C01904 +@0D25 9C3FEF16 +@0D26 9425883A +@0D27 8C400044 +@0D28 008001C4 +@0D29 1F000015 +@0D2A 1C000115 +@0D2B DC800E15 +@0D2C DC400D15 +@0D2D 1440CB16 +@0D2E D8814A17 +@0D2F D9414517 +@0D30 1140010E +@0D31 2805883A +@0D32 D9814B17 +@0D33 308D883A +@0D34 D9814B15 +@0D35 90013B1E +@0D36 D9C14017 +@0D37 DD401904 +@0D38 D8000D15 +@0D39 003EA706 +@0D3A D8800E17 +@0D3B 1005451E +@0D3C D8000D15 +@0D3D B080030B +@0D3E 1080100C +@0D3F 103E8726 +@0D40 00BFFFC4 +@0D41 D8814B15 +@0D42 003E8406 +@0D43 D9814D17 +@0D44 00801944 +@0D45 11806E16 +@0D46 D9414717 +@0D47 00C00044 +@0D48 1943490E +@0D49 D8814117 +@0D4A 94800044 +@0D4B 8C400044 +@0D4C A8800015 +@0D4D 008001C4 +@0D4E A8C00115 +@0D4F DC800E15 +@0D50 DC400D15 +@0D51 1441CA16 +@0D52 A8C00204 +@0D53 D9014917 +@0D54 00800044 +@0D55 94800044 +@0D56 8C400044 +@0D57 18800115 +@0D58 008001C4 +@0D59 19000015 +@0D5A DC800E15 +@0D5B DC400D15 +@0D5C 1441B616 +@0D5D 1CC00204 +@0D5E D9014217 +@0D5F D9414317 +@0D60 000D883A +@0D61 000F883A +@0D62 000B8C80 +@0D63 10017426 +@0D64 D9414717 +@0D65 D9814117 +@0D66 8C400044 +@0D67 2C85883A +@0D68 14BFFFC4 +@0D69 28BFFFC4 +@0D6A 30C00044 +@0D6B 98800115 +@0D6C 008001C4 +@0D6D 98C00015 +@0D6E DC800E15 +@0D6F DC400D15 +@0D70 14418E16 +@0D71 9CC00204 +@0D72 D9414817 +@0D73 D8800804 +@0D74 8C400044 +@0D75 9165883A +@0D76 98800015 +@0D77 008001C4 +@0D78 99400115 +@0D79 DC800E15 +@0D7A DC400D15 +@0D7B 1440ED16 +@0D7C 98C00204 +@0D7D 003F8506 +@0D7E D9814A17 +@0D7F D8814517 +@0D80 30A1C83A +@0D81 043F4B0E +@0D82 00800404 +@0D83 14043A0E +@0D84 1027883A +@0D85 07000074 +@0D86 E700F984 +@0D87 050001C4 +@0D88 00000306 +@0D89 843FFC04 +@0D8A AD400204 +@0D8B 9C00130E +@0D8C 94800404 +@0D8D 8C400044 +@0D8E AF000015 +@0D8F ACC00115 +@0D90 DC800E15 +@0D91 DC400D15 +@0D92 A47FF60E +@0D93 D9014F17 +@0D94 B00B883A +@0D95 D9800C04 +@0D96 D9C15115 +@0D97 0002DBC0 +@0D98 D9C15117 +@0D99 103FA31E +@0D9A 843FFC04 +@0D9B DC800E17 +@0D9C DC400D17 +@0D9D DD401904 +@0D9E 9C3FED16 +@0D9F 9425883A +@0DA0 8C400044 +@0DA1 008001C4 +@0DA2 AF000015 +@0DA3 AC000115 +@0DA4 DC800E15 +@0DA5 DC400D15 +@0DA6 14416116 +@0DA7 AD400204 +@0DA8 003F2406 +@0DA9 D9014F17 +@0DAA 00068C80 +@0DAB D9C15117 +@0DAC 003DEF06 +@0DAD D9014F17 +@0DAE B00B883A +@0DAF D9C15115 +@0DB0 0004CFC0 +@0DB1 D9C15117 +@0DB2 103DEE26 +@0DB3 003F8C06 +@0DB4 D9014217 +@0DB5 D9414317 +@0DB6 000D883A +@0DB7 000F883A +@0DB8 000B8400 +@0DB9 1000F21E +@0DBA 00800074 +@0DBB 1080F904 +@0DBC 94800044 +@0DBD 8C400044 +@0DBE A8800015 +@0DBF 00C00044 +@0DC0 008001C4 +@0DC1 A8C00115 +@0DC2 DC800E15 +@0DC3 DC400D15 +@0DC4 14430016 +@0DC5 A8C00204 +@0DC6 D8800517 +@0DC7 D9014717 +@0DC8 11015C0E +@0DC9 DC400D17 +@0DCA D9814917 +@0DCB 00800044 +@0DCC 94800044 +@0DCD 8C400044 +@0DCE 18800115 +@0DCF 008001C4 +@0DD0 19800015 +@0DD1 DC800E15 +@0DD2 DC400D15 +@0DD3 14431616 +@0DD4 18C00204 +@0DD5 D8814717 +@0DD6 143FFFC4 +@0DD7 043F2B0E +@0DD8 00800404 +@0DD9 1402A80E +@0DDA DC400D17 +@0DDB 1027883A +@0DDC 07000074 +@0DDD E700F984 +@0DDE 050001C4 +@0DDF 00000306 +@0DE0 18C00204 +@0DE1 843FFC04 +@0DE2 9C02A20E +@0DE3 94800404 +@0DE4 8C400044 +@0DE5 1F000015 +@0DE6 1CC00115 +@0DE7 DC800E15 +@0DE8 DC400D15 +@0DE9 A47FF60E +@0DEA D9014F17 +@0DEB B00B883A +@0DEC D9800C04 +@0DED 0002DBC0 +@0DEE 103F4E1E +@0DEF DC800E17 +@0DF0 DC400D17 +@0DF1 D8C01904 +@0DF2 003FEE06 +@0DF3 D8802C0B +@0DF4 00FFFFC4 +@0DF5 D8C14B15 +@0DF6 1080100C +@0DF7 103DCC1E +@0DF8 003DCE06 +@0DF9 D9014F17 +@0DFA B00B883A +@0DFB D9800C04 +@0DFC 0002DBC0 +@0DFD 103F3F1E +@0DFE DC800E17 +@0DFF 003F2E06 +@0E00 D9414C17 +@0E01 29400414 +@0E02 D9414C15 +@0E03 D9814C17 +@0E04 3080080C +@0E05 10014F1E +@0E06 D8C14C17 +@0E07 1880040C +@0E08 1002F01E +@0E09 D9014C17 +@0E0A 2080100C +@0E0B 1002ED26 +@0E0C 3880000F +@0E0D 39C00104 +@0E0E D9C14015 +@0E0F 1023D7FA +@0E10 1021883A +@0E11 88037816 +@0E12 01000044 +@0E13 98000416 +@0E14 D8C14C17 +@0E15 00BFDFC4 +@0E16 1886703A +@0E17 D8C14C15 +@0E18 8444B03A +@0E19 10022C1E +@0E1A 98022B1E +@0E1B 20803FCC +@0E1C 1002A126 +@0E1D D8C01904 +@0E1E DD000F04 +@0E1F D8C14115 +@0E20 D8C14117 +@0E21 DCC14515 +@0E22 A0C5C83A +@0E23 11C00A04 +@0E24 99C0010E +@0E25 D9C14515 +@0E26 DCC14615 +@0E27 D8800407 +@0E28 103E4C26 +@0E29 D8814517 +@0E2A 10800044 +@0E2B D8814515 +@0E2C 003E4806 +@0E2D D9814C17 +@0E2E 31800414 +@0E2F D9814C15 +@0E30 D8C14C17 +@0E31 1880080C +@0E32 1001271E +@0E33 D9414C17 +@0E34 2880040C +@0E35 1002BC1E +@0E36 D9814C17 +@0E37 3080100C +@0E38 1002B926 +@0E39 3C00000B +@0E3A 0009883A +@0E3B 39C00104 +@0E3C 0023883A +@0E3D D9C14015 +@0E3E D8000405 +@0E3F 003FD306 +@0E40 D9014C17 +@0E41 21000414 +@0E42 D9014C15 +@0E43 D9414C17 +@0E44 2880080C +@0E45 1001081E +@0E46 D8C14C17 +@0E47 1880040C +@0E48 1002B61E +@0E49 D9014C17 +@0E4A 2080100C +@0E4B 1002B326 +@0E4C 3C00000B +@0E4D 01000044 +@0E4E 39C00104 +@0E4F 0023883A +@0E50 D9C14015 +@0E51 D8000405 +@0E52 003FC006 +@0E53 D9014F17 +@0E54 B00B883A +@0E55 D9800C04 +@0E56 0002DBC0 +@0E57 103EE51E +@0E58 DC800E17 +@0E59 D8C01904 +@0E5A D9814C17 +@0E5B 3080004C +@0E5C 1005003A +@0E5D 103EA51E +@0E5E 00800044 +@0E5F DC400D17 +@0E60 18800115 +@0E61 D8814917 +@0E62 94800044 +@0E63 8C400044 +@0E64 18800015 +@0E65 008001C4 +@0E66 DC800E15 +@0E67 DC400D15 +@0E68 1442240E +@0E69 D9014F17 +@0E6A B00B883A +@0E6B D9800C04 +@0E6C 0002DBC0 +@0E6D 103ECF1E +@0E6E DC800E17 +@0E6F D8C01904 +@0E70 003E9206 +@0E71 D9014F17 +@0E72 B00B883A +@0E73 D9800C04 +@0E74 0002DBC0 +@0E75 103EC026 +@0E76 003EC606 +@0E77 D9014F17 +@0E78 B00B883A +@0E79 D9800C04 +@0E7A D9C15115 +@0E7B 0002DBC0 +@0E7C D9C15117 +@0E7D 103EBF1E +@0E7E DC800E17 +@0E7F DC400D17 +@0E80 DD401904 +@0E81 003E7406 +@0E82 D9014F17 +@0E83 B00B883A +@0E84 D9800C04 +@0E85 D9C15115 +@0E86 0002DBC0 +@0E87 D9C15117 +@0E88 103EB41E +@0E89 DD401904 +@0E8A 003D6D06 +@0E8B D9014F17 +@0E8C B00B883A +@0E8D D9800C04 +@0E8E D9C15115 +@0E8F 0002DBC0 +@0E90 D9C15117 +@0E91 103EAB1E +@0E92 DC800E17 +@0E93 DC400D17 +@0E94 DD401904 +@0E95 003E3406 +@0E96 D9014F17 +@0E97 B00B883A +@0E98 D9800C04 +@0E99 D9C15115 +@0E9A 0002DBC0 +@0E9B D9C15117 +@0E9C 103EA01E +@0E9D DC800E17 +@0E9E DC400D17 +@0E9F DD401904 +@0EA0 003E1C06 +@0EA1 D9014F17 +@0EA2 B00B883A +@0EA3 D9800C04 +@0EA4 D9C15115 +@0EA5 0002DBC0 +@0EA6 D9C15117 +@0EA7 103E951E +@0EA8 DC800E17 +@0EA9 DC400D17 +@0EAA DD401904 +@0EAB 003E0406 +@0EAC D9000517 +@0EAD 0102580E +@0EAE D9814717 +@0EAF 21807A16 +@0EB0 D8814117 +@0EB1 91A5883A +@0EB2 8C400044 +@0EB3 A8800015 +@0EB4 008001C4 +@0EB5 A9800115 +@0EB6 DC800E15 +@0EB7 DC400D15 +@0EB8 1442FC16 +@0EB9 A8C00204 +@0EBA D9414717 +@0EBB 2161C83A +@0EBC 043F9D0E +@0EBD 00800404 +@0EBE 1402190E +@0EBF DC400D17 +@0EC0 1027883A +@0EC1 07000074 +@0EC2 E700F984 +@0EC3 050001C4 +@0EC4 00000306 +@0EC5 18C00204 +@0EC6 843FFC04 +@0EC7 9C02130E +@0EC8 94800404 +@0EC9 8C400044 +@0ECA 1F000015 +@0ECB 1CC00115 +@0ECC DC800E15 +@0ECD DC400D15 +@0ECE A47FF60E +@0ECF D9014F17 +@0ED0 B00B883A +@0ED1 D9800C04 +@0ED2 0002DBC0 +@0ED3 103E691E +@0ED4 DC800E17 +@0ED5 DC400D17 +@0ED6 D8C01904 +@0ED7 003FEE06 +@0ED8 D8814717 +@0ED9 143FFFC4 +@0EDA 043E970E +@0EDB 00800404 +@0EDC 1400180E +@0EDD 1029883A +@0EDE 07000074 +@0EDF E700F984 +@0EE0 054001C4 +@0EE1 00000306 +@0EE2 9CC00204 +@0EE3 843FFC04 +@0EE4 A400120E +@0EE5 94800404 +@0EE6 8C400044 +@0EE7 9F000015 +@0EE8 9D000115 +@0EE9 DC800E15 +@0EEA DC400D15 +@0EEB AC7FF60E +@0EEC D9014F17 +@0EED B00B883A +@0EEE D9800C04 +@0EEF 0002DBC0 +@0EF0 103E4C1E +@0EF1 DC800E17 +@0EF2 DC400D17 +@0EF3 DCC01904 +@0EF4 003FEE06 +@0EF5 07000074 +@0EF6 E700F984 +@0EF7 9425883A +@0EF8 8C400044 +@0EF9 008001C4 +@0EFA 9F000015 +@0EFB 9C000115 +@0EFC DC800E15 +@0EFD DC400D15 +@0EFE 147E720E +@0EFF D9014F17 +@0F00 B00B883A +@0F01 D9800C04 +@0F02 0002DBC0 +@0F03 103E391E +@0F04 DC800E17 +@0F05 DC400D17 +@0F06 DCC01904 +@0F07 003E6A06 +@0F08 D9014F17 +@0F09 B00B883A +@0F0A D9800C04 +@0F0B D9C15115 +@0F0C 0002DBC0 +@0F0D D9C15117 +@0F0E 103E2E1E +@0F0F DC800E17 +@0F10 DC400D17 +@0F11 DD401904 +@0F12 003DBA06 +@0F13 D9014F17 +@0F14 B00B883A +@0F15 D9800C04 +@0F16 0002DBC0 +@0F17 103E251E +@0F18 DC800E17 +@0F19 DC400D17 +@0F1A DCC01904 +@0F1B 003E4206 +@0F1C D9014F17 +@0F1D B00B883A +@0F1E D9800C04 +@0F1F 0002DBC0 +@0F20 103E1C1E +@0F21 DC800E17 +@0F22 DC400D17 +@0F23 D8C01904 +@0F24 003E2E06 +@0F25 D9414C17 +@0F26 2880004C +@0F27 1005003A +@0F28 103DDA1E +@0F29 003E9F06 +@0F2A D8C14117 +@0F2B 9125883A +@0F2C 8C400044 +@0F2D 008001C4 +@0F2E A8C00015 +@0F2F A9000115 +@0F30 DC800E15 +@0F31 DC400D15 +@0F32 14426C16 +@0F33 A8C00204 +@0F34 D9414917 +@0F35 00800044 +@0F36 94800044 +@0F37 8C400044 +@0F38 18800115 +@0F39 008001C4 +@0F3A 19400015 +@0F3B DC800E15 +@0F3C DC400D15 +@0F3D 2021883A +@0F3E 14425616 +@0F3F 19400204 +@0F40 D9814717 +@0F41 8C400044 +@0F42 DC400D15 +@0F43 3107C83A +@0F44 D9014117 +@0F45 90E5883A +@0F46 28C00115 +@0F47 8105883A +@0F48 28800015 +@0F49 008001C4 +@0F4A DC800E15 +@0F4B 147F1D16 +@0F4C 28C00204 +@0F4D 003DB506 +@0F4E 3C000017 +@0F4F 3C400117 +@0F50 39800204 +@0F51 01000044 +@0F52 D9814015 +@0F53 D8000405 +@0F54 003EBE06 +@0F55 3C000017 +@0F56 3C400117 +@0F57 38800204 +@0F58 D8814015 +@0F59 003EB706 +@0F5A 3C000017 +@0F5B 3C400117 +@0F5C 39000204 +@0F5D D9014015 +@0F5E 0009883A +@0F5F D8000405 +@0F60 003EB206 +@0F61 38C00017 +@0F62 39C00104 +@0F63 D8C14A15 +@0F64 1800D70E +@0F65 00C7C83A +@0F66 D8C14A15 +@0F67 D9014C17 +@0F68 B8C00007 +@0F69 21000114 +@0F6A D9014C15 +@0F6B 003C9806 +@0F6C D9814C17 +@0F6D 3080080C +@0F6E 1001F626 +@0F6F D9014B17 +@0F70 38800017 +@0F71 39C00104 +@0F72 D9C14015 +@0F73 2007D7FA +@0F74 D9C14017 +@0F75 11000015 +@0F76 10C00115 +@0F77 003C6906 +@0F78 B8C00007 +@0F79 00801B04 +@0F7A 18825526 +@0F7B D9414C17 +@0F7C 29400414 +@0F7D D9414C15 +@0F7E 003C8506 +@0F7F D9814C17 +@0F80 B8C00007 +@0F81 31800814 +@0F82 D9814C15 +@0F83 003C8006 +@0F84 D8814C17 +@0F85 3C000017 +@0F86 00C01E04 +@0F87 10800094 +@0F88 D8814C15 +@0F89 39C00104 +@0F8A 01400074 +@0F8B 2940E204 +@0F8C 00800C04 +@0F8D 0023883A +@0F8E 01000084 +@0F8F D9C14015 +@0F90 D8C14D15 +@0F91 D9414415 +@0F92 D8800445 +@0F93 D8C00485 +@0F94 D8000405 +@0F95 003E7D06 +@0F96 D8814C17 +@0F97 B8C00007 +@0F98 10801014 +@0F99 D8814C15 +@0F9A 003C6906 +@0F9B D9414C17 +@0F9C 2880020C +@0F9D 1001E526 +@0F9E 39800017 +@0F9F 38800204 +@0FA0 D8814015 +@0FA1 D9814215 +@0FA2 39C00117 +@0FA3 D9C14315 +@0FA4 D9014217 +@0FA5 D9414317 +@0FA6 00098C40 +@0FA7 10021D26 +@0FA8 D9014217 +@0FA9 D9414317 +@0FAA 000D883A +@0FAB 000F883A +@0FAC 000BA600 +@0FAD 1002D016 +@0FAE D9414D17 +@0FAF 008011C4 +@0FB0 11421016 +@0FB1 01800074 +@0FB2 3180E704 +@0FB3 D9814115 +@0FB4 D9014C17 +@0FB5 00C000C4 +@0FB6 00BFDFC4 +@0FB7 2088703A +@0FB8 180F883A +@0FB9 D8C14515 +@0FBA D9014C15 +@0FBB D8014615 +@0FBC 003E6A06 +@0FBD 38800017 +@0FBE 00C00044 +@0FBF 39C00104 +@0FC0 D9C14015 +@0FC1 D9000F04 +@0FC2 180F883A +@0FC3 D8C14515 +@0FC4 D9014115 +@0FC5 D8800F05 +@0FC6 D8000405 +@0FC7 003CAC06 +@0FC8 01400074 +@0FC9 2940ED04 +@0FCA D9414415 +@0FCB D9814C17 +@0FCC 3080080C +@0FCD 1000FF26 +@0FCE 3C000017 +@0FCF 3C400117 +@0FD0 38800204 +@0FD1 D8814015 +@0FD2 D9414C17 +@0FD3 2880004C +@0FD4 1005003A +@0FD5 1000B91E +@0FD6 8444B03A +@0FD7 1000B726 +@0FD8 D9814D17 +@0FD9 29400094 +@0FDA 00800C04 +@0FDB 01000084 +@0FDC D9414C15 +@0FDD D8800445 +@0FDE D9800485 +@0FDF D8000405 +@0FE0 003E3206 +@0FE1 01800074 +@0FE2 3180E204 +@0FE3 D9814415 +@0FE4 003FE606 +@0FE5 00800AC4 +@0FE6 D8800405 +@0FE7 B8C00007 +@0FE8 003C1B06 +@0FE9 D8814C17 +@0FEA B8C00007 +@0FEB 10800054 +@0FEC D8814C15 +@0FED 003C1606 +@0FEE D8800407 +@0FEF 10004C1E +@0FF0 00800804 +@0FF1 D8800405 +@0FF2 B8C00007 +@0FF3 003C1006 +@0FF4 D9814C17 +@0FF5 B8C00007 +@0FF6 31800214 +@0FF7 D9814C15 +@0FF8 003C0B06 +@0FF9 0009883A +@0FFA 04000244 +@0FFB 01400284 +@0FFC D9C15115 +@0FFD 0002C3C0 +@0FFE B9000007 +@0FFF D8C14D17 +@1000 BDC00044 +@1001 D9014D15 +@1002 D9414D17 +@1003 1885883A +@1004 113FF404 +@1005 28BFF404 +@1006 D9C15117 +@1007 80BFF32E +@1008 D9014A15 +@1009 003BFC06 +@100A D8814C17 +@100B B8C00007 +@100C 10802014 +@100D D8814C15 +@100E 003BF506 +@100F B8C00007 +@1010 00800A84 +@1011 BDC00044 +@1012 18831826 +@1013 D8C14D15 +@1014 18BFF404 +@1015 00C00244 +@1016 18827B36 +@1017 1821883A +@1018 0009883A +@1019 01400284 +@101A D9C15115 +@101B 0002C3C0 +@101C D9414D17 +@101D B9800007 +@101E D9C15117 +@101F 1145883A +@1020 113FF404 +@1021 30BFF404 +@1022 D9814D15 +@1023 BDC00044 +@1024 80BFF42E +@1025 2027883A +@1026 203BDF0E +@1027 04FFFFC4 +@1028 003BDD06 +@1029 D8000405 +@102A 39800017 +@102B 39C00104 +@102C D9C14015 +@102D D9814115 +@102E 3001C926 +@102F 98000E16 +@1030 D9014117 +@1031 000B883A +@1032 980D883A +@1033 0007F480 +@1034 10025926 +@1035 D8C14117 +@1036 10CFC83A +@1037 99C19E16 +@1038 D9C14515 +@1039 38000916 +@103A D8014615 +@103B 003DEB06 +@103C B8C00007 +@103D 003BC606 +@103E D9014117 +@103F 0002D480 +@1040 D8814515 +@1041 100F883A +@1042 103FF70E +@1043 D8014515 +@1044 D8014615 +@1045 003DE106 +@1046 20C03FCC +@1047 00800044 +@1048 18802D26 +@1049 18800E36 +@104A 00800084 +@104B 1880FA26 +@104C 01000074 +@104D 2100F204 +@104E 0002D480 +@104F 100F883A +@1050 DCC14515 +@1051 9880010E +@1052 D8814515 +@1053 00800074 +@1054 1080F204 +@1055 DCC14615 +@1056 D8814115 +@1057 003DCF06 +@1058 D9401904 +@1059 DD000F04 +@105A D9414115 +@105B 880A977A +@105C D9814117 +@105D 8004D0FA +@105E 8806D0FA +@105F 810001CC +@1060 2884B03A +@1061 31BFFFC4 +@1062 21000C04 +@1063 D9814115 +@1064 10CAB03A +@1065 31000005 +@1066 1021883A +@1067 1823883A +@1068 283FF21E +@1069 D8C14C17 +@106A 1880004C +@106B 1005003A +@106C 103DB31E +@106D 20803FCC +@106E 1080201C +@106F 10BFE004 +@1070 00C00C04 +@1071 10FDAE26 +@1072 31BFFFC4 +@1073 D9814115 +@1074 30C00005 +@1075 003DAA06 +@1076 88800068 +@1077 10002C1E +@1078 8800021E +@1079 00800244 +@107A 14002936 +@107B D90018C4 +@107C DD000F04 +@107D D9014115 +@107E D9014117 +@107F 80800C04 +@1080 20800005 +@1081 003D9E06 +@1082 DC400D17 +@1083 07000074 +@1084 E700F984 +@1085 9425883A +@1086 8C400044 +@1087 008001C4 +@1088 1F000015 +@1089 1C000115 +@108A DC800E15 +@108B DC400D15 +@108C 147DDC16 +@108D 18C00204 +@108E 003C7406 +@108F 01000084 +@1090 D8000405 +@1091 003D8106 +@1092 D9814C17 +@1093 30C4703A +@1094 1005003A +@1095 103CB326 +@1096 D9014117 +@1097 94800044 +@1098 8C400044 +@1099 008001C4 +@109A A9000015 +@109B A8C00115 +@109C DC800E15 +@109D DC400D15 +@109E 147E6016 +@109F ACC00204 +@10A0 003CD106 +@10A1 07000074 +@10A2 E700F984 +@10A3 003C4906 +@10A4 DD000F04 +@10A5 DC801904 +@10A6 8009883A +@10A7 880B883A +@10A8 01800284 +@10A9 000F883A +@10AA 000A6C00 +@10AB 12000C04 +@10AC 94BFFFC4 +@10AD 8009883A +@10AE 880B883A +@10AF 01800284 +@10B0 000F883A +@10B1 92000005 +@10B2 000A0540 +@10B3 1009883A +@10B4 1021883A +@10B5 18800068 +@10B6 1823883A +@10B7 103FEE1E +@10B8 1800021E +@10B9 00800244 +@10BA 113FEB36 +@10BB 94BFFFC4 +@10BC DC814115 +@10BD 003FC006 +@10BE D9014C17 +@10BF 2080004C +@10C0 10009A1E +@10C1 D9401904 +@10C2 DD000F04 +@10C3 D9414115 +@10C4 003D5B06 +@10C5 D9014F17 +@10C6 B00B883A +@10C7 D9800C04 +@10C8 0002DBC0 +@10C9 103C731E +@10CA DC800E17 +@10CB D8C01904 +@10CC 003CF906 +@10CD D8C14C17 +@10CE 1880040C +@10CF 1000711E +@10D0 D9014C17 +@10D1 2080100C +@10D2 10006E26 +@10D3 3C00000B +@10D4 0023883A +@10D5 39C00104 +@10D6 D9C14015 +@10D7 003EFA06 +@10D8 DC400D17 +@10D9 07000074 +@10DA E700F984 +@10DB 9425883A +@10DC 8C400044 +@10DD 008001C4 +@10DE 1F000015 +@10DF 1C000115 +@10E0 DC800E15 +@10E1 DC400D15 +@10E2 147D7016 +@10E3 18C00204 +@10E4 003D7506 +@10E5 DC800E17 +@10E6 DC400D17 +@10E7 07000074 +@10E8 E700FD84 +@10E9 003BBA06 +@10EA D9014F17 +@10EB B00B883A +@10EC D9800C04 +@10ED 0002DBC0 +@10EE 103C4E1E +@10EF DC800E17 +@10F0 D8C01904 +@10F1 003CE306 +@10F2 3C000017 +@10F3 0009883A +@10F4 39C00104 +@10F5 0023883A +@10F6 D9C14015 +@10F7 D8000405 +@10F8 003D1A06 +@10F9 38800017 +@10FA 39C00104 +@10FB D9C14015 +@10FC 1023D7FA +@10FD 1021883A +@10FE 003D1206 +@10FF 3C000017 +@1100 01000044 +@1101 39C00104 +@1102 0023883A +@1103 D9C14015 +@1104 D8000405 +@1105 003D0D06 +@1106 00800074 +@1107 1080F904 +@1108 94800044 +@1109 8C400044 +@110A A8800015 +@110B 00C00044 +@110C 008001C4 +@110D A8C00115 +@110E DC800E15 +@110F DC400D15 +@1110 1440CA16 +@1111 A8C00204 +@1112 2000061E +@1113 D9414717 +@1114 2800041E +@1115 D9814C17 +@1116 3080004C +@1117 1005003A +@1118 103BEA1E +@1119 00800044 +@111A DC400D17 +@111B 18800115 +@111C D8814917 +@111D 94800044 +@111E 8C400044 +@111F 18800015 +@1120 008001C4 +@1121 DC800E15 +@1122 DC400D15 +@1123 1440CA16 +@1124 18C00204 +@1125 0121C83A +@1126 0400500E +@1127 00800404 +@1128 1400800E +@1129 1027883A +@112A 07000074 +@112B E700F984 +@112C 050001C4 +@112D 00000306 +@112E 18C00204 +@112F 843FFC04 +@1130 9C007A0E +@1131 94800404 +@1132 8C400044 +@1133 1F000015 +@1134 1CC00115 +@1135 DC800E15 +@1136 DC400D15 +@1137 A47FF60E +@1138 D9014F17 +@1139 B00B883A +@113A D9800C04 +@113B 0002DBC0 +@113C 103C001E +@113D DC800E17 +@113E DC400D17 +@113F D8C01904 +@1140 003FEE06 +@1141 3C000017 +@1142 0023883A +@1143 39C00104 +@1144 D9C14015 +@1145 003E8C06 +@1146 D9401904 +@1147 DD000F04 +@1148 D9414115 +@1149 D9814417 +@114A 880A973A +@114B 8004D13A +@114C 810003CC +@114D 3109883A +@114E 2884B03A +@114F 21400003 +@1150 D9014117 +@1151 8806D13A +@1152 1021883A +@1153 213FFFC4 +@1154 D9014115 +@1155 D9814117 +@1156 10C8B03A +@1157 1823883A +@1158 31400005 +@1159 203FEF1E +@115A 003CC506 +@115B 00800C04 +@115C D98018C4 +@115D DD000F04 +@115E D88018C5 +@115F D9814115 +@1160 003CBF06 +@1161 DC400D17 +@1162 07000074 +@1163 E700FD84 +@1164 003BC106 +@1165 D9414C17 +@1166 2880040C +@1167 10007C26 +@1168 38800017 +@1169 39C00104 +@116A D9C14015 +@116B D9814B17 +@116C D9C14017 +@116D 11800015 +@116E 003A7206 +@116F D9014F17 +@1170 B00B883A +@1171 D9800C04 +@1172 0002DBC0 +@1173 103BC91E +@1174 DC800E17 +@1175 DC400D17 +@1176 D8C01904 +@1177 D9014717 +@1178 D9414117 +@1179 8C400044 +@117A 9125883A +@117B 008001C4 +@117C 19400015 +@117D 19000115 +@117E DC800E15 +@117F DC400D15 +@1180 147CE816 +@1181 18C00204 +@1182 003B8006 +@1183 38C00017 +@1184 39000204 +@1185 D9014015 +@1186 D8C14215 +@1187 39C00117 +@1188 D9C14315 +@1189 003E1A06 +@118A 0005883A +@118B 1409C83A +@118C 1105803A +@118D 044BC83A +@118E 2885C83A +@118F 2021883A +@1190 1023883A +@1191 01000044 +@1192 00800B44 +@1193 D8800405 +@1194 003C7E06 +@1195 D9014F17 +@1196 B00B883A +@1197 D9800C04 +@1198 0002DBC0 +@1199 103BA31E +@119A DC800E17 +@119B DC400D17 +@119C D9000517 +@119D D9401904 +@119E 003DA106 +@119F D9014F17 +@11A0 B00B883A +@11A1 D9800C04 +@11A2 0002DBC0 +@11A3 103B991E +@11A4 DC800E17 +@11A5 DC400D17 +@11A6 D9000517 +@11A7 D8C01904 +@11A8 003D8B06 +@11A9 07000074 +@11AA E700F984 +@11AB 9425883A +@11AC 8C400044 +@11AD 008001C4 +@11AE 1F000015 +@11AF 1C000115 +@11B0 DC800E15 +@11B1 DC400D15 +@11B2 147FBC16 +@11B3 18C00204 +@11B4 003FC206 +@11B5 D9014F17 +@11B6 B00B883A +@11B7 D9800C04 +@11B8 0002DBC0 +@11B9 103B831E +@11BA DC800E17 +@11BB D9000517 +@11BC D8C01904 +@11BD 003CFC06 +@11BE 07000074 +@11BF E700F984 +@11C0 003BDE06 +@11C1 00800074 +@11C2 1080E804 +@11C3 D8814115 +@11C4 003DEF06 +@11C5 D9014217 +@11C6 D9414317 +@11C7 00099040 +@11C8 10003926 +@11C9 D9414D17 +@11CA 008011C4 +@11CB 1140CE16 +@11CC 01800074 +@11CD 3180E904 +@11CE D9814115 +@11CF 003DE406 +@11D0 D9014C17 +@11D1 BDC00044 +@11D2 B8C00007 +@11D3 21000814 +@11D4 D9014C15 +@11D5 003A2E06 +@11D6 DCC14515 +@11D7 98011016 +@11D8 980F883A +@11D9 D8014615 +@11DA 003C4C06 +@11DB D9014F17 +@11DC B00B883A +@11DD D9800C04 +@11DE 0002DBC0 +@11DF 103B5D1E +@11E0 DC800E17 +@11E1 D9000517 +@11E2 D8C01904 +@11E3 003F2E06 +@11E4 D8C14C17 +@11E5 1880100C +@11E6 1000A026 +@11E7 38800017 +@11E8 39C00104 +@11E9 D9C14015 +@11EA D9014B17 +@11EB D9C14017 +@11EC 1100000D +@11ED 0039F306 +@11EE D9014F17 +@11EF B00B883A +@11F0 D9800C04 +@11F1 0002DBC0 +@11F2 103B4A1E +@11F3 DC800E17 +@11F4 DC400D17 +@11F5 D9000517 +@11F6 D8C01904 +@11F7 003F2D06 +@11F8 00800184 +@11F9 14C09A36 +@11FA DCC14515 +@11FB 9800010E +@11FC D8014515 +@11FD 00800074 +@11FE 1080EB04 +@11FF 980F883A +@1200 D8814115 +@1201 003A7206 +@1202 00BFFFC4 +@1203 9880E226 +@1204 D9414D17 +@1205 008019C4 +@1206 2880DC26 +@1207 008011C4 +@1208 2880DA26 +@1209 D9414C17 +@120A D9014317 +@120B D9814217 +@120C 29404014 +@120D D9414C15 +@120E 2000CC16 +@120F 3021883A +@1210 2023883A +@1211 0039883A +@1212 D9414D17 +@1213 00801984 +@1214 2880B726 +@1215 00801184 +@1216 2880B526 +@1217 00801944 +@1218 2880C826 +@1219 00801144 +@121A 2880C626 +@121B 9829883A +@121C D9014F17 +@121D D8800504 +@121E 880D883A +@121F D8800115 +@1220 D8C00604 +@1221 D8800704 +@1222 800B883A +@1223 01C00084 +@1224 D8C00215 +@1225 D8800315 +@1226 DD000015 +@1227 000507C0 +@1228 D9814D17 +@1229 D8814115 +@122A 008019C4 +@122B 30809526 +@122C D8C14D17 +@122D 008011C4 +@122E 18809226 +@122F D9414117 +@1230 D9814D17 +@1231 00801984 +@1232 2D25883A +@1233 30808626 +@1234 00801184 +@1235 30808426 +@1236 000D883A +@1237 000F883A +@1238 880B883A +@1239 8009883A +@123A 000B8400 +@123B 1000751E +@123C 9005883A +@123D DC800715 +@123E D9014117 +@123F D9414D17 +@1240 00C019C4 +@1241 1125C83A +@1242 28C06826 +@1243 008011C4 +@1244 28806626 +@1245 D9000517 +@1246 D8C14D17 +@1247 00801944 +@1248 10C05516 +@1249 213FFFC4 +@124A D9000515 +@124B D8C00805 +@124C 2021883A +@124D 2000C116 +@124E 00800AC4 +@124F D8800845 +@1250 00800244 +@1251 1400AF0E +@1252 1027883A +@1253 DC400B84 +@1254 8009883A +@1255 01400284 +@1256 0002BCC0 +@1257 10800C04 +@1258 8C7FFFC4 +@1259 8009883A +@125A 01400284 +@125B 88800005 +@125C 0002B6C0 +@125D 1021883A +@125E 98BFF516 +@125F 10C00C04 +@1260 D88009C4 +@1261 108001C4 +@1262 897FFFC4 +@1263 88FFFFC5 +@1264 2880A72E +@1265 1009883A +@1266 D9800804 +@1267 D8C00884 +@1268 28800003 +@1269 29400044 +@126A 18800005 +@126B 18C00044 +@126C 293FFB36 +@126D 1987C83A +@126E 00800044 +@126F D8C14815 +@1270 90CF883A +@1271 1480960E +@1272 39C00044 +@1273 D9C14515 +@1274 38003416 +@1275 E0803FCC +@1276 1080201C +@1277 10BFE004 +@1278 10004E26 +@1279 00800B44 +@127A DC814715 +@127B D8014615 +@127C D8800405 +@127D 003BAB06 +@127E 00800B44 +@127F D8800405 +@1280 003D2D06 +@1281 D9014F17 +@1282 B00B883A +@1283 D9800C04 +@1284 0002DBC0 +@1285 103AB71E +@1286 003AB506 +@1287 38800017 +@1288 39C00104 +@1289 D9C14015 +@128A D9414B17 +@128B D9C14017 +@128C 11400015 +@128D 00395306 +@128E 980F883A +@128F DCC14515 +@1290 D8014615 +@1291 003B9506 +@1292 0027883A +@1293 00397206 +@1294 00C00074 +@1295 18C0EB04 +@1296 100F883A +@1297 D8814515 +@1298 D8C14115 +@1299 0039DA06 +@129A 00800074 +@129B 1080EA04 +@129C D8814115 +@129D 003D1606 +@129E D9414D17 +@129F 00801984 +@12A0 28804926 +@12A1 200F883A +@12A2 24805716 +@12A3 D9414C17 +@12A4 2880004C +@12A5 10000126 +@12A6 21C00044 +@12A7 D9C14515 +@12A8 383FCC0E +@12A9 D8014515 +@12AA 003FCA06 +@12AB D9000517 +@12AC 00BFFF04 +@12AD 1100480E +@12AE 99004716 +@12AF D8C14D15 +@12B0 003FF006 +@12B1 D8800717 +@12B2 14BF8B2E +@12B3 9007883A +@12B4 01000C04 +@12B5 11000005 +@12B6 10800044 +@12B7 D8800715 +@12B8 18BFFC1E +@12B9 003F8406 +@12BA D8814117 +@12BB 10C00007 +@12BC 00800C04 +@12BD 18805B26 +@12BE D9000517 +@12BF 9125883A +@12C0 003F7506 +@12C1 D9014C17 +@12C2 2080004C +@12C3 1005003A +@12C4 103F6A26 +@12C5 D8800717 +@12C6 003F7706 +@12C7 D9C14515 +@12C8 38004D16 +@12C9 DC814715 +@12CA D8014615 +@12CB 003B5B06 +@12CC D9014F17 +@12CD D8800504 +@12CE D8800115 +@12CF D8C00604 +@12D0 D8800704 +@12D1 800B883A +@12D2 880D883A +@12D3 01C000C4 +@12D4 D8C00215 +@12D5 D8800315 +@12D6 DCC00015 +@12D7 9829883A +@12D8 000507C0 +@12D9 D8814115 +@12DA 003F5106 +@12DB D8C14217 +@12DC D9014317 +@12DD 07000B44 +@12DE 1821883A +@12DF 2460003C +@12E0 003F3106 +@12E1 9D000044 +@12E2 003F3906 +@12E3 983F251E +@12E4 04C00044 +@12E5 003F2306 +@12E6 04C00184 +@12E7 003F2106 +@12E8 D8014515 +@12E9 003EEE06 +@12EA 200F883A +@12EB 0100370E +@12EC 9800031E +@12ED D9814C17 +@12EE 3080004C +@12EF 103FB726 +@12F0 20800044 +@12F1 98A7883A +@12F2 DCC14515 +@12F3 980F883A +@12F4 983F800E +@12F5 003FB306 +@12F6 D9814D17 +@12F7 31BFFF84 +@12F8 D9814D15 +@12F9 003F4C06 +@12FA 0100180E +@12FB 00800044 +@12FC 1485883A +@12FD D8814515 +@12FE 100F883A +@12FF 103F750E +@1300 003FA806 +@1301 80C00C04 +@1302 00800C04 +@1303 D8C008C5 +@1304 D9800804 +@1305 D8C00904 +@1306 D8800885 +@1307 003F6506 +@1308 D9014C17 +@1309 2084703A +@130A 103F9C26 +@130B 003F6606 +@130C D9800804 +@130D D8C00884 +@130E 003F5E06 +@130F 00800B44 +@1310 0121C83A +@1311 D8800845 +@1312 003F3D06 +@1313 00800084 +@1314 1105C83A +@1315 003FE606 +@1316 D8014515 +@1317 DC814715 +@1318 003FB106 +@1319 000D883A +@131A 000F883A +@131B 8009883A +@131C 880B883A +@131D 000B8C80 +@131E 103F9F26 +@131F 00800044 +@1320 1509C83A +@1321 D9000515 +@1322 003F9B06 +@1323 98000D1E +@1324 D8C14C17 +@1325 1880004C +@1326 10000A1E +@1327 01000044 +@1328 200F883A +@1329 D9014515 +@132A 003F4A06 +@132B 3CC00017 +@132C 39C00104 +@132D 983D0E0E +@132E B8C00007 +@132F 04FFFFC4 +@1330 0038D306 +@1331 9CC00084 +@1332 DCC14515 +@1333 980F883A +@1334 983F400E +@1335 003F7306 +@1336 00800074 +@1337 10888604 +@1338 2013883A +@1339 11000017 +@133A 2805883A +@133B 300F883A +@133C 480B883A +@133D 100D883A +@133E 0002E141 +@133F 00800074 +@1340 10888604 +@1341 10C00017 +@1342 DEFFFD04 +@1343 DC400115 +@1344 DC000015 +@1345 DFC00215 +@1346 2023883A +@1347 2821883A +@1348 18000226 +@1349 18800E17 +@134A 10001F26 +@134B 8100030B +@134C 2080020C +@134D 10002826 +@134E 81400417 +@134F 28001D26 +@1350 2080004C +@1351 1005003A +@1352 10000B26 +@1353 2080008C +@1354 10001226 +@1355 0005883A +@1356 80800215 +@1357 28000B26 +@1358 0005883A +@1359 DFC00217 +@135A DC400117 +@135B DC000017 +@135C DEC00304 +@135D F800283A +@135E 80800517 +@135F 80000215 +@1360 0085C83A +@1361 80800615 +@1362 283FF51E +@1363 2080200C +@1364 103FF326 +@1365 00BFFFC4 +@1366 003FF206 +@1367 80800517 +@1368 80800215 +@1369 003FED06 +@136A 1809883A +@136B 00068C80 +@136C 003FDE06 +@136D 20C0A00C +@136E 00808004 +@136F 18BFE026 +@1370 8809883A +@1371 800B883A +@1372 00076740 +@1373 8100030B +@1374 81400417 +@1375 003FDA06 +@1376 2080040C +@1377 103FED26 +@1378 2080010C +@1379 10001226 +@137A 81400C17 +@137B 28000526 +@137C 80801004 +@137D 28800226 +@137E 8809883A +@137F 0006C800 +@1380 80000C15 +@1381 8080030B +@1382 81400417 +@1383 80000115 +@1384 10BFF6CC +@1385 8080030D +@1386 81400015 +@1387 8080030B +@1388 10800214 +@1389 113FFFCC +@138A 8080030D +@138B 003FC306 +@138C 81400417 +@138D 003FF906 +@138E 28C00417 +@138F 20800417 +@1390 DEFFF104 +@1391 DFC00E15 +@1392 DF000D15 +@1393 DDC00C15 +@1394 DD800B15 +@1395 DD400A15 +@1396 DD000915 +@1397 DCC00815 +@1398 DC800715 +@1399 DC400615 +@139A DC000515 +@139B D9000315 +@139C D9400415 +@139D 10C07F16 +@139E 1D3FFFC4 +@139F D8C00417 +@13A0 D9000317 +@13A1 A505883A +@13A2 1085883A +@13A3 1CC00504 +@13A4 25C00504 +@13A5 98AD883A +@13A6 15C7883A +@13A7 B1400017 +@13A8 19000017 +@13A9 D8C00015 +@13AA 29400044 +@13AB D9000215 +@13AC 0002C2C0 +@13AD 1039883A +@13AE 10003D1E +@13AF D9400417 +@13B0 D9000317 +@13B1 00083A00 +@13B2 10002C16 +@13B3 E7000044 +@13B4 B80F883A +@13B5 0011883A +@13B6 0009883A +@13B7 99400017 +@13B8 38C00017 +@13B9 9CC00104 +@13BA 28BFFFCC +@13BB 2085883A +@13BC 11BFFFCC +@13BD 193FFFCC +@13BE 1004D43A +@13BF 280AD43A +@13C0 2189C83A +@13C1 2209883A +@13C2 1806D43A +@13C3 288B883A +@13C4 200DD43A +@13C5 28BFFFCC +@13C6 1887C83A +@13C7 1987883A +@13C8 3900000D +@13C9 38C0008D +@13CA 2808D43A +@13CB 39C00104 +@13CC 1811D43A +@13CD B4FFE92E +@13CE A505883A +@13CF 1085883A +@13D0 B885883A +@13D1 10C00017 +@13D2 18000C1E +@13D3 113FFF04 +@13D4 B900082E +@13D5 10BFFF17 +@13D6 10000326 +@13D7 00000506 +@13D8 20800017 +@13D9 1000031E +@13DA 213FFF04 +@13DB A53FFFC4 +@13DC B93FFB36 +@13DD D9000317 +@13DE 25000415 +@13DF E005883A +@13E0 DFC00E17 +@13E1 DF000D17 +@13E2 DDC00C17 +@13E3 DD800B17 +@13E4 DD400A17 +@13E5 DD000917 +@13E6 DCC00817 +@13E7 DC800717 +@13E8 DC400617 +@13E9 DC000517 +@13EA DEC00F04 +@13EB F800283A +@13EC B823883A +@13ED 9825883A +@13EE D8000115 +@13EF 002B883A +@13F0 94000017 +@13F1 E009883A +@13F2 94800104 +@13F3 817FFFCC +@13F4 0002C3C0 +@13F5 800AD43A +@13F6 E009883A +@13F7 A8A1883A +@13F8 0002C3C0 +@13F9 89000017 +@13FA 80FFFFCC +@13FB 8020D43A +@13FC 217FFFCC +@13FD 28CBC83A +@13FE D8C00117 +@13FF 2008D43A +@1400 1405883A +@1401 28CB883A +@1402 280DD43A +@1403 10FFFFCC +@1404 20C9C83A +@1405 2189883A +@1406 8900008D +@1407 2009D43A +@1408 8940000D +@1409 102AD43A +@140A 8C400104 +@140B D9000115 +@140C B4BFE32E +@140D D9000217 +@140E 203FA01E +@140F D8800017 +@1410 10FFFF04 +@1411 B8C0082E +@1412 10BFFF17 +@1413 10000326 +@1414 00000506 +@1415 18800017 +@1416 1000031E +@1417 18FFFF04 +@1418 A53FFFC4 +@1419 B8FFFB36 +@141A D8C00317 +@141B 1D000415 +@141C 003F9206 +@141D 0005883A +@141E 003FC106 +@141F 22001017 +@1420 DEFFDA04 +@1421 DD402115 +@1422 DD002015 +@1423 DC801E15 +@1424 DC401D15 +@1425 DFC02515 +@1426 DF002415 +@1427 DDC02315 +@1428 DD802215 +@1429 DCC01F15 +@142A DC001C15 +@142B D9001615 +@142C 3023883A +@142D 2829883A +@142E D9C01715 +@142F DC802817 +@1430 302B883A +@1431 40000A26 +@1432 20801117 +@1433 400B883A +@1434 40800115 +@1435 20C01117 +@1436 00800044 +@1437 10C4983A +@1438 40800215 +@1439 00082440 +@143A D8C01617 +@143B 18001015 +@143C 8800A316 +@143D 90000015 +@143E A8DFFC2C +@143F 009FFC34 +@1440 18809126 +@1441 000D883A +@1442 000F883A +@1443 A009883A +@1444 A80B883A +@1445 DD001215 +@1446 DD401315 +@1447 000B8C80 +@1448 1000171E +@1449 D9802717 +@144A 00800044 +@144B 30800015 +@144C D8802917 +@144D 10029B26 +@144E D9002917 +@144F 00800074 +@1450 1080F944 +@1451 10FFFFC4 +@1452 20800015 +@1453 1805883A +@1454 DFC02517 +@1455 DF002417 +@1456 DDC02317 +@1457 DD802217 +@1458 DD402117 +@1459 DD002017 +@145A DCC01F17 +@145B DC801E17 +@145C DC401D17 +@145D DC001C17 +@145E DEC02604 +@145F F800283A +@1460 D9001617 +@1461 D9401217 +@1462 D8800104 +@1463 A80D883A +@1464 D9C00204 +@1465 D8800015 +@1466 00088800 +@1467 D8800715 +@1468 A804D53A +@1469 1101FFCC +@146A 20008626 +@146B D8C01217 +@146C 00800434 +@146D 10BFFFC4 +@146E DDC00117 +@146F A884703A +@1470 1811883A +@1471 124FFC34 +@1472 25BF0044 +@1473 D8000815 +@1474 0005883A +@1475 00CFFE34 +@1476 480B883A +@1477 4009883A +@1478 180F883A +@1479 100D883A +@147A 000B1300 +@147B 0218DBF4 +@147C 4210D844 +@147D 024FF4F4 +@147E 4A61E9C4 +@147F 480F883A +@1480 400D883A +@1481 180B883A +@1482 1009883A +@1483 000B2240 +@1484 0222D874 +@1485 42322CC4 +@1486 024FF1F4 +@1487 4A628A04 +@1488 480F883A +@1489 400D883A +@148A 180B883A +@148B 1009883A +@148C 000B1B00 +@148D B009883A +@148E 1021883A +@148F 1823883A +@1490 000BAE80 +@1491 021427F4 +@1492 421E7EC4 +@1493 024FF4F4 +@1494 4A5104C4 +@1495 480F883A +@1496 400D883A +@1497 180B883A +@1498 1009883A +@1499 000B2240 +@149A 180F883A +@149B 880B883A +@149C 100D883A +@149D 8009883A +@149E 000B1B00 +@149F 1009883A +@14A0 180B883A +@14A1 1021883A +@14A2 1823883A +@14A3 000BBE00 +@14A4 000D883A +@14A5 000F883A +@14A6 8009883A +@14A7 880B883A +@14A8 D8800D15 +@14A9 000BA600 +@14AA 10031716 +@14AB D8C00D17 +@14AC 00800584 +@14AD 10C1482E +@14AE 01000044 +@14AF D9000C15 +@14B0 BD85C83A +@14B1 11BFFFC4 +@14B2 30030B16 +@14B3 D9800A15 +@14B4 D8001115 +@14B5 D8C00D17 +@14B6 1802FF16 +@14B7 D9000A17 +@14B8 D8C00915 +@14B9 D8001015 +@14BA 20C9883A +@14BB D9000A15 +@14BC D9001717 +@14BD 00800244 +@14BE 11004636 +@14BF 00800144 +@14C0 11020416 +@14C1 04400044 +@14C2 D8C01717 +@14C3 00800144 +@14C4 10C1ED36 +@14C5 18C5883A +@14C6 1085883A +@14C7 00C00034 +@14C8 18D4CC04 +@14C9 10C5883A +@14CA 11000017 +@14CB 2000683A +@14CC 0000541C +@14CD 0000541C +@14CE 00005E1C +@14CF 00005DF4 +@14D0 00005E38 +@14D1 00005E44 +@14D2 D9002717 +@14D3 0089C3C4 +@14D4 20800015 +@14D5 A0001026 +@14D6 00C00074 +@14D7 18C10504 +@14D8 D9802917 +@14D9 303F7926 +@14DA 188000C7 +@14DB 190000C4 +@14DC 1000101E +@14DD D8802917 +@14DE 11000015 +@14DF 003F7306 +@14E0 00A00034 +@14E1 10BFFFC4 +@14E2 00C00044 +@14E3 88AA703A +@14E4 90C00015 +@14E5 003F5806 +@14E6 00800434 +@14E7 10BFFFC4 +@14E8 A884703A +@14E9 103FEC1E +@14EA 00C00074 +@14EB 18C10204 +@14EC 003FEB06 +@14ED D8802917 +@14EE 19000204 +@14EF 11000015 +@14F0 003F6206 +@14F1 DDC00117 +@14F2 D8800217 +@14F3 01000804 +@14F4 B8C10C84 +@14F5 18A3883A +@14F6 2441B80E +@14F7 00C01004 +@14F8 1C47C83A +@14F9 88BFF804 +@14FA A8C6983A +@14FB A084D83A +@14FC 1888B03A +@14FD 000BCB80 +@14FE 1011883A +@14FF 00BF8434 +@1500 01000044 +@1501 10D3883A +@1502 8DBEF344 +@1503 D9000815 +@1504 003F6F06 +@1505 D8001715 +@1506 04400044 +@1507 00BFFFC4 +@1508 00C00044 +@1509 D8800E15 +@150A D8002615 +@150B D8800F15 +@150C D8C00B15 +@150D 1021883A +@150E D8801617 +@150F 10001115 +@1510 D8801617 +@1511 11401117 +@1512 1009883A +@1513 00087C40 +@1514 D8C01617 +@1515 D8800515 +@1516 18801015 +@1517 00800384 +@1518 14006836 +@1519 8805003A +@151A 1000661E +@151B D9000D17 +@151C 0102300E +@151D 208003CC +@151E 100490FA +@151F 2025D13A +@1520 00C00074 +@1521 18C11704 +@1522 10C5883A +@1523 90C0040C +@1524 14000017 +@1525 14400117 +@1526 18036A1E +@1527 05800084 +@1528 90001026 +@1529 04C00074 +@152A 9CC14904 +@152B 9080004C +@152C 1005003A +@152D 1000081E +@152E 99800017 +@152F 99C00117 +@1530 880B883A +@1531 8009883A +@1532 000B2240 +@1533 1021883A +@1534 B5800044 +@1535 1823883A +@1536 9025D07A +@1537 9CC00204 +@1538 903FF21E +@1539 A80B883A +@153A A009883A +@153B 880F883A +@153C 800D883A +@153D 000B5E80 +@153E 1029883A +@153F 182B883A +@1540 D8C00C17 +@1541 1805003A +@1542 1000081E +@1543 0005883A +@1544 00CFFC34 +@1545 180F883A +@1546 A009883A +@1547 A80B883A +@1548 100D883A +@1549 000BA600 +@154A 1003FE16 +@154B B009883A +@154C 000BAE80 +@154D 180B883A +@154E 1009883A +@154F A00D883A +@1550 A80F883A +@1551 000B2240 +@1552 0011883A +@1553 02500734 +@1554 1009883A +@1555 180B883A +@1556 480F883A +@1557 400D883A +@1558 000B1B00 +@1559 D9000F17 +@155A 102D883A +@155B 00BF3034 +@155C 18B9883A +@155D E02F883A +@155E 20028F1E +@155F 0005883A +@1560 00D00534 +@1561 A009883A +@1562 A80B883A +@1563 180F883A +@1564 100D883A +@1565 000B1300 +@1566 1009883A +@1567 E00F883A +@1568 180B883A +@1569 B00D883A +@156A 1025883A +@156B 1827883A +@156C 000B9500 +@156D 00834F16 +@156E E0E0003C +@156F 9009883A +@1570 980B883A +@1571 180F883A +@1572 B00D883A +@1573 000BA600 +@1574 1000080E +@1575 0027883A +@1576 0025883A +@1577 D8802617 +@1578 DF000517 +@1579 D8000615 +@157A 0084303A +@157B D8800D15 +@157C 00019B06 +@157D D9801217 +@157E D8801317 +@157F 3029883A +@1580 102B883A +@1581 D8C00217 +@1582 18008516 +@1583 D9000D17 +@1584 00800384 +@1585 11008216 +@1586 200490FA +@1587 D9802617 +@1588 00C00074 +@1589 18C11704 +@158A 10C5883A +@158B 14800017 +@158C 14C00117 +@158D 30031E16 +@158E D9000517 +@158F D8C00F17 +@1590 A823883A +@1591 A021883A +@1592 192B883A +@1593 2039883A +@1594 00000F06 +@1595 0005883A +@1596 00D00934 +@1597 5009883A +@1598 580B883A +@1599 180F883A +@159A 100D883A +@159B 000B2240 +@159C 180B883A +@159D 000D883A +@159E 000F883A +@159F 1009883A +@15A0 1021883A +@15A1 1823883A +@15A2 000B8C80 +@15A3 10004526 +@15A4 900D883A +@15A5 980F883A +@15A6 8009883A +@15A7 880B883A +@15A8 000B5E80 +@15A9 180B883A +@15AA 1009883A +@15AB 000BBE00 +@15AC 1009883A +@15AD 1029883A +@15AE 000BAE80 +@15AF 180F883A +@15B0 9009883A +@15B1 980B883A +@15B2 100D883A +@15B3 000B2240 +@15B4 180F883A +@15B5 880B883A +@15B6 8009883A +@15B7 100D883A +@15B8 000B1300 +@15B9 1015883A +@15BA A0800C04 +@15BB E0800005 +@15BC E7000044 +@15BD 1817883A +@15BE E57FD61E +@15BF 500D883A +@15C0 180F883A +@15C1 5009883A +@15C2 180B883A +@15C3 000B1B00 +@15C4 100D883A +@15C5 9009883A +@15C6 980B883A +@15C7 180F883A +@15C8 1021883A +@15C9 1823883A +@15CA 000BA600 +@15CB 10000816 +@15CC 980B883A +@15CD 800D883A +@15CE 880F883A +@15CF 9009883A +@15D0 000B8400 +@15D1 1000171E +@15D2 A080004C +@15D3 10001526 +@15D4 D8800D17 +@15D5 D8800415 +@15D6 E009883A +@15D7 213FFFC4 +@15D8 20C00007 +@15D9 00800E44 +@15DA 1880081E +@15DB D8800517 +@15DC 113FFA1E +@15DD D8C00417 +@15DE D9800517 +@15DF 00800C04 +@15E0 18C00044 +@15E1 D8C00415 +@15E2 30800005 +@15E3 20800003 +@15E4 D8C00417 +@15E5 27000044 +@15E6 10800044 +@15E7 D8C00D15 +@15E8 20800005 +@15E9 D9001617 +@15EA D9400717 +@15EB 00082440 +@15EC E0000005 +@15ED D9800D17 +@15EE D8C02717 +@15EF D9002917 +@15F0 30800044 +@15F1 18800015 +@15F2 20029C26 +@15F3 D8C00517 +@15F4 27000015 +@15F5 003E5D06 +@15F6 D9800D17 +@15F7 00C00074 +@15F8 18C11704 +@15F9 D9001217 +@15FA 300490FA +@15FB D9401317 +@15FC 10C5883A +@15FD 12000017 +@15FE 12400117 +@15FF 400D883A +@1600 480F883A +@1601 000BA600 +@1602 1000030E +@1603 D8800D17 +@1604 10BFFFC4 +@1605 D8800D15 +@1606 D8000C15 +@1607 003EA806 +@1608 D9000B17 +@1609 202CC03A +@160A B000C71E +@160B DC001117 +@160C DC801017 +@160D 0027883A +@160E 04000B0E +@160F D8C00A17 +@1610 00C0090E +@1611 8005883A +@1612 1C011316 +@1613 D9000A17 +@1614 D9801117 +@1615 80A1C83A +@1616 2089C83A +@1617 308DC83A +@1618 D9000A15 +@1619 D9801115 +@161A D8801017 +@161B 0080150E +@161C D8C00B17 +@161D 1805003A +@161E 1001C91E +@161F 04800E0E +@1620 D9001617 +@1621 980B883A +@1622 900D883A +@1623 00090900 +@1624 D9001617 +@1625 D9800717 +@1626 100B883A +@1627 1027883A +@1628 0008CD40 +@1629 D9001617 +@162A D9400717 +@162B 1023883A +@162C 00082440 +@162D DC400715 +@162E D9001017 +@162F 248DC83A +@1630 30010E1E +@1631 D9001617 +@1632 04400044 +@1633 880B883A +@1634 0008F280 +@1635 D9800917 +@1636 1025883A +@1637 0180040E +@1638 D9001617 +@1639 100B883A +@163A 00090900 +@163B 1025883A +@163C D8801717 +@163D 8880F30E +@163E 0023883A +@163F D9800917 +@1640 30019E1E +@1641 00C00044 +@1642 D9000A17 +@1643 20C5883A +@1644 10C007CC +@1645 1800841E +@1646 00800704 +@1647 D9000A17 +@1648 D9801117 +@1649 80A1883A +@164A 2089883A +@164B 308D883A +@164C D9000A15 +@164D D9801115 +@164E D8801117 +@164F 0080050E +@1650 D9400717 +@1651 D9001617 +@1652 100D883A +@1653 0008B880 +@1654 D8800715 +@1655 D8C00A17 +@1656 00C0050E +@1657 D9001617 +@1658 900B883A +@1659 180D883A +@165A 0008B880 +@165B 1025883A +@165C D9000C17 +@165D 2005003A +@165E 10016F26 +@165F D9000F17 +@1660 0102170E +@1661 D9800B17 +@1662 3005003A +@1663 1000881E +@1664 0400050E +@1665 D9001617 +@1666 980B883A +@1667 800D883A +@1668 0008B880 +@1669 1027883A +@166A 8804C03A +@166B 1002541E +@166C 980B883A +@166D DD800517 +@166E DCC00615 +@166F A700004C +@1670 2827883A +@1671 D9000717 +@1672 900B883A +@1673 0004E380 +@1674 D9000717 +@1675 D9400617 +@1676 1023883A +@1677 8DC00C04 +@1678 00083A00 +@1679 D9001617 +@167A 900B883A +@167B 980D883A +@167C 1029883A +@167D 00089FC0 +@167E 102B883A +@167F 10800317 +@1680 1001281E +@1681 D9000717 +@1682 A80B883A +@1683 00083A00 +@1684 D9001617 +@1685 1021883A +@1686 A80B883A +@1687 00082440 +@1688 8000041E +@1689 D8801717 +@168A 1000021E +@168B E004C03A +@168C 10011726 +@168D A0010616 +@168E A000041E +@168F D8C01717 +@1690 1800021E +@1691 E004C03A +@1692 10010126 +@1693 04023D16 +@1694 B5C00005 +@1695 D9800517 +@1696 D9000F17 +@1697 B5800044 +@1698 3105883A +@1699 B0806526 +@169A D9400717 +@169B D9001617 +@169C 01800284 +@169D 000F883A +@169E 0008F640 +@169F D8800715 +@16A0 D8800617 +@16A1 14C10C26 +@16A2 D9400617 +@16A3 D9001617 +@16A4 01800284 +@16A5 000F883A +@16A6 0008F640 +@16A7 D9001617 +@16A8 980B883A +@16A9 01800284 +@16AA 000F883A +@16AB D8800615 +@16AC 0008F640 +@16AD 1027883A +@16AE 003FC206 +@16AF 2445C83A +@16B0 A088983A +@16B1 003E4B06 +@16B2 01BFFFC4 +@16B3 00800044 +@16B4 D9800E15 +@16B5 D9800F15 +@16B6 D8800B15 +@16B7 D8C01617 +@16B8 008005C4 +@16B9 18001115 +@16BA 1580082E +@16BB 00C00104 +@16BC 0009883A +@16BD 18C7883A +@16BE 18800504 +@16BF 21000044 +@16C0 B0BFFC2E +@16C1 D9801617 +@16C2 31001115 +@16C3 DC000F17 +@16C4 003E4B06 +@16C5 D9801717 +@16C6 0023883A +@16C7 31BFFF04 +@16C8 D9801715 +@16C9 003DF806 +@16CA 00800804 +@16CB 10C9C83A +@16CC 00C00104 +@16CD 19005A16 +@16CE 008000C4 +@16CF 113F7E16 +@16D0 20800704 +@16D1 003F7506 +@16D2 D9801717 +@16D3 00800044 +@16D4 1180A10E +@16D5 D9800F17 +@16D6 D8C01017 +@16D7 30BFFFC4 +@16D8 1881C616 +@16D9 18A5C83A +@16DA D8800F17 +@16DB 10026216 +@16DC DC001117 +@16DD 1007883A +@16DE D9800A17 +@16DF D8801117 +@16E0 D9001617 +@16E1 30CD883A +@16E2 10C5883A +@16E3 01400044 +@16E4 D9800A15 +@16E5 D8801115 +@16E6 0008F280 +@16E7 1027883A +@16E8 003F2506 +@16E9 00C00074 +@16EA 18C0F904 +@16EB 003D6706 +@16EC DD800517 +@16ED 04000044 +@16EE 00000706 +@16EF D9400717 +@16F0 D9001617 +@16F1 01800284 +@16F2 000F883A +@16F3 0008F640 +@16F4 D8800715 +@16F5 84000044 +@16F6 D9000717 +@16F7 900B883A +@16F8 0004E380 +@16F9 15C00C04 +@16FA B5C00005 +@16FB D8C00F17 +@16FC B5800044 +@16FD 80FFF116 +@16FE D8000615 +@16FF D9400717 +@1700 D9001617 +@1701 01800044 +@1702 0008B880 +@1703 1009883A +@1704 900B883A +@1705 D8800715 +@1706 00083A00 +@1707 00803C0E +@1708 B009883A +@1709 213FFFC4 +@170A 21400003 +@170B 00800E44 +@170C 28C03FCC +@170D 18C0201C +@170E 18FFE004 +@170F 1881981E +@1710 D9800517 +@1711 21BFF71E +@1712 D8800D17 +@1713 37000044 +@1714 10800044 +@1715 D8800D15 +@1716 00800C44 +@1717 30800005 +@1718 D9001617 +@1719 900B883A +@171A 00082440 +@171B 983ECD26 +@171C D8C00617 +@171D 18000426 +@171E 1CC00326 +@171F D9001617 +@1720 180B883A +@1721 00082440 +@1722 D9001617 +@1723 980B883A +@1724 00082440 +@1725 003EC306 +@1726 1805883A +@1727 003EEB06 +@1728 D9800A17 +@1729 D8C01117 +@172A 20BFFF04 +@172B 308D883A +@172C 1887883A +@172D 80A1883A +@172E D9800A15 +@172F D8C01115 +@1730 003F1D06 +@1731 A03F0C1E +@1732 00800434 +@1733 10BFFFC4 +@1734 A884703A +@1735 103F081E +@1736 A89FFC2C +@1737 103F0626 +@1738 D8C01117 +@1739 D9000A17 +@173A 18C00044 +@173B 21000044 +@173C D8C01115 +@173D D9000A15 +@173E 003F0006 +@173F D9400717 +@1740 D9001617 +@1741 00090900 +@1742 D8800715 +@1743 003EED06 +@1744 1000021E +@1745 B880004C +@1746 103FC11E +@1747 B5BFFFC4 +@1748 B0C00007 +@1749 00800C04 +@174A 18BFFC26 +@174B B7000044 +@174C 003FCB06 +@174D D9800D17 +@174E 018FC83A +@174F 3801F726 +@1750 398003CC +@1751 300C90FA +@1752 01400074 +@1753 29411704 +@1754 D9001217 +@1755 314D883A +@1756 30C00117 +@1757 30800017 +@1758 D9401317 +@1759 3821D13A +@175A 100D883A +@175B 180F883A +@175C 000B2240 +@175D 1011883A +@175E 1813883A +@175F 1029883A +@1760 182B883A +@1761 8001E526 +@1762 05800084 +@1763 04400074 +@1764 8C414904 +@1765 8080004C +@1766 1005003A +@1767 1000081E +@1768 89800017 +@1769 89C00117 +@176A 480B883A +@176B 4009883A +@176C 000B2240 +@176D 1011883A +@176E B5800044 +@176F 1813883A +@1770 8021D07A +@1771 8C400204 +@1772 803FF21E +@1773 4029883A +@1774 482B883A +@1775 003DCA06 +@1776 D9000817 +@1777 2005003A +@1778 1001F61E +@1779 DC001117 +@177A DC801017 +@177B 18C10CC4 +@177C 003F6106 +@177D D8000B15 +@177E D9802617 +@177F D8C00D17 +@1780 30800044 +@1781 18AD883A +@1782 B13FFFC4 +@1783 D9000E15 +@1784 0581F60E +@1785 DD800F15 +@1786 003F3006 +@1787 D8000B15 +@1788 D9002617 +@1789 0101EB0E +@178A 202D883A +@178B D9000E15 +@178C D9000F15 +@178D 003F2906 +@178E 01800044 +@178F D9800B15 +@1790 003FF706 +@1791 01000044 +@1792 D9000B15 +@1793 003FEA06 +@1794 04000C0E +@1795 D9400717 +@1796 D9001617 +@1797 01800044 +@1798 0008B880 +@1799 1009883A +@179A 900B883A +@179B D8800715 +@179C 00083A00 +@179D 0081E00E +@179E BDC00044 +@179F 00800E84 +@17A0 B881A226 +@17A1 B7000044 +@17A2 B5C00005 +@17A3 003F7406 +@17A4 00800E44 +@17A5 B8819D26 +@17A6 053FFA0E +@17A7 8DC00C44 +@17A8 003FF806 +@17A9 D9001617 +@17AA A80B883A +@17AB 04000044 +@17AC 00082440 +@17AD 003EDF06 +@17AE D9001617 +@17AF 980B883A +@17B0 01800284 +@17B1 000F883A +@17B2 0008F640 +@17B3 1027883A +@17B4 D8800615 +@17B5 003EBB06 +@17B6 D9801117 +@17B7 D8800D17 +@17B8 D8000915 +@17B9 308DC83A +@17BA 0087C83A +@17BB D9801115 +@17BC D8C01015 +@17BD 003CFE06 +@17BE 018DC83A +@17BF D9801115 +@17C0 D8000A15 +@17C1 003CF306 +@17C2 D9000D17 +@17C3 000BAE80 +@17C4 880B883A +@17C5 8009883A +@17C6 180F883A +@17C7 100D883A +@17C8 000B8C80 +@17C9 103CE126 +@17CA D9800D17 +@17CB 31BFFFC4 +@17CC D9800D15 +@17CD 003CDD06 +@17CE D9000717 +@17CF 900B883A +@17D0 00083A00 +@17D1 103E8D0E +@17D2 D9400717 +@17D3 D9001617 +@17D4 01800284 +@17D5 000F883A +@17D6 0008F640 +@17D7 D9800D17 +@17D8 D8800715 +@17D9 31BFFFC4 +@17DA D9800D15 +@17DB B001A71E +@17DC D8800E17 +@17DD D8800F15 +@17DE 003E8006 +@17DF 90800417 +@17E0 1085883A +@17E1 1085883A +@17E2 1485883A +@17E3 11000417 +@17E4 000826C0 +@17E5 00C00804 +@17E6 1887C83A +@17E7 003E5A06 +@17E8 D9400717 +@17E9 D9801017 +@17EA D9001617 +@17EB 00090900 +@17EC D8800715 +@17ED 003E4306 +@17EE D9800F17 +@17EF D8800D17 +@17F0 D9800315 +@17F1 D8800415 +@17F2 D8C00B17 +@17F3 1805003A +@17F4 1000E21E +@17F5 D9000317 +@17F6 0005883A +@17F7 00CFF834 +@17F8 200C90FA +@17F9 01000074 +@17FA 21011704 +@17FB 180B883A +@17FC 310D883A +@17FD 327FFF17 +@17FE 323FFE17 +@17FF 1009883A +@1800 480F883A +@1801 400D883A +@1802 000B5E80 +@1803 180B883A +@1804 B00D883A +@1805 B80F883A +@1806 1009883A +@1807 000B1300 +@1808 A80B883A +@1809 A009883A +@180A D8C01915 +@180B D8801815 +@180C 000BBE00 +@180D 1009883A +@180E 1027883A +@180F 000BAE80 +@1810 A80B883A +@1811 A009883A +@1812 180F883A +@1813 100D883A +@1814 000B1300 +@1815 D9801817 +@1816 1823883A +@1817 D8801415 +@1818 302D883A +@1819 D9800517 +@181A 9CC00C04 +@181B DC401515 +@181C D8C01917 +@181D 34C00005 +@181E D8800517 +@181F D9401917 +@1820 D9801417 +@1821 B009883A +@1822 880F883A +@1823 182F883A +@1824 17000044 +@1825 000B9500 +@1826 00804E16 +@1827 D9801417 +@1828 0005883A +@1829 00CFFC34 +@182A 180B883A +@182B 880F883A +@182C 1009883A +@182D 000B1300 +@182E D9401917 +@182F 180F883A +@1830 B009883A +@1831 100D883A +@1832 000B9500 +@1833 00BDA216 +@1834 D8C00317 +@1835 00800044 +@1836 10C01216 +@1837 003D4506 +@1838 D9801417 +@1839 0005883A +@183A 00CFFC34 +@183B 180B883A +@183C 880F883A +@183D 1009883A +@183E 000B1300 +@183F D9C01B17 +@1840 180B883A +@1841 1009883A +@1842 B00D883A +@1843 000BA600 +@1844 103D9116 +@1845 D9800517 +@1846 D9000317 +@1847 3105883A +@1848 E0BD3426 +@1849 04500934 +@184A 0021883A +@184B B80B883A +@184C B009883A +@184D 800D883A +@184E 880F883A +@184F 000B2240 +@1850 D9401517 +@1851 D9001417 +@1852 880F883A +@1853 000D883A +@1854 D8801A15 +@1855 D8C01B15 +@1856 000B2240 +@1857 180B883A +@1858 1009883A +@1859 1823883A +@185A 1021883A +@185B 000BBE00 +@185C 1009883A +@185D 102B883A +@185E 000BAE80 +@185F 880B883A +@1860 8009883A +@1861 180F883A +@1862 100D883A +@1863 000B1300 +@1864 1021883A +@1865 D9001B17 +@1866 1823883A +@1867 DC001415 +@1868 AD400C04 +@1869 DC401515 +@186A D8801A17 +@186B E5400005 +@186C 202F883A +@186D D9C01B17 +@186E D9001417 +@186F 880B883A +@1870 100D883A +@1871 102D883A +@1872 E7000044 +@1873 000BA600 +@1874 103FC30E +@1875 D9000417 +@1876 D9000D15 +@1877 003D7106 +@1878 D9801717 +@1879 00800084 +@187A 11BDE60E +@187B 203CFB1E +@187C D9001617 +@187D 900B883A +@187E 01800144 +@187F 000F883A +@1880 0008F640 +@1881 D9000717 +@1882 100B883A +@1883 1025883A +@1884 00083A00 +@1885 00BCF10E +@1886 D8C00D17 +@1887 D9000517 +@1888 D8000615 +@1889 18C00044 +@188A D8C00D15 +@188B 00800C44 +@188C 27000044 +@188D 20800005 +@188E 003E8906 +@188F D8C00517 +@1890 003BC206 +@1891 01800074 +@1892 31814904 +@1893 30C00917 +@1894 30800817 +@1895 D9001217 +@1896 D9401317 +@1897 180F883A +@1898 100D883A +@1899 000B5E80 +@189A 948003CC +@189B 058000C4 +@189C 1029883A +@189D 182B883A +@189E 003C8906 +@189F D9001017 +@18A0 D9800917 +@18A1 0025883A +@18A2 1105C83A +@18A3 2089883A +@18A4 308D883A +@18A5 D9001015 +@18A6 D9800915 +@18A7 003E3206 +@18A8 28800044 +@18A9 27000044 +@18AA 20800005 +@18AB 003E6C06 +@18AC D8800F17 +@18AD 00BCE016 +@18AE D9800F17 +@18AF 303CC51E +@18B0 0005883A +@18B1 00D00534 +@18B2 980B883A +@18B3 180F883A +@18B4 9009883A +@18B5 100D883A +@18B6 000B2240 +@18B7 180B883A +@18B8 A80F883A +@18B9 1009883A +@18BA A00D883A +@18BB 000B9D80 +@18BC 103CB80E +@18BD 0027883A +@18BE 0025883A +@18BF 003FC606 +@18C0 99400117 +@18C1 D9001617 +@18C2 00087C40 +@18C3 99800417 +@18C4 11000304 +@18C5 99400304 +@18C6 318D883A +@18C7 318D883A +@18C8 31800204 +@18C9 1023883A +@18CA 000802C0 +@18CB D9001617 +@18CC 880B883A +@18CD 01800044 +@18CE 0008B880 +@18CF 100B883A +@18D0 003D9C06 +@18D1 00800E44 +@18D2 B8807026 +@18D3 B8800044 +@18D4 B7000044 +@18D5 B0800005 +@18D6 003E4106 +@18D7 D8800317 +@18D8 01800074 +@18D9 31811704 +@18DA B009883A +@18DB 100E90FA +@18DC B80B883A +@18DD 398F883A +@18DE 38BFFE17 +@18DF D9800517 +@18E0 38FFFF17 +@18E1 37000044 +@18E2 180F883A +@18E3 100D883A +@18E4 000B2240 +@18E5 A80B883A +@18E6 A009883A +@18E7 182F883A +@18E8 102D883A +@18E9 000BBE00 +@18EA 1009883A +@18EB 1027883A +@18EC 000BAE80 +@18ED A80B883A +@18EE A009883A +@18EF 180F883A +@18F0 100D883A +@18F1 000B1300 +@18F2 180B883A +@18F3 D8C00517 +@18F4 9CC00C04 +@18F5 1009883A +@18F6 1CC00005 +@18F7 2021883A +@18F8 D9000317 +@18F9 00800044 +@18FA 2823883A +@18FB 20802226 +@18FC 1029883A +@18FD 0005883A +@18FE 00D00934 +@18FF 180F883A +@1900 100D883A +@1901 880B883A +@1902 8009883A +@1903 000B2240 +@1904 180B883A +@1905 1009883A +@1906 1823883A +@1907 1021883A +@1908 000BBE00 +@1909 1009883A +@190A 102B883A +@190B 000BAE80 +@190C 880B883A +@190D 8009883A +@190E 180F883A +@190F 100D883A +@1910 000B1300 +@1911 180B883A +@1912 D8C00517 +@1913 1009883A +@1914 AD400C04 +@1915 1D05883A +@1916 15400005 +@1917 2021883A +@1918 D9000317 +@1919 A5000044 +@191A 2823883A +@191B A13FE11E +@191C E505883A +@191D 173FFFC4 +@191E 0025883A +@191F 04CFF834 +@1920 B009883A +@1921 B80B883A +@1922 900D883A +@1923 980F883A +@1924 000B1B00 +@1925 180B883A +@1926 1009883A +@1927 800D883A +@1928 880F883A +@1929 000BA600 +@192A 103CAB16 +@192B 0009883A +@192C 980B883A +@192D B80F883A +@192E B00D883A +@192F 000B1300 +@1930 180B883A +@1931 880F883A +@1932 1009883A +@1933 800D883A +@1934 000B9500 +@1935 00BC470E +@1936 00C00C04 +@1937 E73FFFC4 +@1938 E0800007 +@1939 10FFFD26 +@193A D9800417 +@193B E7000044 +@193C D9800D15 +@193D 003CAB06 +@193E D8C00F17 +@193F D9001117 +@1940 20E1C83A +@1941 0007883A +@1942 003D9B06 +@1943 00800E44 +@1944 B0800005 +@1945 B5800044 +@1946 003DC106 +@1947 05800084 +@1948 003BF706 +@1949 D9000F17 +@194A 013C000E +@194B D9800E17 +@194C 01BC300E +@194D 0005883A +@194E 00D00934 +@194F A80B883A +@1950 180F883A +@1951 A009883A +@1952 100D883A +@1953 000B2240 +@1954 B1000044 +@1955 1021883A +@1956 1823883A +@1957 000BAE80 +@1958 880B883A +@1959 8009883A +@195A 180F883A +@195B 100D883A +@195C 000B2240 +@195D 0011883A +@195E 02500734 +@195F 180B883A +@1960 480F883A +@1961 1009883A +@1962 400D883A +@1963 000B1B00 +@1964 102D883A +@1965 00BF3034 +@1966 10EF883A +@1967 D8800D17 +@1968 D8C00E17 +@1969 8029883A +@196A 10BFFFC4 +@196B 882B883A +@196C D8800415 +@196D D8C00315 +@196E 003E8306 +@196F D8800117 +@1970 DC001117 +@1971 DC801017 +@1972 00C00D84 +@1973 1887C83A +@1974 003D6906 +@1975 01800044 +@1976 3021883A +@1977 D9800F15 +@1978 D9802615 +@1979 D9800E15 +@197A 003B9306 +@197B B021883A +@197C DD800F15 +@197D 003B9006 +@197E 103E221E +@197F B880004C +@1980 1005003A +@1981 103E1F1E +@1982 003E1B06 +@1983 D9001617 +@1984 980B883A +@1985 01800284 +@1986 000F883A +@1987 0008F640 +@1988 D8C00E17 +@1989 1027883A +@198A D8C00F15 +@198B 003CD306 +@198C DEFFFB04 +@198D DCC00315 +@198E DC800215 +@198F DFC00415 +@1990 DC400115 +@1991 DC000015 +@1992 2027883A +@1993 2825883A +@1994 20000226 +@1995 20800E17 +@1996 10005626 +@1997 9100030B +@1998 20FFFFCC +@1999 18E0001C +@199A 18E00004 +@199B 1880020C +@199C 1000261E +@199D 90C00117 +@199E 20820014 +@199F 9080030D +@19A0 1009883A +@19A1 00C0400E +@19A2 92000A17 +@19A3 40004026 +@19A4 2084000C +@19A5 10005326 +@19A6 94001417 +@19A7 9080030B +@19A8 1080010C +@19A9 1000481E +@19AA 91400717 +@19AB 9809883A +@19AC 800D883A +@19AD 000F883A +@19AE 403EE83A +@19AF 8080261E +@19B0 9080030B +@19B1 91000417 +@19B2 90000115 +@19B3 10BDFFCC +@19B4 10FFFFCC +@19B5 18C4000C +@19B6 9080030D +@19B7 91000015 +@19B8 18002B26 +@19B9 0007883A +@19BA 1805883A +@19BB 94001415 +@19BC DFC00417 +@19BD DCC00317 +@19BE DC800217 +@19BF DC400117 +@19C0 DC000017 +@19C1 DEC00504 +@19C2 F800283A +@19C3 94400417 +@19C4 88001F26 +@19C5 90800017 +@19C6 18C000CC +@19C7 94400015 +@19C8 1461C83A +@19C9 18002526 +@19CA 0005883A +@19CB 90800215 +@19CC 0400170E +@19CD 90C00917 +@19CE 91400717 +@19CF 880D883A +@19D0 800F883A +@19D1 9809883A +@19D2 183EE83A +@19D3 88A3883A +@19D4 80A1C83A +@19D5 00BFF616 +@19D6 9080030B +@19D7 00FFFFC4 +@19D8 10801014 +@19D9 9080030D +@19DA 1805883A +@19DB DFC00417 +@19DC DCC00317 +@19DD DC800217 +@19DE DC400117 +@19DF DC000017 +@19E0 DEC00504 +@19E1 F800283A +@19E2 90800F17 +@19E3 00BFBE16 +@19E4 0007883A +@19E5 1805883A +@19E6 DFC00417 +@19E7 DCC00317 +@19E8 DC800217 +@19E9 DC400117 +@19EA DC000017 +@19EB DEC00504 +@19EC F800283A +@19ED 00068C80 +@19EE 003FA806 +@19EF 90800517 +@19F0 90800215 +@19F1 003FDA06 +@19F2 90800117 +@19F3 90C00C17 +@19F4 80A1C83A +@19F5 183FB426 +@19F6 90800F17 +@19F7 80A1C83A +@19F8 003FB106 +@19F9 91400717 +@19FA 9809883A +@19FB 000D883A +@19FC 01C00044 +@19FD 403EE83A +@19FE 1021883A +@19FF 00BFFFC4 +@1A00 80800226 +@1A01 92000A17 +@1A02 003FA406 +@1A03 98C00017 +@1A04 00800744 +@1A05 18BFDE26 +@1A06 9080030B +@1A07 8007883A +@1A08 10801014 +@1A09 9080030D +@1A0A 003FCF06 +@1A0B 01400034 +@1A0C 29598C04 +@1A0D 2007883A +@1A0E 20000526 +@1A0F 00800074 +@1A10 10888604 +@1A11 11000017 +@1A12 180B883A +@1A13 00066301 +@1A14 00800074 +@1A15 10888704 +@1A16 11000017 +@1A17 00074301 +@1A18 00800074 +@1A19 10A66904 +@1A1A 20800B15 +@1A1B 00800074 +@1A1C 10A6A404 +@1A1D 20800815 +@1A1E 00C00074 +@1A1F 18E68504 +@1A20 00800074 +@1A21 10A66B04 +@1A22 2140030D +@1A23 2180038D +@1A24 20C00915 +@1A25 20800A15 +@1A26 20000015 +@1A27 20000115 +@1A28 20000215 +@1A29 20000415 +@1A2A 20000515 +@1A2B 20000615 +@1A2C 21000715 +@1A2D F800283A +@1A2E F800283A +@1A2F F800283A +@1A30 F800283A +@1A31 F800283A +@1A32 20800E17 +@1A33 DEFFFD04 +@1A34 DC400115 +@1A35 DC000015 +@1A36 DFC00215 +@1A37 04400044 +@1A38 01400104 +@1A39 000D883A +@1A3A 2021883A +@1A3B 2200BB04 +@1A3C 200F883A +@1A3D 10000526 +@1A3E DFC00217 +@1A3F DC400117 +@1A40 DC000017 +@1A41 DEC00304 +@1A42 F800283A +@1A43 21000117 +@1A44 00800034 +@1A45 109A6B04 +@1A46 00C000C4 +@1A47 80800F15 +@1A48 80C0B915 +@1A49 8200BA15 +@1A4A 84400E15 +@1A4B 8000B815 +@1A4C 00068600 +@1A4D 81000217 +@1A4E 880D883A +@1A4F 800F883A +@1A50 01400284 +@1A51 00068600 +@1A52 81000317 +@1A53 800F883A +@1A54 01400484 +@1A55 01800084 +@1A56 DFC00217 +@1A57 DC400117 +@1A58 DC000017 +@1A59 DEC00304 +@1A5A 00068601 +@1A5B 0005883A +@1A5C F800283A +@1A5D 0005883A +@1A5E F800283A +@1A5F 00800074 +@1A60 10888604 +@1A61 11000017 +@1A62 01400034 +@1A63 295A5D04 +@1A64 00074F81 +@1A65 00800074 +@1A66 10888604 +@1A67 11000017 +@1A68 01400034 +@1A69 295A5B04 +@1A6A 00074F81 +@1A6B 01400074 +@1A6C 29679B04 +@1A6D 00074F81 +@1A6E 00800074 +@1A6F 10888704 +@1A70 11000017 +@1A71 00069AC1 +@1A72 DEFFFC04 +@1A73 DC000015 +@1A74 2821883A +@1A75 DC400115 +@1A76 01401704 +@1A77 2023883A +@1A78 8009883A +@1A79 DFC00315 +@1A7A DCC00215 +@1A7B 0002C3C0 +@1A7C 11400304 +@1A7D 8809883A +@1A7E 1027883A +@1A7F 00078080 +@1A80 10C00304 +@1A81 1023883A +@1A82 1809883A +@1A83 980D883A +@1A84 000B883A +@1A85 10000B26 +@1A86 14000115 +@1A87 10C00215 +@1A88 10000015 +@1A89 00081AC0 +@1A8A 8805883A +@1A8B DFC00317 +@1A8C DCC00217 +@1A8D DC400117 +@1A8E DC000017 +@1A8F DEC00404 +@1A90 F800283A +@1A91 0023883A +@1A92 8805883A +@1A93 DFC00317 +@1A94 DCC00217 +@1A95 DC400117 +@1A96 DC000017 +@1A97 DEC00404 +@1A98 F800283A +@1A99 DEFFFD04 +@1A9A 00800074 +@1A9B 10888704 +@1A9C DC000015 +@1A9D 14000017 +@1A9E DC400115 +@1A9F DFC00215 +@1AA0 80800E17 +@1AA1 2023883A +@1AA2 10002626 +@1AA3 8400B804 +@1AA4 80800117 +@1AA5 81000217 +@1AA6 10FFFFC4 +@1AA7 18000916 +@1AA8 2080030F +@1AA9 10000B26 +@1AAA 017FFFC4 +@1AAB 00000206 +@1AAC 2080030F +@1AAD 10000726 +@1AAE 18FFFFC4 +@1AAF 21001704 +@1AB0 197FFB1E +@1AB1 80800017 +@1AB2 10001926 +@1AB3 1021883A +@1AB4 003FEF06 +@1AB5 00BFFFC4 +@1AB6 00C00044 +@1AB7 2080038D +@1AB8 20C0030D +@1AB9 20000015 +@1ABA 20000215 +@1ABB 20000115 +@1ABC 20000415 +@1ABD 20000515 +@1ABE 20000615 +@1ABF 20000C15 +@1AC0 20000D15 +@1AC1 20001115 +@1AC2 20001215 +@1AC3 2005883A +@1AC4 DFC00217 +@1AC5 DC400117 +@1AC6 DC000017 +@1AC7 DEC00304 +@1AC8 F800283A +@1AC9 8009883A +@1ACA 00068C80 +@1ACB 003FD706 +@1ACC 8809883A +@1ACD 01400104 +@1ACE 00069C80 +@1ACF 80800015 +@1AD0 103FE21E +@1AD1 00800304 +@1AD2 0009883A +@1AD3 88800015 +@1AD4 003FEE06 +@1AD5 DEFFFB04 +@1AD6 DCC00315 +@1AD7 04C00074 +@1AD8 9CC2B804 +@1AD9 DC800215 +@1ADA DC400115 +@1ADB DC000015 +@1ADC 2823883A +@1ADD 2025883A +@1ADE DFC00415 +@1ADF 000CB980 +@1AE0 98800217 +@1AE1 9009883A +@1AE2 000B883A +@1AE3 10C00117 +@1AE4 00BFFF04 +@1AE5 18A0703A +@1AE6 8463C83A +@1AE7 8C43FBC4 +@1AE8 8822D33A +@1AE9 0083FFC4 +@1AEA 8C7FFFC4 +@1AEB 8822933A +@1AEC 1440060E +@1AED 00099340 +@1AEE 98C00217 +@1AEF 9009883A +@1AF0 044BC83A +@1AF1 80C7883A +@1AF2 10C00926 +@1AF3 000CBB80 +@1AF4 0005883A +@1AF5 DFC00417 +@1AF6 DCC00317 +@1AF7 DC800217 +@1AF8 DC400117 +@1AF9 DC000017 +@1AFA DEC00504 +@1AFB F800283A +@1AFC 9009883A +@1AFD 00099340 +@1AFE 844DC83A +@1AFF 00FFFFC4 +@1B00 9009883A +@1B01 000B883A +@1B02 01C00074 +@1B03 39D0CB04 +@1B04 31800054 +@1B05 10C00926 +@1B06 38800017 +@1B07 98C00217 +@1B08 9009883A +@1B09 1445C83A +@1B0A 38800015 +@1B0B 19800115 +@1B0C 000CBB80 +@1B0D 00800044 +@1B0E 003FE606 +@1B0F 00099340 +@1B10 99800217 +@1B11 100F883A +@1B12 9009883A +@1B13 1187C83A +@1B14 008003C4 +@1B15 19400054 +@1B16 10FFDC0E +@1B17 00800074 +@1B18 10888B04 +@1B19 10C00017 +@1B1A 00800074 +@1B1B 1090CB04 +@1B1C 31400115 +@1B1D 38C7C83A +@1B1E 10C00015 +@1B1F 003FD306 +@1B20 DEFFFD04 +@1B21 DC400115 +@1B22 DC000015 +@1B23 DFC00215 +@1B24 2821883A +@1B25 2023883A +@1B26 28005A26 +@1B27 000CB980 +@1B28 823FFE04 +@1B29 41400117 +@1B2A 00BFFF84 +@1B2B 02800074 +@1B2C 5282B804 +@1B2D 288E703A +@1B2E 41CD883A +@1B2F 30C00117 +@1B30 51000217 +@1B31 00BFFF04 +@1B32 1892703A +@1B33 5017883A +@1B34 31006726 +@1B35 2880004C +@1B36 1005003A +@1B37 32400115 +@1B38 10001A1E +@1B39 000B883A +@1B3A 3247883A +@1B3B 18800117 +@1B3C 1080004C +@1B3D 1000231E +@1B3E 280AC03A +@1B3F 3A4F883A +@1B40 2800451E +@1B41 31000217 +@1B42 00800074 +@1B43 1082BA04 +@1B44 20807B26 +@1B45 30800317 +@1B46 3A07883A +@1B47 19C00015 +@1B48 11000215 +@1B49 20800315 +@1B4A 38800054 +@1B4B 40800115 +@1B4C 28001A26 +@1B4D 8809883A +@1B4E DFC00217 +@1B4F DC400117 +@1B50 DC000017 +@1B51 DEC00304 +@1B52 000CBB81 +@1B53 80BFFE17 +@1B54 50C00204 +@1B55 4091C83A +@1B56 41000217 +@1B57 388F883A +@1B58 20C06126 +@1B59 40800317 +@1B5A 3247883A +@1B5B 000B883A +@1B5C 11000215 +@1B5D 20800315 +@1B5E 18800117 +@1B5F 1080004C +@1B60 103FDD26 +@1B61 38800054 +@1B62 3A07883A +@1B63 280AC03A +@1B64 40800115 +@1B65 19C00015 +@1B66 283FE61E +@1B67 00807FC4 +@1B68 11C01F2E +@1B69 3806D27A +@1B6A 1800481E +@1B6B 3804D0FA +@1B6C 100690FA +@1B6D 1ACD883A +@1B6E 31400217 +@1B6F 31405926 +@1B70 28800117 +@1B71 00FFFF04 +@1B72 10C4703A +@1B73 3880022E +@1B74 29400217 +@1B75 317FFA1E +@1B76 29800317 +@1B77 41800315 +@1B78 41400215 +@1B79 8809883A +@1B7A 2A000315 +@1B7B 32000215 +@1B7C DFC00217 +@1B7D DC400117 +@1B7E DC000017 +@1B7F DEC00304 +@1B80 000CBB81 +@1B81 DFC00217 +@1B82 DC400117 +@1B83 DC000017 +@1B84 DEC00304 +@1B85 F800283A +@1B86 31000217 +@1B87 003FBD06 +@1B88 3806D0FA +@1B89 00800044 +@1B8A 51400117 +@1B8B 180890FA +@1B8C 1807D0BA +@1B8D 22C9883A +@1B8E 21800217 +@1B8F 10C4983A +@1B90 41000315 +@1B91 41800215 +@1B92 288AB03A +@1B93 22000215 +@1B94 8809883A +@1B95 51400115 +@1B96 32000315 +@1B97 DFC00217 +@1B98 DC400117 +@1B99 DC000017 +@1B9A DEC00304 +@1B9B 000CBB81 +@1B9C 2880004C +@1B9D 3A4D883A +@1B9E 1000071E +@1B9F 80BFFE17 +@1BA0 4091C83A +@1BA1 41000317 +@1BA2 40C00217 +@1BA3 308D883A +@1BA4 20C00215 +@1BA5 19000315 +@1BA6 00800074 +@1BA7 10888A04 +@1BA8 11000017 +@1BA9 30C00054 +@1BAA 52000215 +@1BAB 40C00115 +@1BAC 313FA036 +@1BAD 00800074 +@1BAE 108F8104 +@1BAF 11400017 +@1BB0 8809883A +@1BB1 0006B540 +@1BB2 003F9A06 +@1BB3 00800104 +@1BB4 10C0072E +@1BB5 00800504 +@1BB6 10C01936 +@1BB7 188016C4 +@1BB8 100690FA +@1BB9 003FB306 +@1BBA 01400044 +@1BBB 003F7E06 +@1BBC 3804D1BA +@1BBD 10800E04 +@1BBE 100690FA +@1BBF 003FAD06 +@1BC0 22000315 +@1BC1 22000215 +@1BC2 3A05883A +@1BC3 38C00054 +@1BC4 11C00015 +@1BC5 41000215 +@1BC6 40C00115 +@1BC7 41000315 +@1BC8 003F8406 +@1BC9 1005D0BA +@1BCA 00C00044 +@1BCB 51000117 +@1BCC 1886983A +@1BCD 20C8B03A +@1BCE 51000115 +@1BCF 003FA706 +@1BD0 00801504 +@1BD1 10C00436 +@1BD2 3804D33A +@1BD3 10801B84 +@1BD4 100690FA +@1BD5 003F9706 +@1BD6 00805504 +@1BD7 10C00436 +@1BD8 3804D3FA +@1BD9 10801DC4 +@1BDA 100690FA +@1BDB 003F9106 +@1BDC 00815504 +@1BDD 10C0032E +@1BDE 00801F84 +@1BDF 00C0FC04 +@1BE0 003F8C06 +@1BE1 3804D4BA +@1BE2 10801F04 +@1BE3 100690FA +@1BE4 003F8806 +@1BE5 30800217 +@1BE6 DEFFF504 +@1BE7 DF000915 +@1BE8 DD800715 +@1BE9 DC800315 +@1BEA DFC00A15 +@1BEB DDC00815 +@1BEC DD400615 +@1BED DD000515 +@1BEE DCC00415 +@1BEF DC400215 +@1BF0 DC000115 +@1BF1 302D883A +@1BF2 2039883A +@1BF3 2825883A +@1BF4 10001C26 +@1BF5 29C0030B +@1BF6 3880020C +@1BF7 10002726 +@1BF8 28800417 +@1BF9 10002526 +@1BFA 3880008C +@1BFB B5400017 +@1BFC 10002826 +@1BFD 0021883A +@1BFE 0023883A +@1BFF 880D883A +@1C00 E009883A +@1C01 00810004 +@1C02 80006E26 +@1C03 800F883A +@1C04 91400717 +@1C05 1400012E +@1C06 100F883A +@1C07 90C00917 +@1C08 183EE83A +@1C09 1007883A +@1C0A 80A1C83A +@1C0B 88A3883A +@1C0C 00806D0E +@1C0D B0800217 +@1C0E 10C5C83A +@1C0F B0800215 +@1C10 103FEE1E +@1C11 0009883A +@1C12 2005883A +@1C13 DFC00A17 +@1C14 DF000917 +@1C15 DDC00817 +@1C16 DD800717 +@1C17 DD400617 +@1C18 DD000517 +@1C19 DCC00417 +@1C1A DC800317 +@1C1B DC400217 +@1C1C DC000117 +@1C1D DEC00B04 +@1C1E F800283A +@1C1F 0004CFC0 +@1C20 1000E41E +@1C21 91C0030B +@1C22 B5400017 +@1C23 3880008C +@1C24 103FD81E +@1C25 3880004C +@1C26 1005003A +@1C27 10005726 +@1C28 0029883A +@1C29 002F883A +@1C2A A0004226 +@1C2B 3880800C +@1C2C 94000217 +@1C2D 10008B26 +@1C2E 800D883A +@1C2F A400A536 +@1C30 3881200C +@1C31 10002726 +@1C32 90800517 +@1C33 92000417 +@1C34 91400017 +@1C35 1087883A +@1C36 1887883A +@1C37 1808D7FA +@1C38 2A21C83A +@1C39 80800044 +@1C3A 20C9883A +@1C3B 2027D07A +@1C3C A085883A +@1C3D 980D883A +@1C3E 9880022E +@1C3F 1027883A +@1C40 100D883A +@1C41 3881000C +@1C42 1000B826 +@1C43 300B883A +@1C44 E009883A +@1C45 00078080 +@1C46 10003126 +@1C47 91400417 +@1C48 1009883A +@1C49 800D883A +@1C4A 1023883A +@1C4B 000802C0 +@1C4C 90C0030B +@1C4D 00BEFFC4 +@1C4E 1886703A +@1C4F 18C02014 +@1C50 90C0030D +@1C51 9C07C83A +@1C52 8C05883A +@1C53 A00D883A +@1C54 A021883A +@1C55 90800015 +@1C56 90C00215 +@1C57 94400415 +@1C58 94C00515 +@1C59 91000017 +@1C5A B80B883A +@1C5B A023883A +@1C5C 00080CC0 +@1C5D 90C00217 +@1C5E 90800017 +@1C5F A027883A +@1C60 1C07C83A +@1C61 1405883A +@1C62 90C00215 +@1C63 A021883A +@1C64 90800015 +@1C65 B0800217 +@1C66 1405C83A +@1C67 B0800215 +@1C68 103FA826 +@1C69 A469C83A +@1C6A 91C0030B +@1C6B BCEF883A +@1C6C A03FBE1E +@1C6D ADC00017 +@1C6E AD000117 +@1C6F AD400204 +@1C70 003FB906 +@1C71 AC400017 +@1C72 AC000117 +@1C73 AD400204 +@1C74 003F8A06 +@1C75 91400417 +@1C76 E009883A +@1C77 0006C800 +@1C78 00800304 +@1C79 E0800015 +@1C7A 9080030B +@1C7B 013FFFC4 +@1C7C 10801014 +@1C7D 9080030D +@1C7E 003F9306 +@1C7F 0027883A +@1C80 002F883A +@1C81 D8000015 +@1C82 0029883A +@1C83 98001E26 +@1C84 D8C00017 +@1C85 1804C03A +@1C86 10005E26 +@1C87 9821883A +@1C88 A4C0012E +@1C89 A021883A +@1C8A 91000017 +@1C8B 90800417 +@1C8C 91800217 +@1C8D 91C00517 +@1C8E 1100022E +@1C8F 31E3883A +@1C90 8C001616 +@1C91 81C03816 +@1C92 90C00917 +@1C93 91400717 +@1C94 E009883A +@1C95 B80D883A +@1C96 183EE83A +@1C97 1023883A +@1C98 00BFE10E +@1C99 A469C83A +@1C9A A0001826 +@1C9B B0800217 +@1C9C 1445C83A +@1C9D B0800215 +@1C9E 103F7226 +@1C9F 9C67C83A +@1CA0 BC6F883A +@1CA1 983FE21E +@1CA2 ADC00017 +@1CA3 ACC00117 +@1CA4 AD400204 +@1CA5 D8000015 +@1CA6 003FDC06 +@1CA7 B80B883A +@1CA8 880D883A +@1CA9 00080CC0 +@1CAA 90C00017 +@1CAB E009883A +@1CAC 900B883A +@1CAD 1C47883A +@1CAE 90C00015 +@1CAF 00066300 +@1CB0 103FC91E +@1CB1 A469C83A +@1CB2 A03FE81E +@1CB3 E009883A +@1CB4 900B883A +@1CB5 00066300 +@1CB6 103FC31E +@1CB7 D8000015 +@1CB8 003FE206 +@1CB9 91000017 +@1CBA 90800417 +@1CBB 1100022E +@1CBC 8023883A +@1CBD 85003136 +@1CBE 91C00517 +@1CBF A1C01836 +@1CC0 90C00917 +@1CC1 91400717 +@1CC2 E009883A +@1CC3 B80D883A +@1CC4 183EE83A +@1CC5 1021883A +@1CC6 00BFB30E +@1CC7 1023883A +@1CC8 1027883A +@1CC9 003F9B06 +@1CCA B80B883A +@1CCB 800D883A +@1CCC 00080CC0 +@1CCD 90C00217 +@1CCE 90800017 +@1CCF 8023883A +@1CD0 1C07C83A +@1CD1 1405883A +@1CD2 90C00215 +@1CD3 90800015 +@1CD4 003FC406 +@1CD5 A00D883A +@1CD6 A021883A +@1CD7 003F8106 +@1CD8 B80B883A +@1CD9 A00D883A +@1CDA 00080CC0 +@1CDB 90C00217 +@1CDC 90800017 +@1CDD A021883A +@1CDE 1D07C83A +@1CDF 1505883A +@1CE0 A023883A +@1CE1 A027883A +@1CE2 90C00215 +@1CE3 90800015 +@1CE4 003F8006 +@1CE5 B809883A +@1CE6 01400284 +@1CE7 980D883A +@1CE8 0007F480 +@1CE9 10001726 +@1CEA 15C5C83A +@1CEB 15000044 +@1CEC 00800044 +@1CED D8800015 +@1CEE 003F9806 +@1CEF B80B883A +@1CF0 800D883A +@1CF1 00080CC0 +@1CF2 90C00017 +@1CF3 E009883A +@1CF4 900B883A +@1CF5 1C07883A +@1CF6 90C00015 +@1CF7 8027883A +@1CF8 00066300 +@1CF9 103F6B26 +@1CFA 003F7F06 +@1CFB 400B883A +@1CFC E009883A +@1CFD 00092D00 +@1CFE 103F7626 +@1CFF 1023883A +@1D00 003F5006 +@1D01 00C00044 +@1D02 9D000044 +@1D03 D8C00015 +@1D04 003F8206 +@1D05 9080030B +@1D06 00C00244 +@1D07 013FFFC4 +@1D08 10801014 +@1D09 9080030D +@1D0A E0C00015 +@1D0B 003F0606 +@1D0C DEFFF704 +@1D0D DCC00315 +@1D0E 24C0B804 +@1D0F DD800615 +@1D10 DD400515 +@1D11 DFC00815 +@1D12 DDC00715 +@1D13 DD000415 +@1D14 DC800215 +@1D15 DC400115 +@1D16 DC000015 +@1D17 202B883A +@1D18 282D883A +@1D19 00068B80 +@1D1A 98002126 +@1D1B 002F883A +@1D1C 9C800117 +@1D1D 9C000217 +@1D1E 90BFFFC4 +@1D1F 10000D16 +@1D20 0023883A +@1D21 053FFFC4 +@1D22 8080030F +@1D23 8C400044 +@1D24 10000626 +@1D25 8080038F +@1D26 800B883A +@1D27 A809883A +@1D28 15000226 +@1D29 B03EE83A +@1D2A B8AEB03A +@1D2B 84001704 +@1D2C 947FF51E +@1D2D 9CC00017 +@1D2E 983FED1E +@1D2F 00068BC0 +@1D30 B805883A +@1D31 DFC00817 +@1D32 DDC00717 +@1D33 DD800617 +@1D34 DD400517 +@1D35 DD000417 +@1D36 DCC00317 +@1D37 DC800217 +@1D38 DC400117 +@1D39 DC000017 +@1D3A DEC00904 +@1D3B F800283A +@1D3C 002F883A +@1D3D 003FF106 +@1D3E DEFFF804 +@1D3F DCC00315 +@1D40 24C0B804 +@1D41 DD400515 +@1D42 DFC00715 +@1D43 DD800615 +@1D44 DD000415 +@1D45 DC800215 +@1D46 DC400115 +@1D47 DC000015 +@1D48 282B883A +@1D49 00068B80 +@1D4A 98001F26 +@1D4B 002D883A +@1D4C 9C800117 +@1D4D 9C000217 +@1D4E 90BFFFC4 +@1D4F 10000C16 +@1D50 0023883A +@1D51 053FFFC4 +@1D52 8080030F +@1D53 8C400044 +@1D54 10000526 +@1D55 8080038F +@1D56 8009883A +@1D57 15000226 +@1D58 A83EE83A +@1D59 B0ACB03A +@1D5A 84001704 +@1D5B 947FF61E +@1D5C 9CC00017 +@1D5D 983FEE1E +@1D5E 00068BC0 +@1D5F B005883A +@1D60 DFC00717 +@1D61 DD800617 +@1D62 DD400517 +@1D63 DD000417 +@1D64 DCC00317 +@1D65 DC800217 +@1D66 DC400117 +@1D67 DC000017 +@1D68 DEC00804 +@1D69 F800283A +@1D6A 002D883A +@1D6B 003FF206 +@1D6C D0A00517 +@1D6D F800283A +@1D6E 00800074 +@1D6F 10810B04 +@1D70 F800283A +@1D71 00800074 +@1D72 10888604 +@1D73 11000017 +@1D74 00075B81 +@1D75 DEFFFC04 +@1D76 00C00074 +@1D77 18C10604 +@1D78 DC800215 +@1D79 DC400115 +@1D7A DC000015 +@1D7B 2023883A +@1D7C 2825883A +@1D7D DFC00315 +@1D7E 3021883A +@1D7F 3009883A +@1D80 180B883A +@1D81 30000926 +@1D82 0009AF00 +@1D83 8009883A +@1D84 01400074 +@1D85 2940F104 +@1D86 10000B1E +@1D87 8C000D15 +@1D88 8C800C15 +@1D89 00C00074 +@1D8A 18C10604 +@1D8B 1805883A +@1D8C DFC00317 +@1D8D DC800217 +@1D8E DC400117 +@1D8F DC000017 +@1D90 DEC00404 +@1D91 F800283A +@1D92 0009AF00 +@1D93 0007883A +@1D94 103FF226 +@1D95 003FF506 +@1D96 01800074 +@1D97 31888604 +@1D98 2007883A +@1D99 31000017 +@1D9A 280D883A +@1D9B 180B883A +@1D9C 00075D41 +@1D9D 2880030B +@1D9E DEFFED04 +@1D9F DC401015 +@1DA0 1080008C +@1DA1 DC000F15 +@1DA2 DFC01215 +@1DA3 DC801115 +@1DA4 2821883A +@1DA5 2023883A +@1DA6 10000B26 +@1DA7 28C010C4 +@1DA8 00800044 +@1DA9 28800515 +@1DAA 28C00415 +@1DAB 28C00015 +@1DAC DFC01217 +@1DAD DC801117 +@1DAE DC401017 +@1DAF DC000F17 +@1DB0 DEC01304 +@1DB1 F800283A +@1DB2 2940038F +@1DB3 28002116 +@1DB4 D80D883A +@1DB5 0009E800 +@1DB6 10001E16 +@1DB7 D8800117 +@1DB8 00E00014 +@1DB9 113C000C +@1DBA 20C03126 +@1DBB 8080030B +@1DBC 00C80004 +@1DBD 10820014 +@1DBE 8080030D +@1DBF 20C01E26 +@1DC0 04810004 +@1DC1 8809883A +@1DC2 900B883A +@1DC3 00078080 +@1DC4 1009883A +@1DC5 10003126 +@1DC6 80C0030B +@1DC7 00800034 +@1DC8 109A6B04 +@1DC9 88800F15 +@1DCA 18C02014 +@1DCB 84800515 +@1DCC 80C0030D +@1DCD 81000415 +@1DCE 81000015 +@1DCF DFC01217 +@1DD0 DC801117 +@1DD1 DC401017 +@1DD2 DC000F17 +@1DD3 DEC01304 +@1DD4 F800283A +@1DD5 80C0030B +@1DD6 1880200C +@1DD7 10000426 +@1DD8 04801004 +@1DD9 18820014 +@1DDA 8080030D +@1DDB 003FE506 +@1DDC 04810004 +@1DDD 003FFB06 +@1DDE 8140038F +@1DDF 8809883A +@1DE0 0009EF40 +@1DE1 103FDE26 +@1DE2 8080030B +@1DE3 80C010C4 +@1DE4 04810004 +@1DE5 10800054 +@1DE6 8080030D +@1DE7 00800044 +@1DE8 80C00415 +@1DE9 80800515 +@1DEA 80C00015 +@1DEB 003FD506 +@1DEC 80C00A17 +@1DED 00800074 +@1DEE 10A66B04 +@1DEF 18BFCB1E +@1DF0 8080030B +@1DF1 00C10004 +@1DF2 1825883A +@1DF3 10C4B03A +@1DF4 8080030D +@1DF5 80C01315 +@1DF6 003FCA06 +@1DF7 8100030B +@1DF8 2080800C +@1DF9 103FB21E +@1DFA 80C010C4 +@1DFB 21000094 +@1DFC 00800044 +@1DFD 80800515 +@1DFE 8100030D +@1DFF 80C00415 +@1E00 80C00015 +@1E01 003FAA06 +@1E02 DEFFF604 +@1E03 28C002C4 +@1E04 00800584 +@1E05 DC800215 +@1E06 DFC00915 +@1E07 DF000815 +@1E08 DDC00715 +@1E09 DD800615 +@1E0A DD400515 +@1E0B DD000415 +@1E0C DCC00315 +@1E0D DC400115 +@1E0E DC000015 +@1E0F 2025883A +@1E10 10C01236 +@1E11 04400404 +@1E12 8940142E +@1E13 00800304 +@1E14 0007883A +@1E15 90800015 +@1E16 1805883A +@1E17 DFC00917 +@1E18 DF000817 +@1E19 DDC00717 +@1E1A DD800617 +@1E1B DD400517 +@1E1C DD000417 +@1E1D DCC00317 +@1E1E DC800217 +@1E1F DC400117 +@1E20 DC000017 +@1E21 DEC00A04 +@1E22 F800283A +@1E23 00BFFE04 +@1E24 18A2703A +@1E25 883FED16 +@1E26 897FEC36 +@1E27 9009883A +@1E28 000CB980 +@1E29 00807DC4 +@1E2A 14402B2E +@1E2B 8806D27A +@1E2C 18003F1E +@1E2D 880CD0FA +@1E2E 300490FA +@1E2F 02C00074 +@1E30 5AC2B804 +@1E31 12CB883A +@1E32 2C000317 +@1E33 580F883A +@1E34 2C00041E +@1E35 00000A06 +@1E36 1800860E +@1E37 84000317 +@1E38 2C000726 +@1E39 80800117 +@1E3A 00FFFF04 +@1E3B 10C8703A +@1E3C 2447C83A +@1E3D 008003C4 +@1E3E 10FFF70E +@1E3F 31BFFFC4 +@1E40 32400044 +@1E41 02800074 +@1E42 5282BA04 +@1E43 54000217 +@1E44 8280A026 +@1E45 80800117 +@1E46 00FFFF04 +@1E47 10CA703A +@1E48 2C4DC83A +@1E49 008003C4 +@1E4A 11808316 +@1E4B 52800315 +@1E4C 52800215 +@1E4D 30002916 +@1E4E 8147883A +@1E4F 18800117 +@1E50 9009883A +@1E51 10800054 +@1E52 18800115 +@1E53 000CBB80 +@1E54 80C00204 +@1E55 003FC006 +@1E56 02C00074 +@1E57 5AC2B804 +@1E58 8AC5883A +@1E59 14000317 +@1E5A 580F883A +@1E5B 8806D0FA +@1E5C 14006C26 +@1E5D 80C00117 +@1E5E 00BFFF04 +@1E5F 81800317 +@1E60 1886703A +@1E61 80C7883A +@1E62 18800117 +@1E63 81400217 +@1E64 9009883A +@1E65 10800054 +@1E66 18800115 +@1E67 31400215 +@1E68 29800315 +@1E69 000CBB80 +@1E6A 80C00204 +@1E6B 003FAA06 +@1E6C 00800104 +@1E6D 10C0052E +@1E6E 00800504 +@1E6F 10C07836 +@1E70 198016C4 +@1E71 300490FA +@1E72 003FBC06 +@1E73 8804D1BA +@1E74 11800E04 +@1E75 300490FA +@1E76 003FB806 +@1E77 00807FC4 +@1E78 1140BB36 +@1E79 2806D0FA +@1E7A 573FFE04 +@1E7B 00800044 +@1E7C 180890FA +@1E7D 1807D0BA +@1E7E E1C00117 +@1E7F 5909883A +@1E80 21400217 +@1E81 10C4983A +@1E82 81000315 +@1E83 81400215 +@1E84 388EB03A +@1E85 2C000315 +@1E86 24000215 +@1E87 E1C00115 +@1E88 4807883A +@1E89 4800CD16 +@1E8A 1807D0BA +@1E8B 00800044 +@1E8C 10C8983A +@1E8D 39004436 +@1E8E 21C4703A +@1E8F 10000A1E +@1E90 2109883A +@1E91 00BFFF04 +@1E92 4884703A +@1E93 3906703A +@1E94 12400104 +@1E95 1800041E +@1E96 2109883A +@1E97 3904703A +@1E98 4A400104 +@1E99 103FFC26 +@1E9A 480490FA +@1E9B 4819883A +@1E9C 023FFF04 +@1E9D 589B883A +@1E9E 6807883A +@1E9F 014003C4 +@1EA0 1C000317 +@1EA1 1C00041E +@1EA2 0000A706 +@1EA3 3000AB0E +@1EA4 84000317 +@1EA5 1C00A426 +@1EA6 80800117 +@1EA7 1204703A +@1EA8 144DC83A +@1EA9 29BFF90E +@1EAA 81000317 +@1EAB 80C00217 +@1EAC 89400054 +@1EAD 8445883A +@1EAE 20C00215 +@1EAF 19000315 +@1EB0 81400115 +@1EB1 1187883A +@1EB2 31000054 +@1EB3 50800315 +@1EB4 50800215 +@1EB5 19800015 +@1EB6 11000115 +@1EB7 12800215 +@1EB8 12800315 +@1EB9 9009883A +@1EBA 000CBB80 +@1EBB 80C00204 +@1EBC 003F5906 +@1EBD 8109883A +@1EBE 20800117 +@1EBF 80C00217 +@1EC0 81400317 +@1EC1 10800054 +@1EC2 20800115 +@1EC3 28C00215 +@1EC4 19400315 +@1EC5 9009883A +@1EC6 000CBB80 +@1EC7 80C00204 +@1EC8 003F4D06 +@1EC9 80800204 +@1ECA 14000317 +@1ECB 143F911E +@1ECC 1A400084 +@1ECD 003F7306 +@1ECE 88C00054 +@1ECF 8445883A +@1ED0 80C00115 +@1ED1 003FDF06 +@1ED2 E4000217 +@1ED3 00BFFF04 +@1ED4 80C00117 +@1ED5 802D883A +@1ED6 18AA703A +@1ED7 AC401636 +@1ED8 AC49C83A +@1ED9 008003C4 +@1EDA 1100130E +@1EDB 88800054 +@1EDC 8447883A +@1EDD 80800115 +@1EDE 20800054 +@1EDF 18800115 +@1EE0 E0C00215 +@1EE1 9009883A +@1EE2 000CBB80 +@1EE3 80C00204 +@1EE4 003F3106 +@1EE5 39C00117 +@1EE6 573FFE04 +@1EE7 003FA006 +@1EE8 00801504 +@1EE9 10C06736 +@1EEA 8804D33A +@1EEB 11801B84 +@1EEC 300490FA +@1EED 003F4106 +@1EEE D0A6FD17 +@1EEF D0E00717 +@1EF0 053FFFC4 +@1EF1 10800404 +@1EF2 88A7883A +@1EF3 1D000326 +@1EF4 98C3FFC4 +@1EF5 00BC0004 +@1EF6 18A6703A +@1EF7 9009883A +@1EF8 980B883A +@1EF9 00099340 +@1EFA 1009883A +@1EFB 15000426 +@1EFC 854B883A +@1EFD 1029883A +@1EFE 11405A2E +@1EFF 87000C26 +@1F00 E4000217 +@1F01 80C00117 +@1F02 00BFFF04 +@1F03 1884703A +@1F04 14400336 +@1F05 1449C83A +@1F06 008003C4 +@1F07 113FD316 +@1F08 9009883A +@1F09 000CBB80 +@1F0A 0007883A +@1F0B 003F0A06 +@1F0C 05C00074 +@1F0D BDD0CB04 +@1F0E B8800017 +@1F0F 988D883A +@1F10 B9800015 +@1F11 D0E00717 +@1F12 00BFFFC4 +@1F13 18808E26 +@1F14 2145C83A +@1F15 3085883A +@1F16 B8800015 +@1F17 20C001CC +@1F18 18005F1E +@1F19 000B883A +@1F1A A4C5883A +@1F1B 1083FFCC +@1F1C 00C40004 +@1F1D 1887C83A +@1F1E 28E7883A +@1F1F 9009883A +@1F20 980B883A +@1F21 00099340 +@1F22 1007883A +@1F23 00BFFFC4 +@1F24 18807A26 +@1F25 1D05C83A +@1F26 9885883A +@1F27 10C00054 +@1F28 B8800017 +@1F29 A021883A +@1F2A A0C00115 +@1F2B 9885883A +@1F2C B8800015 +@1F2D E5000215 +@1F2E B7003626 +@1F2F 018003C4 +@1F30 35404B36 +@1F31 00800044 +@1F32 A0800115 +@1F33 003FCD06 +@1F34 2808D27A +@1F35 2000371E +@1F36 2808D0FA +@1F37 200690FA +@1F38 1AD1883A +@1F39 41800217 +@1F3A 41805B26 +@1F3B 30800117 +@1F3C 00FFFF04 +@1F3D 10C4703A +@1F3E 2880022E +@1F3F 31800217 +@1F40 41BFFA1E +@1F41 32000317 +@1F42 39C00117 +@1F43 82000315 +@1F44 81800215 +@1F45 07000074 +@1F46 E702B804 +@1F47 34000315 +@1F48 44000215 +@1F49 003F3E06 +@1F4A 63000044 +@1F4B 608000CC +@1F4C 10005D26 +@1F4D 18C00204 +@1F4E 003F5106 +@1F4F 8089883A +@1F50 003F6D06 +@1F51 00805504 +@1F52 10C02036 +@1F53 8804D3FA +@1F54 11801DC4 +@1F55 300490FA +@1F56 003ED806 +@1F57 48C000C4 +@1F58 003F3106 +@1F59 05C00074 +@1F5A BDD0CB04 +@1F5B B8800017 +@1F5C 988D883A +@1F5D B9800015 +@1F5E 293FB21E +@1F5F 2083FFCC +@1F60 103FB01E +@1F61 E4000217 +@1F62 9D45883A +@1F63 10800054 +@1F64 80800115 +@1F65 B8C00017 +@1F66 D0A6FE17 +@1F67 10C0012E +@1F68 D0E6FE15 +@1F69 D0A6FF17 +@1F6A 10FF962E +@1F6B D0E6FF15 +@1F6C 003F9406 +@1F6D 00800104 +@1F6E 11001E36 +@1F6F 2804D1BA +@1F70 11000E04 +@1F71 200690FA +@1F72 003FC506 +@1F73 00815504 +@1F74 10C01D2E +@1F75 01801F84 +@1F76 0080FC04 +@1F77 003EB706 +@1F78 00800204 +@1F79 10CBC83A +@1F7A 2169883A +@1F7B 003F9E06 +@1F7C 00BFFE04 +@1F7D A93FFD04 +@1F7E 2088703A +@1F7F B10B883A +@1F80 00C00144 +@1F81 28C00215 +@1F82 28C00115 +@1F83 B0800117 +@1F84 1080004C +@1F85 2084B03A +@1F86 B0800115 +@1F87 313FDD2E +@1F88 B1400204 +@1F89 9009883A +@1F8A 0006C800 +@1F8B E4000217 +@1F8C 003FD806 +@1F8D 00800504 +@1F8E 11001536 +@1F8F 210016C4 +@1F90 200690FA +@1F91 003FA606 +@1F92 8804D4BA +@1F93 11801F04 +@1F94 300490FA +@1F95 003E9906 +@1F96 2009D0BA +@1F97 01400074 +@1F98 2942B804 +@1F99 00C00044 +@1F9A 28800117 +@1F9B 1906983A +@1F9C 10C4B03A +@1F9D 28800115 +@1F9E 003FA306 +@1F9F 0027883A +@1FA0 00C00044 +@1FA1 003F8606 +@1FA2 D1200715 +@1FA3 003F7306 +@1FA4 00801504 +@1FA5 11001936 +@1FA6 2804D33A +@1FA7 11001B84 +@1FA8 200690FA +@1FA9 003F8E06 +@1FAA 480B883A +@1FAB 6807883A +@1FAC 288000CC +@1FAD 18FFFE04 +@1FAE 297FFFC4 +@1FAF 10001526 +@1FB0 18800217 +@1FB1 10FFFA26 +@1FB2 2109883A +@1FB3 393F1E36 +@1FB4 203F1D26 +@1FB5 21C4703A +@1FB6 10000226 +@1FB7 6013883A +@1FB8 003EE106 +@1FB9 2109883A +@1FBA 3904703A +@1FBB 63000104 +@1FBC 103FFC26 +@1FBD 6013883A +@1FBE 003EDB06 +@1FBF 00805504 +@1FC0 11000836 +@1FC1 2804D3FA +@1FC2 11001DC4 +@1FC3 200690FA +@1FC4 003F7306 +@1FC5 0104303A +@1FC6 388E703A +@1FC7 E1C00115 +@1FC8 003FE906 +@1FC9 00815504 +@1FCA 1100032E +@1FCB 01001F84 +@1FCC 00C0FC04 +@1FCD 003F6A06 +@1FCE 2804D4BA +@1FCF 11001F04 +@1FD0 200690FA +@1FD1 003F6606 +@1FD2 008000C4 +@1FD3 29403FCC +@1FD4 2007883A +@1FD5 1180022E +@1FD6 2084703A +@1FD7 10000B26 +@1FD8 313FFFC4 +@1FD9 3000051E +@1FDA 00002C06 +@1FDB 213FFFC4 +@1FDC 00BFFFC4 +@1FDD 18C00044 +@1FDE 20802826 +@1FDF 18800003 +@1FE0 28BFFA1E +@1FE1 1805883A +@1FE2 F800283A +@1FE3 0011883A +@1FE4 0007883A +@1FE5 01C00104 +@1FE6 4004923A +@1FE7 18C00044 +@1FE8 1151883A +@1FE9 19FFFC1E +@1FEA 02BFBFF4 +@1FEB 52BFBFC4 +@1FEC 02602074 +@1FED 4A602004 +@1FEE 02C000C4 +@1FEF 20800017 +@1FF0 31BFFF04 +@1FF1 200F883A +@1FF2 1204F03A +@1FF3 1287883A +@1FF4 1A46703A +@1FF5 0084303A +@1FF6 10C4703A +@1FF7 10000B26 +@1FF8 20800003 +@1FF9 28800F26 +@1FFA 20800043 +@1FFB 21C00044 +@1FFC 28800C26 +@1FFD 20800083 +@1FFE 21C00084 +@1FFF 28800926 +@2000 208000C3 +@2001 21C000C4 +@2002 28800626 +@2003 21000104 +@2004 59BFEA36 +@2005 2007883A +@2006 003FD106 +@2007 0005883A +@2008 F800283A +@2009 3805883A +@200A F800283A +@200B 01C003C4 +@200C 2007883A +@200D 3980032E +@200E 2904B03A +@200F 108000CC +@2010 10000926 +@2011 30000626 +@2012 30CD883A +@2013 28800003 +@2014 29400044 +@2015 18800005 +@2016 18C00044 +@2017 30FFFB1E +@2018 2005883A +@2019 F800283A +@201A 3811883A +@201B 200F883A +@201C 28C00017 +@201D 31BFFC04 +@201E 38C00015 +@201F 28800117 +@2020 38800115 +@2021 28C00217 +@2022 38C00215 +@2023 28800317 +@2024 29400404 +@2025 38800315 +@2026 39C00404 +@2027 41BFF436 +@2028 008000C4 +@2029 1180072E +@202A 1007883A +@202B 28800017 +@202C 31BFFF04 +@202D 29400104 +@202E 38800015 +@202F 39C00104 +@2030 19BFFA36 +@2031 3807883A +@2032 003FDE06 +@2033 2807883A +@2034 2011883A +@2035 29000C2E +@2036 298F883A +@2037 21C00A2E +@2038 30000726 +@2039 2187883A +@203A 198DC83A +@203B 39FFFFC4 +@203C 38800003 +@203D 18FFFFC4 +@203E 18800005 +@203F 19BFFB1E +@2040 2005883A +@2041 F800283A +@2042 01C003C4 +@2043 39800A36 +@2044 303FFB26 +@2045 400F883A +@2046 320D883A +@2047 28800003 +@2048 29400044 +@2049 38800005 +@204A 39C00044 +@204B 39BFFB1E +@204C 2005883A +@204D F800283A +@204E 1904B03A +@204F 108000CC +@2050 103FF31E +@2051 3811883A +@2052 180B883A +@2053 200F883A +@2054 28C00017 +@2055 31BFFC04 +@2056 38C00015 +@2057 28800117 +@2058 38800115 +@2059 28C00217 +@205A 38C00215 +@205B 28800317 +@205C 29400404 +@205D 38800315 +@205E 39C00404 +@205F 41BFF436 +@2060 008000C4 +@2061 1180072E +@2062 1007883A +@2063 28800017 +@2064 31BFFF04 +@2065 29400104 +@2066 38800015 +@2067 39C00104 +@2068 19BFFA36 +@2069 3811883A +@206A 003FD906 +@206B 008000C4 +@206C 29403FCC +@206D 2007883A +@206E 1180022E +@206F 2084703A +@2070 10000826 +@2071 30000526 +@2072 2805883A +@2073 30CD883A +@2074 18800005 +@2075 18C00044 +@2076 19BFFD1E +@2077 2005883A +@2078 F800283A +@2079 2804923A +@207A 020003C4 +@207B 200F883A +@207C 2884B03A +@207D 1006943A +@207E 10C6B03A +@207F 41800A2E +@2080 4005883A +@2081 31BFFC04 +@2082 38C00015 +@2083 38C00115 +@2084 38C00215 +@2085 38C00315 +@2086 39C00404 +@2087 11BFF936 +@2088 008000C4 +@2089 1180052E +@208A 31BFFF04 +@208B 008000C4 +@208C 38C00015 +@208D 39C00104 +@208E 11BFFB36 +@208F 3807883A +@2090 003FE006 +@2091 28000826 +@2092 28800117 +@2093 21001317 +@2094 1085883A +@2095 1085883A +@2096 1105883A +@2097 10C00017 +@2098 28C00015 +@2099 11400015 +@209A F800283A +@209B 20BFFFEC +@209C 10001426 +@209D 0007883A +@209E 20BFC02C +@209F 1000021E +@20A0 2008923A +@20A1 18C00204 +@20A2 20BC002C +@20A3 1000021E +@20A4 2008913A +@20A5 18C00104 +@20A6 20B0002C +@20A7 1000031E +@20A8 2105883A +@20A9 18C00084 +@20AA 1089883A +@20AB 20000316 +@20AC 2090002C +@20AD 10000626 +@20AE 18C00044 +@20AF 1805883A +@20B0 F800283A +@20B1 2008943A +@20B2 00C00404 +@20B3 003FEA06 +@20B4 00C00804 +@20B5 1805883A +@20B6 F800283A +@20B7 20C00017 +@20B8 188001CC +@20B9 10000A26 +@20BA 1880004C +@20BB 1005003A +@20BC 10002126 +@20BD 1880008C +@20BE 1000251E +@20BF 1804D0BA +@20C0 01400084 +@20C1 20800015 +@20C2 2805883A +@20C3 F800283A +@20C4 18BFFFCC +@20C5 10001526 +@20C6 000B883A +@20C7 18803FCC +@20C8 1000021E +@20C9 1806D23A +@20CA 29400204 +@20CB 188003CC +@20CC 1000021E +@20CD 1806D13A +@20CE 29400104 +@20CF 188000CC +@20D0 1000021E +@20D1 1806D0BA +@20D2 29400084 +@20D3 1880004C +@20D4 1000031E +@20D5 1806D07A +@20D6 18000A26 +@20D7 29400044 +@20D8 2805883A +@20D9 20C00015 +@20DA F800283A +@20DB 1806D43A +@20DC 01400404 +@20DD 003FE906 +@20DE 000B883A +@20DF 2805883A +@20E0 F800283A +@20E1 01400804 +@20E2 2805883A +@20E3 F800283A +@20E4 1804D07A +@20E5 01400044 +@20E6 20800015 +@20E7 003FDA06 +@20E8 20800417 +@20E9 28C00417 +@20EA 10CFC83A +@20EB 38000C1E +@20EC 18C5883A +@20ED 1085883A +@20EE 10C00504 +@20EF 21000504 +@20F0 28CB883A +@20F1 2085883A +@20F2 10BFFF04 +@20F3 297FFF04 +@20F4 11800017 +@20F5 28C00017 +@20F6 30C0031E +@20F7 20BFFA36 +@20F8 3805883A +@20F9 F800283A +@20FA 30C00336 +@20FB 01C00044 +@20FC 3805883A +@20FD F800283A +@20FE 01FFFFC4 +@20FF 003FF806 +@2100 295FFC2C +@2101 013F3034 +@2102 290B883A +@2103 0145C83A +@2104 1007D53A +@2105 000D883A +@2106 0140040E +@2107 280F883A +@2108 3807883A +@2109 3005883A +@210A F800283A +@210B 008004C4 +@210C 193FFB04 +@210D 10C00C0E +@210E 008007C4 +@210F 1107C83A +@2110 00800784 +@2111 01400044 +@2112 11000216 +@2113 00800044 +@2114 10CA983A +@2115 000F883A +@2116 280D883A +@2117 3807883A +@2118 3005883A +@2119 F800283A +@211A 00800234 +@211B 10CFD83A +@211C 000D883A +@211D 3005883A +@211E 3807883A +@211F F800283A +@2120 20800417 +@2121 DEFFF904 +@2122 DD000415 +@2123 1085883A +@2124 25000504 +@2125 1085883A +@2126 DC000015 +@2127 A0A1883A +@2128 DD400515 +@2129 857FFF17 +@212A DC400115 +@212B DFC00615 +@212C A809883A +@212D 2823883A +@212E DCC00315 +@212F DC800215 +@2130 000826C0 +@2131 100B883A +@2132 00800804 +@2133 1145C83A +@2134 88800015 +@2135 00800284 +@2136 80FFFF04 +@2137 11401416 +@2138 008002C4 +@2139 1149C83A +@213A A0C02736 +@213B 000D883A +@213C 28800544 +@213D A906D83A +@213E A884983A +@213F 1CCFFC34 +@2140 11A4B03A +@2141 9005883A +@2142 9807883A +@2143 DFC00617 +@2144 DD400517 +@2145 DD000417 +@2146 DCC00317 +@2147 DC800217 +@2148 DC400117 +@2149 DC000017 +@214A DEC00704 +@214B F800283A +@214C A0C00E36 +@214D 293FFD44 +@214E 000D883A +@214F 20000F26 +@2150 00800804 +@2151 110BC83A +@2152 A0C01236 +@2153 000F883A +@2154 A904983A +@2155 3146D83A +@2156 3108983A +@2157 108FFC34 +@2158 18A6B03A +@2159 3924B03A +@215A 003FE606 +@215B 293FFD44 +@215C 81BFFE17 +@215D 80FFFE04 +@215E 203FF11E +@215F ACCFFC34 +@2160 3025883A +@2161 003FDF06 +@2162 18BFFF17 +@2163 110CD83A +@2164 003FD706 +@2165 18BFFF17 +@2166 114ED83A +@2167 003FEC06 +@2168 DEFFF904 +@2169 DC400215 +@216A 2823883A +@216B D80B883A +@216C DFC00615 +@216D DD000515 +@216E DCC00415 +@216F DC800315 +@2170 2025883A +@2171 00084800 +@2172 8809883A +@2173 D9400104 +@2174 1027883A +@2175 1829883A +@2176 00084800 +@2177 89000417 +@2178 91C00417 +@2179 D9800117 +@217A 180B883A +@217B 390FC83A +@217C 1009883A +@217D D8800017 +@217E 380E917A +@217F 2011883A +@2180 1185C83A +@2181 11C5883A +@2182 1006953A +@2183 2813883A +@2184 00800D0E +@2185 1D29883A +@2186 A00B883A +@2187 480F883A +@2188 9809883A +@2189 400D883A +@218A 000B5E80 +@218B DFC00617 +@218C DD000517 +@218D DCC00417 +@218E DC800317 +@218F DC400217 +@2190 DEC00704 +@2191 F800283A +@2192 28D3C83A +@2193 003FF206 +@2194 DEFFFE04 +@2195 008005C4 +@2196 DC000015 +@2197 DFC00115 +@2198 2021883A +@2199 11000C16 +@219A 200490FA +@219B 00C00074 +@219C 18C11704 +@219D 10C5883A +@219E 12400117 +@219F 12000017 +@21A0 4807883A +@21A1 4005883A +@21A2 DFC00117 +@21A3 DC000017 +@21A4 DEC00204 +@21A5 F800283A +@21A6 0011883A +@21A7 024FFC34 +@21A8 0005883A +@21A9 00D00934 +@21AA 480B883A +@21AB 4009883A +@21AC 180F883A +@21AD 100D883A +@21AE 000B2240 +@21AF 843FFFC4 +@21B0 1011883A +@21B1 1813883A +@21B2 803FF51E +@21B3 4005883A +@21B4 4807883A +@21B5 DFC00117 +@21B6 DC000017 +@21B7 DEC00204 +@21B8 F800283A +@21B9 297FFFC4 +@21BA 30800417 +@21BB 280BD17A +@21BC 31800504 +@21BD 1085883A +@21BE 294B883A +@21BF 294B883A +@21C0 1085883A +@21C1 290B883A +@21C2 3087883A +@21C3 29400104 +@21C4 30C0052E +@21C5 30800017 +@21C6 31800104 +@21C7 20800015 +@21C8 21000104 +@21C9 30FFFB36 +@21CA 2140032E +@21CB 20000015 +@21CC 21000104 +@21CD 217FFD36 +@21CE F800283A +@21CF 20800417 +@21D0 2807D17A +@21D1 21000504 +@21D2 10C00D0E +@21D3 1085883A +@21D4 1085883A +@21D5 208D883A +@21D6 2180182E +@21D7 30BFFF17 +@21D8 30FFFF04 +@21D9 1000041E +@21DA 20C0142E +@21DB 18FFFF04 +@21DC 18800017 +@21DD 103FFC26 +@21DE 00800044 +@21DF F800283A +@21E0 18800A0E +@21E1 294007CC +@21E2 28000826 +@21E3 18C5883A +@21E4 1085883A +@21E5 208D883A +@21E6 30C00017 +@21E7 1944D83A +@21E8 1144983A +@21E9 18BFF41E +@21EA 003FEB06 +@21EB 18C5883A +@21EC 1085883A +@21ED 208D883A +@21EE 003FE706 +@21EF 0005883A +@21F0 F800283A +@21F1 20C01317 +@21F2 DEFFFB04 +@21F3 DCC00315 +@21F4 DC800215 +@21F5 DFC00415 +@21F6 2825883A +@21F7 DC400115 +@21F8 DC000015 +@21F9 2027883A +@21FA 01800404 +@21FB 01400104 +@21FC 18001726 +@21FD 01400044 +@21FE 9485883A +@21FF 2CA2983A +@2200 1085883A +@2201 10C7883A +@2202 1C000017 +@2203 8C4D883A +@2204 318D883A +@2205 9809883A +@2206 31800504 +@2207 80001226 +@2208 80800017 +@2209 18800015 +@220A 80000415 +@220B 80000315 +@220C 8005883A +@220D DFC00417 +@220E DCC00317 +@220F DC800217 +@2210 DC400117 +@2211 DC000017 +@2212 DEC00504 +@2213 F800283A +@2214 0009C240 +@2215 1007883A +@2216 0021883A +@2217 98801315 +@2218 103FE41E +@2219 003FF206 +@221A 0009C240 +@221B 103FF026 +@221C 1021883A +@221D 14800115 +@221E 14400215 +@221F 003FEA06 +@2220 DEFFF504 +@2221 DCC00515 +@2222 04C00044 +@2223 DC000215 +@2224 2821883A +@2225 980B883A +@2226 DDC00915 +@2227 DD800815 +@2228 DD400715 +@2229 DD000615 +@222A DC800415 +@222B DC400315 +@222C DFC00A15 +@222D 3023883A +@222E 382D883A +@222F DDC00B17 +@2230 00087C40 +@2231 1025883A +@2232 00A00034 +@2233 10BFFFC4 +@2234 8888703A +@2235 202AD53A +@2236 00800434 +@2237 10BFFFC4 +@2238 8886703A +@2239 A829003A +@223A 800B883A +@223B D8C00115 +@223C 94000504 +@223D A000021E +@223E 18C00434 +@223F D8C00115 +@2240 28002726 +@2241 D809883A +@2242 D9400015 +@2243 00082DC0 +@2244 100D883A +@2245 10003526 +@2246 D8C00117 +@2247 00800804 +@2248 1185C83A +@2249 D9000017 +@224A 1886983A +@224B 1906B03A +@224C 90C00515 +@224D D8C00117 +@224E 1986D83A +@224F D8C00115 +@2250 180B003A +@2251 00800084 +@2252 114BC83A +@2253 80C00115 +@2254 91400415 +@2255 A0001A1E +@2256 3545883A +@2257 10BEF344 +@2258 00C00D44 +@2259 B0800015 +@225A 1987C83A +@225B B8C00015 +@225C 9005883A +@225D DFC00A17 +@225E DDC00917 +@225F DD800817 +@2260 DD400717 +@2261 DD000617 +@2262 DCC00517 +@2263 DC800417 +@2264 DC400317 +@2265 DC000217 +@2266 DEC00B04 +@2267 F800283A +@2268 D9000104 +@2269 00082DC0 +@226A 11800804 +@226B D8800117 +@226C 94C00415 +@226D 980B883A +@226E 90800515 +@226F A03FE626 +@2270 2945883A +@2271 1085883A +@2272 1405883A +@2273 113FFF17 +@2274 30FEF384 +@2275 2820917A +@2276 B0C00015 +@2277 000826C0 +@2278 80A1C83A +@2279 BC000015 +@227A 003FE106 +@227B D8800017 +@227C 90800515 +@227D D8C00117 +@227E 003FD106 +@227F DEFFFB04 +@2280 DC000015 +@2281 2821883A +@2282 DC800215 +@2283 300B883A +@2284 2025883A +@2285 8009883A +@2286 DC400115 +@2287 DFC00415 +@2288 DCC00315 +@2289 3023883A +@228A 00083A00 +@228B 10004226 +@228C 10005016 +@228D 0027883A +@228E 81400117 +@228F 9009883A +@2290 00087C40 +@2291 1019883A +@2292 82800417 +@2293 88800417 +@2294 81800504 +@2295 5287883A +@2296 1085883A +@2297 18C7883A +@2298 1085883A +@2299 8A000504 +@229A 64C00315 +@229B 30DB883A +@229C 4097883A +@229D 61C00504 +@229E 0013883A +@229F 31000017 +@22A0 41400017 +@22A1 42000104 +@22A2 20BFFFCC +@22A3 28FFFFCC +@22A4 10C5C83A +@22A5 1245883A +@22A6 2008D43A +@22A7 280AD43A +@22A8 1007D43A +@22A9 3880000D +@22AA 2149C83A +@22AB 20C9883A +@22AC 3900008D +@22AD 31800104 +@22AE 39C00104 +@22AF 2013D43A +@22B0 42FFEE36 +@22B1 33400C2E +@22B2 30800017 +@22B3 31800104 +@22B4 10FFFFCC +@22B5 1A47883A +@22B6 1004D43A +@22B7 1809D43A +@22B8 38C0000D +@22B9 1105883A +@22BA 3880008D +@22BB 1013D43A +@22BC 39C00104 +@22BD 337FF436 +@22BE 38BFFF17 +@22BF 38FFFF04 +@22C0 1000041E +@22C1 18FFFF04 +@22C2 18800017 +@22C3 52BFFFC4 +@22C4 103FFC26 +@22C5 6005883A +@22C6 62800415 +@22C7 DFC00417 +@22C8 DCC00317 +@22C9 DC800217 +@22CA DC400117 +@22CB DC000017 +@22CC DEC00504 +@22CD F800283A +@22CE 9009883A +@22CF 000B883A +@22D0 00087C40 +@22D1 1019883A +@22D2 00800044 +@22D3 60800415 +@22D4 6005883A +@22D5 60000515 +@22D6 DFC00417 +@22D7 DCC00317 +@22D8 DC800217 +@22D9 DC400117 +@22DA DC000017 +@22DB DEC00504 +@22DC F800283A +@22DD 880D883A +@22DE 04C00044 +@22DF 8023883A +@22E0 3021883A +@22E1 003FAC06 +@22E2 DEFFF904 +@22E3 28800417 +@22E4 DC000015 +@22E5 3021D17A +@22E6 28C00217 +@22E7 10800044 +@22E8 DC400115 +@22E9 80A3883A +@22EA DD400515 +@22EB DD000415 +@22EC DC800215 +@22ED DFC00615 +@22EE 2825883A +@22EF DCC00315 +@22F0 3029883A +@22F1 202B883A +@22F2 29400117 +@22F3 1C40030E +@22F4 18C7883A +@22F5 29400044 +@22F6 1C7FFD16 +@22F7 A809883A +@22F8 00087C40 +@22F9 1027883A +@22FA 11400504 +@22FB 0400090E +@22FC 2805883A +@22FD 0007883A +@22FE 18C00044 +@22FF 10000015 +@2300 10800104 +@2301 80FFFC1E +@2302 8405883A +@2303 1085883A +@2304 288B883A +@2305 90800417 +@2306 91000504 +@2307 A18007CC +@2308 1085883A +@2309 1085883A +@230A 208F883A +@230B 30001E26 +@230C 00800804 +@230D 1191C83A +@230E 0007883A +@230F 20800017 +@2310 1184983A +@2311 1884B03A +@2312 28800015 +@2313 20C00017 +@2314 21000104 +@2315 29400104 +@2316 1A06D83A +@2317 21FFF736 +@2318 28C00015 +@2319 18000126 +@231A 8C400044 +@231B 88BFFFC4 +@231C 98800415 +@231D A809883A +@231E 900B883A +@231F 00082440 +@2320 9805883A +@2321 DFC00617 +@2322 DD400517 +@2323 DD000417 +@2324 DCC00317 +@2325 DC800217 +@2326 DC400117 +@2327 DC000017 +@2328 DEC00704 +@2329 F800283A +@232A 20800017 +@232B 21000104 +@232C 28800015 +@232D 29400104 +@232E 21FFEC2E +@232F 20800017 +@2330 21000104 +@2331 28800015 +@2332 29400104 +@2333 21FFF636 +@2334 003FE606 +@2335 DEFFF004 +@2336 DC800815 +@2337 DC400715 +@2338 2C800417 +@2339 34400417 +@233A DCC00915 +@233B DC000615 +@233C DFC00F15 +@233D DF000E15 +@233E DDC00D15 +@233F DD800C15 +@2340 DD400B15 +@2341 DD000A15 +@2342 2821883A +@2343 3027883A +@2344 9440040E +@2345 8825883A +@2346 2C400417 +@2347 2827883A +@2348 3021883A +@2349 80800217 +@234A 9447883A +@234B D8C00415 +@234C 81400117 +@234D 10C0010E +@234E 29400044 +@234F 00087C40 +@2350 D8800515 +@2351 D9000417 +@2352 D8C00517 +@2353 2105883A +@2354 1085883A +@2355 19000504 +@2356 2085883A +@2357 D8800315 +@2358 2080052E +@2359 2005883A +@235A D8C00317 +@235B 10000015 +@235C 10800104 +@235D 10FFFC36 +@235E 8C45883A +@235F 9487883A +@2360 9DC00504 +@2361 1085883A +@2362 84000504 +@2363 18C7883A +@2364 B885883A +@2365 DC000015 +@2366 D8800215 +@2367 80F9883A +@2368 B880432E +@2369 D9000115 +@236A B9000017 +@236B 253FFFCC +@236C A0001A26 +@236D DCC00017 +@236E DC800117 +@236F 002B883A +@2370 9C400017 +@2371 94000017 +@2372 A009883A +@2373 897FFFCC +@2374 0002C3C0 +@2375 880AD43A +@2376 80FFFFCC +@2377 A8C7883A +@2378 A009883A +@2379 10E3883A +@237A 8020D43A +@237B 0002C3C0 +@237C 8806D43A +@237D 1405883A +@237E 9CC00104 +@237F 1887883A +@2380 90C0008D +@2381 9440000D +@2382 182AD43A +@2383 94800104 +@2384 9F3FEB36 +@2385 95400015 +@2386 B9000017 +@2387 202AD43A +@2388 A8001C26 +@2389 D9000117 +@238A DD000017 +@238B 002D883A +@238C 24C00017 +@238D 2025883A +@238E 9823883A +@238F A4000017 +@2390 A809883A +@2391 A5000104 +@2392 817FFFCC +@2393 0002C3C0 +@2394 8806D43A +@2395 800AD43A +@2396 94C0000D +@2397 B0C7883A +@2398 10E1883A +@2399 9400008D +@239A A809883A +@239B 94800104 +@239C 0002C3C0 +@239D 94400017 +@239E 8020D43A +@239F 88FFFFCC +@23A0 10C5883A +@23A1 80A7883A +@23A2 982CD43A +@23A3 A73FEB36 +@23A4 94C00015 +@23A5 D8800217 +@23A6 BDC00104 +@23A7 B880042E +@23A8 D8C00117 +@23A9 18C00104 +@23AA D8C00115 +@23AB 003FBE06 +@23AC D9000417 +@23AD 01000C0E +@23AE D8C00317 +@23AF 18BFFF17 +@23B0 18FFFF04 +@23B1 10000326 +@23B2 00000706 +@23B3 18800017 +@23B4 1000051E +@23B5 D9000417 +@23B6 18FFFF04 +@23B7 213FFFC4 +@23B8 D9000415 +@23B9 203FF91E +@23BA D8800417 +@23BB D8C00517 +@23BC 18800415 +@23BD 1805883A +@23BE DFC00F17 +@23BF DF000E17 +@23C0 DDC00D17 +@23C1 DD800C17 +@23C2 DD400B17 +@23C3 DD000A17 +@23C4 DCC00917 +@23C5 DC800817 +@23C6 DC400717 +@23C7 DC000617 +@23C8 DEC01004 +@23C9 F800283A +@23CA DEFFFD04 +@23CB DC000015 +@23CC 04000044 +@23CD DC800115 +@23CE 2825883A +@23CF 800B883A +@23D0 DFC00215 +@23D1 00087C40 +@23D2 14000415 +@23D3 14800515 +@23D4 DFC00217 +@23D5 DC800117 +@23D6 DC000017 +@23D7 DEC00304 +@23D8 F800283A +@23D9 DEFFF604 +@23DA DD800615 +@23DB 2D800417 +@23DC DF000815 +@23DD DDC00715 +@23DE DD400515 +@23DF DD000415 +@23E0 DCC00315 +@23E1 DC800215 +@23E2 DFC00915 +@23E3 DC400115 +@23E4 DC000015 +@23E5 282F883A +@23E6 2039883A +@23E7 302B883A +@23E8 3829883A +@23E9 2C800504 +@23EA 0027883A +@23EB 94400017 +@23EC A80B883A +@23ED 9CC00044 +@23EE 893FFFCC +@23EF 0002C3C0 +@23F0 8808D43A +@23F1 1521883A +@23F2 A80B883A +@23F3 0002C3C0 +@23F4 8008D43A +@23F5 843FFFCC +@23F6 1105883A +@23F7 1006943A +@23F8 1028D43A +@23F9 1C07883A +@23FA 90C00015 +@23FB 94800104 +@23FC 9DBFEE16 +@23FD A0000826 +@23FE B8800217 +@23FF B080130E +@2400 B585883A +@2401 1085883A +@2402 15C5883A +@2403 B0C00044 +@2404 15000515 +@2405 B8C00415 +@2406 B805883A +@2407 DFC00917 +@2408 DF000817 +@2409 DDC00717 +@240A DD800617 +@240B DD400517 +@240C DD000417 +@240D DCC00317 +@240E DC800217 +@240F DC400117 +@2410 DC000017 +@2411 DEC00A04 +@2412 F800283A +@2413 B9400117 +@2414 E009883A +@2415 29400044 +@2416 00087C40 +@2417 B9800417 +@2418 B9400304 +@2419 11000304 +@241A 318D883A +@241B 318D883A +@241C 31800204 +@241D 1023883A +@241E 000802C0 +@241F B80B883A +@2420 E009883A +@2421 00082440 +@2422 882F883A +@2423 003FDC06 +@2424 DEFFFA04 +@2425 308000CC +@2426 DD000415 +@2427 DCC00315 +@2428 DC000015 +@2429 DFC00515 +@242A DC800215 +@242B DC400115 +@242C 3021883A +@242D 2027883A +@242E 2829883A +@242F 10002B1E +@2430 8025D0BA +@2431 90001B26 +@2432 9C001217 +@2433 8000081E +@2434 00003006 +@2435 800B883A +@2436 800D883A +@2437 9809883A +@2438 90001426 +@2439 80800017 +@243A 10001B26 +@243B 1021883A +@243C 9080004C +@243D 1005003A +@243E 9025D07A +@243F 800D883A +@2440 9809883A +@2441 A00B883A +@2442 103FF21E +@2443 0008CD40 +@2444 A00B883A +@2445 9809883A +@2446 1023883A +@2447 00082440 +@2448 8829883A +@2449 800B883A +@244A 800D883A +@244B 9809883A +@244C 903FEC1E +@244D A005883A +@244E DFC00517 +@244F DD000417 +@2450 DCC00317 +@2451 DC800217 +@2452 DC400117 +@2453 DC000017 +@2454 DEC00604 +@2455 F800283A +@2456 0008CD40 +@2457 80800015 +@2458 1021883A +@2459 10000015 +@245A 003FE106 +@245B 1085883A +@245C 00C00074 +@245D 18C15D04 +@245E 1085883A +@245F 10C5883A +@2460 11BFFF17 +@2461 000F883A +@2462 0008F640 +@2463 1029883A +@2464 003FCB06 +@2465 9809883A +@2466 01409C44 +@2467 0008F280 +@2468 98801215 +@2469 1021883A +@246A 10000015 +@246B 003FD006 +@246C DEFFF904 +@246D DCC00315 +@246E DC800215 +@246F 2827883A +@2470 2025883A +@2471 01400244 +@2472 39000204 +@2473 DD000415 +@2474 DC400115 +@2475 DFC00615 +@2476 DD400515 +@2477 DC000015 +@2478 3829883A +@2479 3023883A +@247A 0002B6C0 +@247B 00C00044 +@247C 1880350E +@247D 000B883A +@247E 18C7883A +@247F 29400044 +@2480 18BFFD16 +@2481 9009883A +@2482 00087C40 +@2483 1011883A +@2484 D8800717 +@2485 00C00044 +@2486 01800244 +@2487 40800515 +@2488 40C00415 +@2489 3440260E +@248A 3021883A +@248B 99AB883A +@248C 9C05883A +@248D 11C00007 +@248E 400B883A +@248F 9009883A +@2490 39FFF404 +@2491 01800284 +@2492 0008F640 +@2493 84000044 +@2494 1011883A +@2495 8C3FF61E +@2496 AC45883A +@2497 117FFE04 +@2498 880D883A +@2499 35000C0E +@249A A185C83A +@249B 2821883A +@249C 28A3883A +@249D 81C00007 +@249E 400B883A +@249F 9009883A +@24A0 39FFF404 +@24A1 01800284 +@24A2 0008F640 +@24A3 84000044 +@24A4 1011883A +@24A5 847FF71E +@24A6 4005883A +@24A7 DFC00617 +@24A8 DD400517 +@24A9 DD000417 +@24AA DCC00317 +@24AB DC800217 +@24AC DC400117 +@24AD DC000017 +@24AE DEC00704 +@24AF F800283A +@24B0 99400284 +@24B1 003FE706 +@24B2 000B883A +@24B3 003FCD06 +@24B4 DEFFF404 +@24B5 DD800815 +@24B6 DC800415 +@24B7 DC400315 +@24B8 DFC00B15 +@24B9 DF000A15 +@24BA DDC00915 +@24BB DD400715 +@24BC DD000615 +@24BD DCC00515 +@24BE DC000215 +@24BF 2825883A +@24C0 3023883A +@24C1 202D883A +@24C2 2800C926 +@24C3 000CB980 +@24C4 943FFE04 +@24C5 88C002C4 +@24C6 00800584 +@24C7 82000117 +@24C8 10C01B2E +@24C9 00BFFE04 +@24CA 188E703A +@24CB 3839883A +@24CC 38001A16 +@24CD E4401936 +@24CE 013FFF04 +@24CF 4126703A +@24D0 99C02616 +@24D1 802B883A +@24D2 9829883A +@24D3 84000204 +@24D4 A80F883A +@24D5 A70DC83A +@24D6 008003C4 +@24D7 1180C136 +@24D8 38800117 +@24D9 A549883A +@24DA 1080004C +@24DB A084B03A +@24DC 38800115 +@24DD 20C00117 +@24DE 18C00054 +@24DF 20C00115 +@24E0 B009883A +@24E1 000CBB80 +@24E2 8023883A +@24E3 00000606 +@24E4 01C00404 +@24E5 3839883A +@24E6 E47FE72E +@24E7 00800304 +@24E8 0023883A +@24E9 B0800015 +@24EA 8805883A +@24EB DFC00B17 +@24EC DF000A17 +@24ED DDC00917 +@24EE DD800817 +@24EF DD400717 +@24F0 DD000617 +@24F1 DCC00517 +@24F2 DC800417 +@24F3 DC400317 +@24F4 DC000217 +@24F5 DEC00C04 +@24F6 F800283A +@24F7 00800074 +@24F8 1082B804 +@24F9 12400217 +@24FA 84CD883A +@24FB 802B883A +@24FC 3240B926 +@24FD 31400117 +@24FE 00BFFF84 +@24FF 2884703A +@2500 1185883A +@2501 10C00117 +@2502 18C0004C +@2503 1807003A +@2504 1800A326 +@2505 2908703A +@2506 9929883A +@2507 A1C0A30E +@2508 4080004C +@2509 1000551E +@250A 80800017 +@250B 80AFC83A +@250C B8C00117 +@250D 00BFFF04 +@250E 1884703A +@250F 30002E26 +@2510 3240B926 +@2511 98A9883A +@2512 2509883A +@2513 D9000015 +@2514 21C02A16 +@2515 30800317 +@2516 30C00217 +@2517 01400904 +@2518 99BFFF04 +@2519 18800315 +@251A 10C00215 +@251B B9000317 +@251C B8800217 +@251D B82B883A +@251E BC000204 +@251F 20800215 +@2520 11000315 +@2521 2980E436 +@2522 008004C4 +@2523 9009883A +@2524 8011883A +@2525 11800F2E +@2526 90800017 +@2527 BA000404 +@2528 91000204 +@2529 B8800215 +@252A 90C00117 +@252B 008006C4 +@252C B8C00315 +@252D 1180072E +@252E 90C00217 +@252F BA000604 +@2530 91000404 +@2531 B8C00415 +@2532 90800317 +@2533 B8800515 +@2534 3140E726 +@2535 20800017 +@2536 DD000017 +@2537 B80F883A +@2538 40800015 +@2539 20C00117 +@253A 40C00115 +@253B 20800217 +@253C 40800215 +@253D 003F9706 +@253E 98A9883A +@253F A1C01F16 +@2540 B8C00317 +@2541 B8800217 +@2542 99BFFF04 +@2543 01400904 +@2544 B82B883A +@2545 18800215 +@2546 10C00315 +@2547 BC000204 +@2548 2980C336 +@2549 008004C4 +@254A 9009883A +@254B 8011883A +@254C 11800F2E +@254D 90800017 +@254E BA000404 +@254F 91000204 +@2550 B8800215 +@2551 90C00117 +@2552 008006C4 +@2553 B8C00315 +@2554 1180072E +@2555 90C00217 +@2556 BA000604 +@2557 91000404 +@2558 B8C00415 +@2559 90800317 +@255A B8800515 +@255B 3140C726 +@255C 20800017 +@255D B80F883A +@255E 003FD906 +@255F 880B883A +@2560 B009883A +@2561 00078080 +@2562 1023883A +@2563 10002526 +@2564 80800117 +@2565 00FFFF84 +@2566 893FFE04 +@2567 10C4703A +@2568 8085883A +@2569 20809526 +@256A 99BFFF04 +@256B 01C00904 +@256C 39804536 +@256D 008004C4 +@256E 9009883A +@256F 880B883A +@2570 11800F2E +@2571 90800017 +@2572 89400204 +@2573 91000204 +@2574 88800015 +@2575 90C00117 +@2576 008006C4 +@2577 88C00115 +@2578 1180072E +@2579 90C00217 +@257A 89400404 +@257B 91000404 +@257C 88C00215 +@257D 90800317 +@257E 88800315 +@257F 31C09126 +@2580 20800017 +@2581 28800015 +@2582 20C00117 +@2583 28C00115 +@2584 20800217 +@2585 28800215 +@2586 900B883A +@2587 B009883A +@2588 0006C800 +@2589 B009883A +@258A 000CBB80 +@258B 003F5E06 +@258C 300B883A +@258D DFC00B17 +@258E DF000A17 +@258F DDC00917 +@2590 DD800817 +@2591 DD400717 +@2592 DD000617 +@2593 DCC00517 +@2594 DC800417 +@2595 DC400317 +@2596 DC000217 +@2597 DEC00C04 +@2598 00078081 +@2599 38800117 +@259A E54B883A +@259B 31000054 +@259C 1080004C +@259D 1704B03A +@259E 38800115 +@259F 29000115 +@25A0 2987883A +@25A1 18800117 +@25A2 29400204 +@25A3 B009883A +@25A4 10800054 +@25A5 18800115 +@25A6 0006C800 +@25A7 003F3806 +@25A8 000D883A +@25A9 0009883A +@25AA 003F5D06 +@25AB 30C00217 +@25AC 30800317 +@25AD 800F883A +@25AE 84000204 +@25AF 10C00215 +@25B0 18800315 +@25B1 003F2306 +@25B2 8809883A +@25B3 900B883A +@25B4 00080CC0 +@25B5 003FD006 +@25B6 30800117 +@25B7 E0C00404 +@25B8 1108703A +@25B9 9905883A +@25BA 10FF4D16 +@25BB 1705C83A +@25BC 870B883A +@25BD 10800054 +@25BE 28800115 +@25BF 80C00117 +@25C0 00800074 +@25C1 1082B804 +@25C2 B009883A +@25C3 18C0004C +@25C4 E0C6B03A +@25C5 11400215 +@25C6 80C00115 +@25C7 000CBB80 +@25C8 84400204 +@25C9 003F2006 +@25CA 98A9883A +@25CB 2509883A +@25CC E0800404 +@25CD D9000115 +@25CE 20BF7016 +@25CF B8C00317 +@25D0 B8800217 +@25D1 99BFFF04 +@25D2 01400904 +@25D3 18800215 +@25D4 10C00315 +@25D5 BC400204 +@25D6 29804136 +@25D7 008004C4 +@25D8 9009883A +@25D9 880F883A +@25DA 11800F2E +@25DB 90800017 +@25DC B9C00404 +@25DD 91000204 +@25DE B8800215 +@25DF 90C00117 +@25E0 008006C4 +@25E1 B8C00315 +@25E2 1180072E +@25E3 90C00217 +@25E4 B9C00604 +@25E5 91000404 +@25E6 B8C00415 +@25E7 90800317 +@25E8 B8800515 +@25E9 31404026 +@25EA 20800017 +@25EB 38800015 +@25EC 20C00117 +@25ED 38C00115 +@25EE 20800217 +@25EF 38800215 +@25F0 D8C00117 +@25F1 BF0B883A +@25F2 B009883A +@25F3 1F05C83A +@25F4 10800054 +@25F5 28800115 +@25F6 B8C00117 +@25F7 00800074 +@25F8 1082B804 +@25F9 11400215 +@25FA 18C0004C +@25FB E0C6B03A +@25FC B8C00115 +@25FD 000CBB80 +@25FE 003EEB06 +@25FF 20800117 +@2600 00FFFF04 +@2601 800F883A +@2602 10C4703A +@2603 98A9883A +@2604 84000204 +@2605 003ECF06 +@2606 900B883A +@2607 8009883A +@2608 00080CC0 +@2609 DD000017 +@260A B80F883A +@260B 003EC906 +@260C 900B883A +@260D 8009883A +@260E 00080CC0 +@260F B80F883A +@2610 003EC406 +@2611 90C00417 +@2612 89400604 +@2613 91000604 +@2614 88C00415 +@2615 90800517 +@2616 88800515 +@2617 003F6806 +@2618 900B883A +@2619 8809883A +@261A 00080CC0 +@261B 003FD406 +@261C 90C00417 +@261D 91000604 +@261E BA000804 +@261F B8C00615 +@2620 90800517 +@2621 B8800715 +@2622 003F1206 +@2623 90C00417 +@2624 91000604 +@2625 BA000804 +@2626 B8C00615 +@2627 90800517 +@2628 B8800715 +@2629 003F3206 +@262A 90C00417 +@262B 91000604 +@262C B9C00804 +@262D B8C00615 +@262E 90800517 +@262F B8800715 +@2630 003FB906 +@2631 200D883A +@2632 0109C83A +@2633 2188B03A +@2634 2008D7FA +@2635 00A00034 +@2636 10BFFFC4 +@2637 1144703A +@2638 2088B03A +@2639 009FFC34 +@263A 1105C83A +@263B 0087C83A +@263C 10C4B03A +@263D 1004D7FA +@263E 00C00044 +@263F 1885C83A +@2640 F800283A +@2641 200D883A +@2642 0109C83A +@2643 2188B03A +@2644 2008D7FA +@2645 00A00034 +@2646 10BFFFC4 +@2647 1144703A +@2648 2088B03A +@2649 009FFC34 +@264A 1105C83A +@264B 1004D7FA +@264C F800283A +@264D DEFFFD04 +@264E DC000015 +@264F 04000074 +@2650 840F8404 +@2651 DC400115 +@2652 80000015 +@2653 2023883A +@2654 2809883A +@2655 DFC00215 +@2656 000CDD40 +@2657 1007883A +@2658 00BFFFC4 +@2659 18800626 +@265A 1805883A +@265B DFC00217 +@265C DC400117 +@265D DC000017 +@265E DEC00304 +@265F F800283A +@2660 80800017 +@2661 103FF826 +@2662 88800015 +@2663 1805883A +@2664 DFC00217 +@2665 DC400117 +@2666 DC000017 +@2667 DEC00304 +@2668 F800283A +@2669 2940038F +@266A 0009CEC1 +@266B DEFFFE04 +@266C DC000015 +@266D 2821883A +@266E 2940038F +@266F DFC00115 +@2670 0009F640 +@2671 1007883A +@2672 00BFFFC4 +@2673 18800926 +@2674 8080030B +@2675 80C01415 +@2676 10840014 +@2677 8080030D +@2678 1805883A +@2679 DFC00117 +@267A DC000017 +@267B DEC00204 +@267C F800283A +@267D 8080030B +@267E 10BBFFCC +@267F 8080030D +@2680 1805883A +@2681 DFC00117 +@2682 DC000017 +@2683 DEC00204 +@2684 F800283A +@2685 2880030B +@2686 DEFFFB04 +@2687 DCC00315 +@2688 1080400C +@2689 DC800215 +@268A DC400115 +@268B DC000015 +@268C 3027883A +@268D 3825883A +@268E DFC00415 +@268F 2821883A +@2690 000D883A +@2691 01C00084 +@2692 2023883A +@2693 10000226 +@2694 2940038F +@2695 0009F640 +@2696 8080030B +@2697 8140038F +@2698 8809883A +@2699 10BBFFCC +@269A 980D883A +@269B 900F883A +@269C 8080030D +@269D DFC00417 +@269E DCC00317 +@269F DC800217 +@26A0 DC400117 +@26A1 DC000017 +@26A2 DEC00504 +@26A3 0009BAC1 +@26A4 DEFFFE04 +@26A5 DC000015 +@26A6 2821883A +@26A7 2940038F +@26A8 DFC00115 +@26A9 0009FDC0 +@26AA 1007883A +@26AB 10000816 +@26AC 80801417 +@26AD 10C5883A +@26AE 80801415 +@26AF 1805883A +@26B0 DFC00117 +@26B1 DC000017 +@26B2 DEC00204 +@26B3 F800283A +@26B4 8080030B +@26B5 10BBFFCC +@26B6 8080030D +@26B7 1805883A +@26B8 DFC00117 +@26B9 DC000017 +@26BA DEC00204 +@26BB F800283A +@26BC 2144B03A +@26BD 108000CC +@26BE 10001D1E +@26BF 200F883A +@26C0 28800017 +@26C1 21000017 +@26C2 280D883A +@26C3 2080161E +@26C4 023FBFF4 +@26C5 423FBFC4 +@26C6 2207883A +@26C7 01602074 +@26C8 29602004 +@26C9 1946703A +@26CA 0104303A +@26CB 10C4703A +@26CC 10001C1E +@26CD 4013883A +@26CE 2811883A +@26CF 00000106 +@26D0 1800181E +@26D1 39C00104 +@26D2 39000017 +@26D3 31800104 +@26D4 31400017 +@26D5 2245883A +@26D6 1204703A +@26D7 0106303A +@26D8 1886703A +@26D9 217FF626 +@26DA 3809883A +@26DB 300B883A +@26DC 20C00007 +@26DD 1800051E +@26DE 00000606 +@26DF 21000044 +@26E0 20C00007 +@26E1 29400044 +@26E2 18000226 +@26E3 28800007 +@26E4 18BFFA26 +@26E5 20C00003 +@26E6 28800003 +@26E7 1885C83A +@26E8 F800283A +@26E9 0005883A +@26EA F800283A +@26EB DEFFFD04 +@26EC DC000015 +@26ED 04000074 +@26EE 840F8404 +@26EF DC400115 +@26F0 80000015 +@26F1 2023883A +@26F2 2809883A +@26F3 300B883A +@26F4 380D883A +@26F5 DFC00215 +@26F6 000CEC00 +@26F7 1007883A +@26F8 00BFFFC4 +@26F9 18800626 +@26FA 1805883A +@26FB DFC00217 +@26FC DC400117 +@26FD DC000017 +@26FE DEC00304 +@26FF F800283A +@2700 80800017 +@2701 103FF826 +@2702 88800015 +@2703 1805883A +@2704 DFC00217 +@2705 DC400117 +@2706 DC000017 +@2707 DEC00304 +@2708 F800283A +@2709 DEFFFE04 +@270A DC400015 +@270B 2023883A +@270C 2809883A +@270D 300B883A +@270E DFC00115 +@270F 0002C3C0 +@2710 100B883A +@2711 8809883A +@2712 00078080 +@2713 1023883A +@2714 01C00904 +@2715 10000D26 +@2716 10FFFF17 +@2717 1009883A +@2718 00BFFF04 +@2719 1886703A +@271A 1887883A +@271B 180D883A +@271C 000B883A +@271D 38C01736 +@271E 008004C4 +@271F 10C00836 +@2720 20000215 +@2721 20000015 +@2722 20000115 +@2723 8805883A +@2724 DFC00117 +@2725 DC400017 +@2726 DEC00204 +@2727 F800283A +@2728 008006C4 +@2729 88000015 +@272A 88000115 +@272B 89000204 +@272C 10FFF32E +@272D 88000215 +@272E 88000315 +@272F 89000404 +@2730 19FFEF1E +@2731 89000604 +@2732 88000415 +@2733 88000515 +@2734 003FEB06 +@2735 00081AC0 +@2736 8805883A +@2737 DFC00117 +@2738 DC400017 +@2739 DEC00204 +@273A F800283A +@273B DEFFFD04 +@273C DC000015 +@273D 04000074 +@273E 840F8404 +@273F DC400115 +@2740 80000015 +@2741 2023883A +@2742 2809883A +@2743 DFC00215 +@2744 000C5040 +@2745 1007883A +@2746 00BFFFC4 +@2747 18800626 +@2748 1805883A +@2749 DFC00217 +@274A DC400117 +@274B DC000017 +@274C DEC00304 +@274D F800283A +@274E 80800017 +@274F 103FF826 +@2750 88800015 +@2751 1805883A +@2752 DFC00217 +@2753 DC400117 +@2754 DC000017 +@2755 DEC00304 +@2756 F800283A +@2757 DEFFFC04 +@2758 DC400115 +@2759 DC000015 +@275A DFC00315 +@275B DC800215 +@275C 2821883A +@275D 2023883A +@275E 28002926 +@275F 00068B80 +@2760 88000226 +@2761 88800E17 +@2762 10002D26 +@2763 8080030F +@2764 10002226 +@2765 8809883A +@2766 800B883A +@2767 00066300 +@2768 1025883A +@2769 80800B17 +@276A 10000426 +@276B 81400717 +@276C 8809883A +@276D 103EE83A +@276E 10002A16 +@276F 8080030B +@2770 1080200C +@2771 1000231E +@2772 81400C17 +@2773 28000526 +@2774 80801004 +@2775 28800226 +@2776 8809883A +@2777 0006C800 +@2778 80000C15 +@2779 81401117 +@277A 28000326 +@277B 8809883A +@277C 0006C800 +@277D 80001115 +@277E 8000030D +@277F 00068BC0 +@2780 9005883A +@2781 DFC00317 +@2782 DC800217 +@2783 DC400117 +@2784 DC000017 +@2785 DEC00404 +@2786 F800283A +@2787 00068BC0 +@2788 0025883A +@2789 9005883A +@278A DFC00317 +@278B DC800217 +@278C DC400117 +@278D DC000017 +@278E DEC00404 +@278F F800283A +@2790 8809883A +@2791 00068C80 +@2792 8080030F +@2793 103FD11E +@2794 003FF206 +@2795 81400417 +@2796 8809883A +@2797 0006C800 +@2798 003FD906 +@2799 04BFFFC4 +@279A 003FD406 +@279B 00800074 +@279C 10888604 +@279D 200B883A +@279E 11000017 +@279F 0009D5C1 +@27A0 DEFFFD04 +@27A1 DC000015 +@27A2 04000074 +@27A3 840F8404 +@27A4 DC400115 +@27A5 80000015 +@27A6 2023883A +@27A7 2809883A +@27A8 300B883A +@27A9 DFC00215 +@27AA 000C6900 +@27AB 1007883A +@27AC 00BFFFC4 +@27AD 18800626 +@27AE 1805883A +@27AF DFC00217 +@27B0 DC400117 +@27B1 DC000017 +@27B2 DEC00304 +@27B3 F800283A +@27B4 80800017 +@27B5 103FF826 +@27B6 88800015 +@27B7 1805883A +@27B8 DFC00217 +@27B9 DC400117 +@27BA DC000017 +@27BB DEC00304 +@27BC F800283A +@27BD DEFFFD04 +@27BE DC000015 +@27BF 04000074 +@27C0 840F8404 +@27C1 DC400115 +@27C2 80000015 +@27C3 2023883A +@27C4 2809883A +@27C5 DFC00215 +@27C6 000C7C80 +@27C7 1007883A +@27C8 00BFFFC4 +@27C9 18800626 +@27CA 1805883A +@27CB DFC00217 +@27CC DC400117 +@27CD DC000017 +@27CE DEC00304 +@27CF F800283A +@27D0 80800017 +@27D1 103FF826 +@27D2 88800015 +@27D3 1805883A +@27D4 DFC00217 +@27D5 DC400117 +@27D6 DC000017 +@27D7 DEC00304 +@27D8 F800283A +@27D9 DEFFFD04 +@27DA DC000015 +@27DB 04000074 +@27DC 840F8404 +@27DD DC400115 +@27DE 80000015 +@27DF 2023883A +@27E0 2809883A +@27E1 300B883A +@27E2 380D883A +@27E3 DFC00215 +@27E4 000C9D80 +@27E5 1007883A +@27E6 00BFFFC4 +@27E7 18800626 +@27E8 1805883A +@27E9 DFC00217 +@27EA DC400117 +@27EB DC000017 +@27EC DEC00304 +@27ED F800283A +@27EE 80800017 +@27EF 103FF826 +@27F0 88800015 +@27F1 1805883A +@27F2 DFC00217 +@27F3 DC400117 +@27F4 DC000017 +@27F5 DEC00304 +@27F6 F800283A +@27F7 DEFFFD04 +@27F8 DC000015 +@27F9 04000074 +@27FA 840F8404 +@27FB DC400115 +@27FC 80000015 +@27FD 2023883A +@27FE 2809883A +@27FF 300B883A +@2800 380D883A +@2801 DFC00215 +@2802 000CBD80 +@2803 1007883A +@2804 00BFFFC4 +@2805 18800626 +@2806 1805883A +@2807 DFC00217 +@2808 DC400117 +@2809 DC000017 +@280A DEC00304 +@280B F800283A +@280C 80800017 +@280D 103FF826 +@280E 88800015 +@280F 1805883A +@2810 DFC00217 +@2811 DC400117 +@2812 DC000017 +@2813 DEC00304 +@2814 F800283A +@2815 DEFFF104 +@2816 0015883A +@2817 2005883A +@2818 3011883A +@2819 DF000D15 +@281A DD400A15 +@281B DCC00815 +@281C DFC00E15 +@281D DDC00C15 +@281E DD800B15 +@281F DD000915 +@2820 DC800715 +@2821 DC400615 +@2822 DC000515 +@2823 DA800315 +@2824 4027883A +@2825 1039883A +@2826 282B883A +@2827 DA800415 +@2828 3800401E +@2829 2A006536 +@282A 4000B526 +@282B 00BFFFD4 +@282C 14C0AD36 +@282D 00803FC4 +@282E 14C15E36 +@282F 000B883A +@2830 0005883A +@2831 9884D83A +@2832 01000074 +@2833 21016504 +@2834 01800804 +@2835 1105883A +@2836 10C00003 +@2837 28C7883A +@2838 30E9C83A +@2839 A0010A1E +@283A 982ED43A +@283B ACEBC83A +@283C 9DBFFFCC +@283D 05000044 +@283E A809883A +@283F B80B883A +@2840 0002C2C0 +@2841 100B883A +@2842 B009883A +@2843 1021883A +@2844 0002C3C0 +@2845 A809883A +@2846 B80B883A +@2847 1023883A +@2848 0002C340 +@2849 1004943A +@284A E006D43A +@284B 10C4B03A +@284C 1440042E +@284D 14C5883A +@284E 843FFFC4 +@284F 14C00136 +@2850 14415C36 +@2851 1463C83A +@2852 8809883A +@2853 B80B883A +@2854 0002C2C0 +@2855 100B883A +@2856 B009883A +@2857 102B883A +@2858 0002C3C0 +@2859 8809883A +@285A B80B883A +@285B 1025883A +@285C 0002C340 +@285D 1004943A +@285E E0FFFFCC +@285F 10C4B03A +@2860 1480042E +@2861 9885883A +@2862 AD7FFFC4 +@2863 14C00136 +@2864 14813C36 +@2865 8004943A +@2866 A009883A +@2867 A884B03A +@2868 00001506 +@2869 380D883A +@286A 29C06C36 +@286B 00BFFFD4 +@286C 11C06436 +@286D 00803FC4 +@286E 11C11836 +@286F 000B883A +@2870 0005883A +@2871 3084D83A +@2872 01000074 +@2873 21016504 +@2874 01C00804 +@2875 1105883A +@2876 10C00003 +@2877 28C7883A +@2878 38EDC83A +@2879 B000731E +@287A 35400136 +@287B E4C05B36 +@287C 00800044 +@287D 0009883A +@287E D8800315 +@287F D9400317 +@2880 2007883A +@2881 D9000415 +@2882 2805883A +@2883 DFC00E17 +@2884 DF000D17 +@2885 DDC00C17 +@2886 DD800B17 +@2887 DD400A17 +@2888 DD000917 +@2889 DCC00817 +@288A DC800717 +@288B DC400617 +@288C DC000517 +@288D DEC00F04 +@288E F800283A +@288F 00BFFFD4 +@2890 12005636 +@2891 00803FC4 +@2892 12010036 +@2893 000B883A +@2894 0005883A +@2895 9884D83A +@2896 01000074 +@2897 21016504 +@2898 01800804 +@2899 1105883A +@289A 10C00003 +@289B 28C7883A +@289C 30CBC83A +@289D 28000626 +@289E 3145C83A +@289F E084D83A +@28A0 A946983A +@28A1 E178983A +@28A2 9966983A +@28A3 18AAB03A +@28A4 982ED43A +@28A5 A809883A +@28A6 9CBFFFCC +@28A7 B80B883A +@28A8 0002C2C0 +@28A9 100B883A +@28AA 9009883A +@28AB 1021883A +@28AC 0002C3C0 +@28AD A809883A +@28AE B80B883A +@28AF 1023883A +@28B0 0002C340 +@28B1 1004943A +@28B2 E006D43A +@28B3 10C4B03A +@28B4 1440042E +@28B5 14C5883A +@28B6 843FFFC4 +@28B7 14C00136 +@28B8 1440EA36 +@28B9 1463C83A +@28BA 8809883A +@28BB B80B883A +@28BC 0002C2C0 +@28BD 100B883A +@28BE 9009883A +@28BF 102B883A +@28C0 0002C3C0 +@28C1 8809883A +@28C2 B80B883A +@28C3 1025883A +@28C4 0002C340 +@28C5 1004943A +@28C6 E0FFFFCC +@28C7 10C4B03A +@28C8 1480042E +@28C9 9885883A +@28CA AD7FFFC4 +@28CB 14C00136 +@28CC 1480D936 +@28CD 8004943A +@28CE 0009883A +@28CF A884B03A +@28D0 003FAD06 +@28D1 00804034 +@28D2 10BFFFC4 +@28D3 11C0B636 +@28D4 01400404 +@28D5 2805883A +@28D6 003F9A06 +@28D7 0005883A +@28D8 0009883A +@28D9 003FA406 +@28DA 00804034 +@28DB 10BFFFC4 +@28DC 14C0B336 +@28DD 01400404 +@28DE 2805883A +@28DF 003F5106 +@28E0 01000044 +@28E1 000B883A +@28E2 0002C2C0 +@28E3 1027883A +@28E4 00BFFFD4 +@28E5 14FFF436 +@28E6 003F4606 +@28E7 00804034 +@28E8 10BFFFC4 +@28E9 1200AC36 +@28EA 01400404 +@28EB 2805883A +@28EC 003FA806 +@28ED 3D85C83A +@28EE 3588983A +@28EF 9886D83A +@28F0 A8A2D83A +@28F1 E084D83A +@28F2 20EEB03A +@28F3 B824D43A +@28F4 AD86983A +@28F5 8809883A +@28F6 900B883A +@28F7 1886B03A +@28F8 D8C00115 +@28F9 BC3FFFCC +@28FA 0002C2C0 +@28FB 100B883A +@28FC 8009883A +@28FD 1029883A +@28FE 0002C3C0 +@28FF 900B883A +@2900 8809883A +@2901 102B883A +@2902 0002C340 +@2903 D9400117 +@2904 1004943A +@2905 9DA6983A +@2906 2806D43A +@2907 10C4B03A +@2908 1540032E +@2909 15C5883A +@290A A53FFFC4 +@290B 15C0912E +@290C 1563C83A +@290D 8809883A +@290E 900B883A +@290F 0002C2C0 +@2910 100B883A +@2911 8009883A +@2912 102B883A +@2913 0002C3C0 +@2914 8809883A +@2915 900B883A +@2916 1021883A +@2917 0002C340 +@2918 DA800117 +@2919 1004943A +@291A 50FFFFCC +@291B 10C6B03A +@291C 1C00032E +@291D 1DC7883A +@291E AD7FFFC4 +@291F 1DC0792E +@2920 A004943A +@2921 982ED43A +@2922 9CFFFFCC +@2923 A8A4B03A +@2924 947FFFCC +@2925 902AD43A +@2926 8809883A +@2927 980B883A +@2928 1C21C83A +@2929 0002C3C0 +@292A 8809883A +@292B B80B883A +@292C 1029883A +@292D 0002C3C0 +@292E 980B883A +@292F A809883A +@2930 1023883A +@2931 0002C3C0 +@2932 A809883A +@2933 B80B883A +@2934 1027883A +@2935 0002C3C0 +@2936 1009883A +@2937 A004D43A +@2938 8CE3883A +@2939 1447883A +@293A 1CC0022E +@293B 00800074 +@293C 2089883A +@293D 1804D43A +@293E 2085883A +@293F 80804436 +@2940 80803E26 +@2941 9005883A +@2942 0009883A +@2943 003F3A06 +@2944 9D26983A +@2945 3505C83A +@2946 A8A2D83A +@2947 982ED43A +@2948 E084D83A +@2949 AD06983A +@294A 8809883A +@294B B80B883A +@294C 1886B03A +@294D D8C00015 +@294E 9DBFFFCC +@294F 0002C2C0 +@2950 100B883A +@2951 B009883A +@2952 D8800215 +@2953 0002C3C0 +@2954 8809883A +@2955 B80B883A +@2956 102B883A +@2957 0002C340 +@2958 D9000017 +@2959 1004943A +@295A 2006D43A +@295B 10C4B03A +@295C 1540052E +@295D D9400217 +@295E 14C5883A +@295F 297FFFC4 +@2960 D9400215 +@2961 14C0462E +@2962 1563C83A +@2963 8809883A +@2964 B80B883A +@2965 0002C2C0 +@2966 100B883A +@2967 B009883A +@2968 1025883A +@2969 0002C3C0 +@296A 8809883A +@296B B80B883A +@296C 1021883A +@296D 0002C340 +@296E DA800017 +@296F 1004943A +@2970 50FFFFCC +@2971 10C6B03A +@2972 1C00062E +@2973 1CC7883A +@2974 94BFFFC4 +@2975 1CC00336 +@2976 1C00022E +@2977 94BFFFC4 +@2978 1CC7883A +@2979 D9000217 +@297A E538983A +@297B 1C2BC83A +@297C 2004943A +@297D 90A8B03A +@297E 003EBF06 +@297F 1804943A +@2980 E588983A +@2981 A0FFFFCC +@2982 10C5883A +@2983 20BFBD2E +@2984 90BFFFC4 +@2985 0009883A +@2986 003EF706 +@2987 01400204 +@2988 2805883A +@2989 003EE706 +@298A 01400604 +@298B 2805883A +@298C 003EE406 +@298D 01400204 +@298E 2805883A +@298F 003EA106 +@2990 01400604 +@2991 2805883A +@2992 003E9E06 +@2993 01400204 +@2994 2805883A +@2995 003EFF06 +@2996 01400604 +@2997 2805883A +@2998 003EFC06 +@2999 1C3F862E +@299A 1DC7883A +@299B AD7FFFC4 +@299C 003F8306 +@299D 157F6E2E +@299E A53FFFC4 +@299F 15C5883A +@29A0 003F6B06 +@29A1 AD7FFFC4 +@29A2 003EC206 +@29A3 843FFFC4 +@29A4 14C5883A +@29A5 003F1306 +@29A6 AD7FFFC4 +@29A7 003F2506 +@29A8 157FB92E +@29A9 297FFFC4 +@29AA 14C5883A +@29AB D9400215 +@29AC 003FB506 +@29AD 843FFFC4 +@29AE 14C5883A +@29AF 003EA106 +@29B0 DEFFF004 +@29B1 3011883A +@29B2 000D883A +@29B3 DD400B15 +@29B4 DCC00915 +@29B5 DC000615 +@29B6 DFC00F15 +@29B7 DF000E15 +@29B8 DDC00D15 +@29B9 DD800C15 +@29BA DD000A15 +@29BB DC800815 +@29BC DC400715 +@29BD 2817883A +@29BE D9800415 +@29BF 4027883A +@29C0 D9800515 +@29C1 2021883A +@29C2 282B883A +@29C3 38002C1E +@29C4 2A005636 +@29C5 40009A26 +@29C6 00BFFFD4 +@29C7 14C09236 +@29C8 00803FC4 +@29C9 14C15C36 +@29CA 000B883A +@29CB 0005883A +@29CC 9884D83A +@29CD 01000074 +@29CE 21016504 +@29CF 01800804 +@29D0 1105883A +@29D1 10C00003 +@29D2 28C7883A +@29D3 30E5C83A +@29D4 9000A41E +@29D5 982ED43A +@29D6 ACEBC83A +@29D7 9D3FFFCC +@29D8 002D883A +@29D9 A809883A +@29DA B80B883A +@29DB 0002C2C0 +@29DC 100B883A +@29DD A009883A +@29DE 0002C3C0 +@29DF A809883A +@29E0 B80B883A +@29E1 1023883A +@29E2 0002C340 +@29E3 1004943A +@29E4 8006D43A +@29E5 10C4B03A +@29E6 1440032E +@29E7 14C5883A +@29E8 14C00136 +@29E9 14415836 +@29EA 1463C83A +@29EB 8809883A +@29EC B80B883A +@29ED 0002C2C0 +@29EE A009883A +@29EF 00005306 +@29F0 380D883A +@29F1 29C0132E +@29F2 D9000415 +@29F3 D9400515 +@29F4 D9400417 +@29F5 5813883A +@29F6 2811883A +@29F7 4005883A +@29F8 4807883A +@29F9 DFC00F17 +@29FA DF000E17 +@29FB DDC00D17 +@29FC DD800C17 +@29FD DD400B17 +@29FE DD000A17 +@29FF DCC00917 +@2A00 DC800817 +@2A01 DC400717 +@2A02 DC000617 +@2A03 DEC01004 +@2A04 F800283A +@2A05 00BFFFD4 +@2A06 11C06636 +@2A07 00803FC4 +@2A08 11C12036 +@2A09 000B883A +@2A0A 0005883A +@2A0B 3084D83A +@2A0C 01000074 +@2A0D 21016504 +@2A0E 01C00804 +@2A0F 1105883A +@2A10 10C00003 +@2A11 28C7883A +@2A12 38E5C83A +@2A13 9000941E +@2A14 35405E36 +@2A15 84C05D2E +@2A16 8011883A +@2A17 A813883A +@2A18 DC000415 +@2A19 DD400515 +@2A1A 003FDC06 +@2A1B 00BFFFD4 +@2A1C 12004A36 +@2A1D 00803FC4 +@2A1E 12010D36 +@2A1F 000B883A +@2A20 0005883A +@2A21 9884D83A +@2A22 01000074 +@2A23 21016504 +@2A24 01800804 +@2A25 1105883A +@2A26 10C00003 +@2A27 28C7883A +@2A28 30C7C83A +@2A29 1800DC1E +@2A2A 002D883A +@2A2B 982ED43A +@2A2C A809883A +@2A2D 9CBFFFCC +@2A2E B80B883A +@2A2F 0002C2C0 +@2A30 100B883A +@2A31 9009883A +@2A32 0002C3C0 +@2A33 A809883A +@2A34 B80B883A +@2A35 1023883A +@2A36 0002C340 +@2A37 1004943A +@2A38 8006D43A +@2A39 10C4B03A +@2A3A 1440032E +@2A3B 14C5883A +@2A3C 14C00136 +@2A3D 14410236 +@2A3E 1463C83A +@2A3F 8809883A +@2A40 B80B883A +@2A41 0002C2C0 +@2A42 9009883A +@2A43 100B883A +@2A44 0002C3C0 +@2A45 8809883A +@2A46 B80B883A +@2A47 102B883A +@2A48 0002C340 +@2A49 1004943A +@2A4A 80FFFFCC +@2A4B 10C4B03A +@2A4C 1540042E +@2A4D 14C5883A +@2A4E 14C00236 +@2A4F 1540012E +@2A50 14C5883A +@2A51 1545C83A +@2A52 1584D83A +@2A53 0013883A +@2A54 D8800415 +@2A55 D8C00417 +@2A56 0005883A +@2A57 D8800515 +@2A58 1811883A +@2A59 003F9D06 +@2A5A 00804034 +@2A5B 10BFFFC4 +@2A5C 14C0C636 +@2A5D 01400404 +@2A5E 2805883A +@2A5F 003F6C06 +@2A60 01000044 +@2A61 000B883A +@2A62 0002C2C0 +@2A63 1027883A +@2A64 00BFFFD4 +@2A65 14FFF436 +@2A66 003F6106 +@2A67 00804034 +@2A68 10BFFFC4 +@2A69 1200C536 +@2A6A 01400404 +@2A6B 2805883A +@2A6C 003FB406 +@2A6D 00804034 +@2A6E 10BFFFC4 +@2A6F 11C0C236 +@2A70 01400404 +@2A71 2805883A +@2A72 003F9806 +@2A73 84C9C83A +@2A74 8105803A +@2A75 A987C83A +@2A76 18ABC83A +@2A77 2021883A +@2A78 003F9D06 +@2A79 9CA6983A +@2A7A 3485C83A +@2A7B A8A2D83A +@2A7C 982ED43A +@2A7D AC86983A +@2A7E 8084D83A +@2A7F 8809883A +@2A80 B80B883A +@2A81 18B8B03A +@2A82 9D3FFFCC +@2A83 0002C2C0 +@2A84 100B883A +@2A85 A009883A +@2A86 0002C3C0 +@2A87 8809883A +@2A88 B80B883A +@2A89 102B883A +@2A8A 0002C340 +@2A8B 1004943A +@2A8C E006D43A +@2A8D 902D883A +@2A8E 10C4B03A +@2A8F 1540022E +@2A90 14C5883A +@2A91 14C0AB2E +@2A92 1563C83A +@2A93 8809883A +@2A94 B80B883A +@2A95 0002C2C0 +@2A96 100B883A +@2A97 A009883A +@2A98 0002C3C0 +@2A99 8809883A +@2A9A B80B883A +@2A9B 102B883A +@2A9C 0002C340 +@2A9D 1004943A +@2A9E E0FFFFCC +@2A9F 10C4B03A +@2AA0 1540042E +@2AA1 14C5883A +@2AA2 14C00236 +@2AA3 1540012E +@2AA4 14C5883A +@2AA5 84A0983A +@2AA6 156BC83A +@2AA7 003F3106 +@2AA8 3C8FC83A +@2AA9 3486983A +@2AAA 99C4D83A +@2AAB A9E2D83A +@2AAC AC8C983A +@2AAD 18ACB03A +@2AAE B02ED43A +@2AAF 81C4D83A +@2AB0 8809883A +@2AB1 B80B883A +@2AB2 308CB03A +@2AB3 D9C00315 +@2AB4 D9800215 +@2AB5 B53FFFCC +@2AB6 0002C2C0 +@2AB7 100B883A +@2AB8 A009883A +@2AB9 1039883A +@2ABA 0002C3C0 +@2ABB 8809883A +@2ABC B80B883A +@2ABD 102B883A +@2ABE 0002C340 +@2ABF D9000217 +@2AC0 1004943A +@2AC1 9CA6983A +@2AC2 2006D43A +@2AC3 84A0983A +@2AC4 DCC00015 +@2AC5 10C4B03A +@2AC6 DC000115 +@2AC7 1540032E +@2AC8 1585883A +@2AC9 E73FFFC4 +@2ACA 15806E2E +@2ACB 1563C83A +@2ACC 8809883A +@2ACD B80B883A +@2ACE 0002C2C0 +@2ACF 100B883A +@2AD0 A009883A +@2AD1 1021883A +@2AD2 0002C3C0 +@2AD3 B80B883A +@2AD4 8809883A +@2AD5 1029883A +@2AD6 0002C340 +@2AD7 D9400217 +@2AD8 1004943A +@2AD9 28FFFFCC +@2ADA 10C4B03A +@2ADB 1500032E +@2ADC 1585883A +@2ADD 843FFFC4 +@2ADE 1580562E +@2ADF D9800017 +@2AE0 E022943A +@2AE1 302ED43A +@2AE2 8462B03A +@2AE3 34FFFFCC +@2AE4 882AD43A +@2AE5 8C7FFFCC +@2AE6 8809883A +@2AE7 980B883A +@2AE8 1521C83A +@2AE9 0002C3C0 +@2AEA 8809883A +@2AEB B80B883A +@2AEC 1029883A +@2AED 0002C3C0 +@2AEE 980B883A +@2AEF A809883A +@2AF0 1023883A +@2AF1 0002C3C0 +@2AF2 A809883A +@2AF3 B80B883A +@2AF4 1027883A +@2AF5 0002C3C0 +@2AF6 100B883A +@2AF7 A004D43A +@2AF8 8CE3883A +@2AF9 1449883A +@2AFA 24C0022E +@2AFB 00800074 +@2AFC 288B883A +@2AFD 2004D43A +@2AFE 2008943A +@2AFF A0FFFFCC +@2B00 288D883A +@2B01 20C9883A +@2B02 81800B36 +@2B03 81804026 +@2B04 818DC83A +@2B05 00000F06 +@2B06 30C5C83A +@2B07 182D883A +@2B08 8084D83A +@2B09 A8C6983A +@2B0A 9DA6983A +@2B0B 85A0983A +@2B0C 18AAB03A +@2B0D 003F1D06 +@2B0E D8C00017 +@2B0F 20C5C83A +@2B10 2089803A +@2B11 3587C83A +@2B12 1907C83A +@2B13 80CDC83A +@2B14 1009883A +@2B15 D9400117 +@2B16 2905C83A +@2B17 2887803A +@2B18 30C7C83A +@2B19 D9800317 +@2B1A 1484D83A +@2B1B 1988983A +@2B1C 1C86D83A +@2B1D 2088B03A +@2B1E 2011883A +@2B1F 1813883A +@2B20 D9000415 +@2B21 D8C00515 +@2B22 003ED406 +@2B23 01400604 +@2B24 2805883A +@2B25 003EA606 +@2B26 01400204 +@2B27 2805883A +@2B28 003EA306 +@2B29 01400204 +@2B2A 2805883A +@2B2B 003EDF06 +@2B2C 01400204 +@2B2D 2805883A +@2B2E 003EF206 +@2B2F 01400604 +@2B30 2805883A +@2B31 003EEF06 +@2B32 01400604 +@2B33 2805883A +@2B34 003ED606 +@2B35 153FA92E +@2B36 843FFFC4 +@2B37 1585883A +@2B38 003FA606 +@2B39 157F912E +@2B3A E73FFFC4 +@2B3B 1585883A +@2B3C 003F8E06 +@2B3D 157F542E +@2B3E 14C5883A +@2B3F 003F5206 +@2B40 14C5883A +@2B41 003EFC06 +@2B42 14C5883A +@2B43 003EA606 +@2B44 D8800117 +@2B45 113FC836 +@2B46 000D883A +@2B47 003FCD06 +@2B48 DEFFF804 +@2B49 DCC00315 +@2B4A 2027883A +@2B4B 21000017 +@2B4C 00C00044 +@2B4D DD400515 +@2B4E DD000415 +@2B4F DDC00715 +@2B50 DD800615 +@2B51 DC800215 +@2B52 DC400115 +@2B53 DC000015 +@2B54 282B883A +@2B55 3029883A +@2B56 1900632E +@2B57 28800017 +@2B58 1880812E +@2B59 00C00104 +@2B5A 20C0DC26 +@2B5B 10C07E26 +@2B5C 00C00084 +@2B5D 10C06726 +@2B5E 20C07B26 +@2B5F 9DC00217 +@2B60 28C00217 +@2B61 9C400317 +@2B62 2BC00317 +@2B63 B8CDC83A +@2B64 9C800417 +@2B65 2C000417 +@2B66 3009883A +@2B67 30009716 +@2B68 00800FC4 +@2B69 11806B16 +@2B6A 0100A40E +@2B6B 35BFF804 +@2B6C B000BC16 +@2B6D 8596D83A +@2B6E 0019883A +@2B6F 0013883A +@2B70 01000044 +@2B71 0015883A +@2B72 B000BE16 +@2B73 2590983A +@2B74 000F883A +@2B75 00BFFFC4 +@2B76 3889883A +@2B77 408B883A +@2B78 21CD803A +@2B79 314B883A +@2B7A 7904703A +@2B7B 8146703A +@2B7C 10C4B03A +@2B7D 10000226 +@2B7E 02400044 +@2B7F 0015883A +@2B80 5A5EB03A +@2B81 62A0B03A +@2B82 99400117 +@2B83 A8800117 +@2B84 28806E26 +@2B85 28006626 +@2B86 7C45C83A +@2B87 7889803A +@2B88 8487C83A +@2B89 1909C83A +@2B8A 100D883A +@2B8B 200F883A +@2B8C 38007716 +@2B8D A5C00215 +@2B8E A1C00415 +@2B8F A0000115 +@2B90 A1800315 +@2B91 A2000317 +@2B92 A2400417 +@2B93 00BFFFC4 +@2B94 408B883A +@2B95 2A09803A +@2B96 488D883A +@2B97 01C40034 +@2B98 39FFFFC4 +@2B99 218D883A +@2B9A 39801736 +@2B9B 31C06526 +@2B9C A3000217 +@2B9D 4209883A +@2B9E 00BFFFC4 +@2B9F 220F803A +@2BA0 4A4B883A +@2BA1 394F883A +@2BA2 2095883A +@2BA3 3897883A +@2BA4 510D803A +@2BA5 6099883A +@2BA6 32D7883A +@2BA7 00840034 +@2BA8 10BFFFC4 +@2BA9 2011883A +@2BAA 3813883A +@2BAB A1000315 +@2BAC A1C00415 +@2BAD A3000215 +@2BAE 12C00336 +@2BAF 58BFED1E +@2BB0 00BFFF84 +@2BB1 12BFEB2E +@2BB2 A2800417 +@2BB3 008000C4 +@2BB4 00C80034 +@2BB5 18FFFFC4 +@2BB6 A2400317 +@2BB7 A0800015 +@2BB8 1A802336 +@2BB9 A027883A +@2BBA 9805883A +@2BBB DDC00717 +@2BBC DD800617 +@2BBD DD400517 +@2BBE DD000417 +@2BBF DCC00317 +@2BC0 DC800217 +@2BC1 DC400117 +@2BC2 DC000017 +@2BC3 DEC00804 +@2BC4 F800283A +@2BC5 20FFF41E +@2BC6 31000015 +@2BC7 98800117 +@2BC8 30800115 +@2BC9 98C00217 +@2BCA 30C00215 +@2BCB 98800317 +@2BCC 30800315 +@2BCD 98C00417 +@2BCE 30C00415 +@2BCF 98800117 +@2BD0 28C00117 +@2BD1 3027883A +@2BD2 10C4703A +@2BD3 30800115 +@2BD4 003FE506 +@2BD5 1DC02616 +@2BD6 0023883A +@2BD7 182F883A +@2BD8 0025883A +@2BD9 003FA806 +@2BDA A827883A +@2BDB 003FDE06 +@2BDC 01800044 +@2BDD 500497FA +@2BDE 4808D07A +@2BDF 518AD83A +@2BE0 A2000217 +@2BE1 1108B03A +@2BE2 0007883A +@2BE3 4984703A +@2BE4 208CB03A +@2BE5 28CEB03A +@2BE6 42000044 +@2BE7 A027883A +@2BE8 A1C00415 +@2BE9 A2000215 +@2BEA A1800315 +@2BEB 003FCE06 +@2BEC 8BC5C83A +@2BED 8889803A +@2BEE 9407C83A +@2BEF 1909C83A +@2BF0 100D883A +@2BF1 200F883A +@2BF2 003F9906 +@2BF3 7C45883A +@2BF4 13C9803A +@2BF5 8487883A +@2BF6 20C9883A +@2BF7 A1400115 +@2BF8 A5C00215 +@2BF9 A0800315 +@2BFA A1000415 +@2BFB 003FB606 +@2BFC 001F883A +@2BFD 0021883A +@2BFE 003F8306 +@2BFF 018DC83A +@2C00 003F6706 +@2C01 00BFFF84 +@2C02 117FAF36 +@2C03 003F9806 +@2C04 0005883A +@2C05 1189C83A +@2C06 1105803A +@2C07 01CBC83A +@2C08 2885C83A +@2C09 01800044 +@2C0A A1800115 +@2C0B A5C00215 +@2C0C A1000315 +@2C0D A0800415 +@2C0E 003F8206 +@2C0F 203F7226 +@2C10 35BFF804 +@2C11 B9AF883A +@2C12 B0003116 +@2C13 959AD83A +@2C14 001D883A +@2C15 000F883A +@2C16 01000044 +@2C17 0011883A +@2C18 B0002516 +@2C19 2594983A +@2C1A 0013883A +@2C1B 00BFFFC4 +@2C1C 4889883A +@2C1D 508B883A +@2C1E 224D803A +@2C1F 314B883A +@2C20 8904703A +@2C21 9146703A +@2C22 10C4B03A +@2C23 10000226 +@2C24 01C00044 +@2C25 0011883A +@2C26 69E2B03A +@2C27 7224B03A +@2C28 003F5906 +@2C29 8407883A +@2C2A 008007C4 +@2C2B 1185C83A +@2C2C 1886983A +@2C2D 7996D83A +@2C2E 8198D83A +@2C2F 1AD6B03A +@2C30 003F3E06 +@2C31 2006D07A +@2C32 008007C4 +@2C33 1185C83A +@2C34 1890D83A +@2C35 218E983A +@2C36 003F3E06 +@2C37 113F821E +@2C38 28C00117 +@2C39 98800117 +@2C3A 10FF7F26 +@2C3B 04C00074 +@2C3C 9CC16004 +@2C3D 003F7C06 +@2C3E 2006D07A +@2C3F 008007C4 +@2C40 1185C83A +@2C41 1894D83A +@2C42 2192983A +@2C43 003FD706 +@2C44 9487883A +@2C45 008007C4 +@2C46 1185C83A +@2C47 1886983A +@2C48 899AD83A +@2C49 919CD83A +@2C4A 1B5AB03A +@2C4B 003FC906 +@2C4C DEFFEA04 +@2C4D DCC01415 +@2C4E DCC00404 +@2C4F 2011883A +@2C50 2813883A +@2C51 DC401315 +@2C52 D809883A +@2C53 980B883A +@2C54 DC400904 +@2C55 DFC01515 +@2C56 DA400115 +@2C57 D9C00315 +@2C58 DA000015 +@2C59 D9800215 +@2C5A 000C3040 +@2C5B D9000204 +@2C5C 880B883A +@2C5D 000C3040 +@2C5E D8800A17 +@2C5F 880B883A +@2C60 9809883A +@2C61 D9800E04 +@2C62 1080005C +@2C63 D8800A15 +@2C64 000AD200 +@2C65 1009883A +@2C66 000BFF00 +@2C67 DFC01517 +@2C68 DCC01417 +@2C69 DC401317 +@2C6A DEC01604 +@2C6B F800283A +@2C6C DEFFEA04 +@2C6D DCC01415 +@2C6E DCC00404 +@2C6F 2011883A +@2C70 2813883A +@2C71 DC401315 +@2C72 D809883A +@2C73 980B883A +@2C74 DC400904 +@2C75 DFC01515 +@2C76 DA400115 +@2C77 D9C00315 +@2C78 DA000015 +@2C79 D9800215 +@2C7A 000C3040 +@2C7B D9000204 +@2C7C 880B883A +@2C7D 000C3040 +@2C7E D9800E04 +@2C7F 9809883A +@2C80 880B883A +@2C81 000AD200 +@2C82 1009883A +@2C83 000BFF00 +@2C84 DFC01517 +@2C85 DCC01417 +@2C86 DC401317 +@2C87 DEC01604 +@2C88 F800283A +@2C89 DEFFE004 +@2C8A DC401815 +@2C8B DC400404 +@2C8C 2011883A +@2C8D 2813883A +@2C8E DC001715 +@2C8F D809883A +@2C90 880B883A +@2C91 DC000904 +@2C92 DFC01F15 +@2C93 DA400115 +@2C94 D9C00315 +@2C95 DA000015 +@2C96 D9800215 +@2C97 DDC01E15 +@2C98 DD801D15 +@2C99 DD401C15 +@2C9A DD001B15 +@2C9B DCC01A15 +@2C9C DC801915 +@2C9D 000C3040 +@2C9E D9000204 +@2C9F 800B883A +@2CA0 000C3040 +@2CA1 D9000417 +@2CA2 00800044 +@2CA3 1100102E +@2CA4 D8C00917 +@2CA5 10C0062E +@2CA6 00800104 +@2CA7 20800A26 +@2CA8 1880CC26 +@2CA9 00800084 +@2CAA 20800926 +@2CAB 1880191E +@2CAC D8C00A17 +@2CAD D8800517 +@2CAE 8009883A +@2CAF 10C4C03A +@2CB0 D8800A15 +@2CB1 00000706 +@2CB2 00800084 +@2CB3 1880C326 +@2CB4 D8800517 +@2CB5 D8C00A17 +@2CB6 8809883A +@2CB7 10C4C03A +@2CB8 D8800515 +@2CB9 000BFF00 +@2CBA DFC01F17 +@2CBB DDC01E17 +@2CBC DD801D17 +@2CBD DD401C17 +@2CBE DD001B17 +@2CBF DCC01A17 +@2CC0 DC801917 +@2CC1 DC401817 +@2CC2 DC001717 +@2CC3 DEC02004 +@2CC4 F800283A +@2CC5 DD800717 +@2CC6 DC800C17 +@2CC7 002B883A +@2CC8 0023883A +@2CC9 A80B883A +@2CCA B00D883A +@2CCB 880F883A +@2CCC DDC00817 +@2CCD DCC00D17 +@2CCE 9009883A +@2CCF 000BE4C0 +@2CD0 001B883A +@2CD1 680F883A +@2CD2 B009883A +@2CD3 000B883A +@2CD4 980D883A +@2CD5 B82D883A +@2CD6 002F883A +@2CD7 DB401615 +@2CD8 D8801315 +@2CD9 D8C01415 +@2CDA DCC01515 +@2CDB 000BE4C0 +@2CDC B00D883A +@2CDD 000B883A +@2CDE 9009883A +@2CDF B80F883A +@2CE0 1021883A +@2CE1 1823883A +@2CE2 000BE4C0 +@2CE3 8085883A +@2CE4 140D803A +@2CE5 88C7883A +@2CE6 30CD883A +@2CE7 1029883A +@2CE8 302B883A +@2CE9 DA801317 +@2CEA DAC01417 +@2CEB DB001517 +@2CEC DB401617 +@2CED 3440612E +@2CEE 0009883A +@2CEF 5105883A +@2CF0 128D803A +@2CF1 5D07883A +@2CF2 30CD883A +@2CF3 0021883A +@2CF4 04400044 +@2CF5 1025883A +@2CF6 3027883A +@2CF7 32C06236 +@2CF8 59807A26 +@2CF9 680B883A +@2CFA B80F883A +@2CFB 6009883A +@2CFC B00D883A +@2CFD 000BE4C0 +@2CFE 1009883A +@2CFF 000F883A +@2D00 1545883A +@2D01 1111803A +@2D02 19C7883A +@2D03 40C7883A +@2D04 88CB883A +@2D05 D8C00617 +@2D06 8089883A +@2D07 D8800B17 +@2D08 18C00104 +@2D09 240D803A +@2D0A 10C7883A +@2D0B 2013883A +@2D0C D8800A17 +@2D0D D9000517 +@2D0E 314D883A +@2D0F 3015883A +@2D10 2088C03A +@2D11 00880034 +@2D12 10BFFFC4 +@2D13 D9000F15 +@2D14 D8C01015 +@2D15 1180162E +@2D16 1811883A +@2D17 101F883A +@2D18 980497FA +@2D19 9016D07A +@2D1A 500697FA +@2D1B 480CD07A +@2D1C 500ED07A +@2D1D 12D6B03A +@2D1E 00800044 +@2D1F 198CB03A +@2D20 4888703A +@2D21 9818D07A +@2D22 001B883A +@2D23 03A00034 +@2D24 3013883A +@2D25 3815883A +@2D26 4091883A +@2D27 20000226 +@2D28 5B64B03A +@2D29 63A6B03A +@2D2A 7ABFED36 +@2D2B DA001015 +@2D2C 00840034 +@2D2D 10BFFFC4 +@2D2E 12801436 +@2D2F DA001017 +@2D30 101F883A +@2D31 4A45883A +@2D32 124D803A +@2D33 5287883A +@2D34 9497883A +@2D35 5C8F803A +@2D36 9CD9883A +@2D37 01000044 +@2D38 30CD883A +@2D39 3B0F883A +@2D3A 423FFFC4 +@2D3B 1013883A +@2D3C 3015883A +@2D3D 111AB03A +@2D3E 98003016 +@2D3F 5825883A +@2D40 3827883A +@2D41 7ABFEF2E +@2D42 DA001015 +@2D43 00803FC4 +@2D44 488E703A +@2D45 00802004 +@2D46 0007883A +@2D47 0011883A +@2D48 38801826 +@2D49 008000C4 +@2D4A D9000E04 +@2D4B DA801215 +@2D4C D8800E15 +@2D4D DA401115 +@2D4E 003F6A06 +@2D4F 89802126 +@2D50 0009883A +@2D51 5105883A +@2D52 128D803A +@2D53 5D07883A +@2D54 30CD883A +@2D55 0021883A +@2D56 0023883A +@2D57 1025883A +@2D58 3027883A +@2D59 32FF9E2E +@2D5A 00800044 +@2D5B 8089883A +@2D5C 240D803A +@2D5D 344D883A +@2D5E 2021883A +@2D5F 3023883A +@2D60 003F9806 +@2D61 403FE71E +@2D62 01004004 +@2D63 4904703A +@2D64 10C4B03A +@2D65 103FE31E +@2D66 94C4B03A +@2D67 103FE126 +@2D68 49C5883A +@2D69 1251803A +@2D6A 4291883A +@2D6B 013FC004 +@2D6C 1112703A +@2D6D 4015883A +@2D6E 003FDA06 +@2D6F 6813883A +@2D70 003FCE06 +@2D71 143F7C36 +@2D72 003FDD06 +@2D73 12BF852E +@2D74 003FE506 +@2D75 00800084 +@2D76 20BF351E +@2D77 01000074 +@2D78 21016004 +@2D79 003F3F06 +@2D7A DEFFED04 +@2D7B DCC01115 +@2D7C DCC00404 +@2D7D 2011883A +@2D7E 2813883A +@2D7F DC000E15 +@2D80 D809883A +@2D81 980B883A +@2D82 DC000904 +@2D83 DFC01215 +@2D84 DA400115 +@2D85 D9C00315 +@2D86 DA000015 +@2D87 D9800215 +@2D88 DC801015 +@2D89 DC400F15 +@2D8A 000C3040 +@2D8B D9000204 +@2D8C 800B883A +@2D8D 000C3040 +@2D8E D9000417 +@2D8F 00800044 +@2D90 11000B2E +@2D91 D9400917 +@2D92 1140762E +@2D93 D8800517 +@2D94 D8C00A17 +@2D95 01800104 +@2D96 10C4F03A +@2D97 D8800515 +@2D98 21800226 +@2D99 00800084 +@2D9A 2080141E +@2D9B 29000926 +@2D9C 9809883A +@2D9D 000BFF00 +@2D9E DFC01217 +@2D9F DCC01117 +@2DA0 DC801017 +@2DA1 DC400F17 +@2DA2 DC000E17 +@2DA3 DEC01304 +@2DA4 F800283A +@2DA5 01000074 +@2DA6 21016004 +@2DA7 000BFF00 +@2DA8 DFC01217 +@2DA9 DCC01117 +@2DAA DC801017 +@2DAB DC400F17 +@2DAC DC000E17 +@2DAD DEC01304 +@2DAE F800283A +@2DAF 29805B26 +@2DB0 28802D26 +@2DB1 D8C00617 +@2DB2 D8800B17 +@2DB3 D9C00817 +@2DB4 DC400D17 +@2DB5 188BC83A +@2DB6 D9800717 +@2DB7 DC000C17 +@2DB8 D9400615 +@2DB9 3C403836 +@2DBA 89C03626 +@2DBB 0015883A +@2DBC 001D883A +@2DBD 02C40034 +@2DBE 001F883A +@2DBF 003F883A +@2DC0 04800F44 +@2DC1 00000F06 +@2DC2 601D883A +@2DC3 681F883A +@2DC4 400D883A +@2DC5 100F883A +@2DC6 3191883A +@2DC7 5808D07A +@2DC8 4185803A +@2DC9 39D3883A +@2DCA 28C6B03A +@2DCB 1245883A +@2DCC 1815883A +@2DCD 2017883A +@2DCE 400D883A +@2DCF 100F883A +@2DD0 FC801726 +@2DD1 580A97FA +@2DD2 5006D07A +@2DD3 FFC00044 +@2DD4 3C7FF136 +@2DD5 3411C83A +@2DD6 3205803A +@2DD7 3C53C83A +@2DD8 7298B03A +@2DD9 7ADAB03A +@2DDA 4885C83A +@2DDB 89FFE61E +@2DDC 343FE936 +@2DDD 003FE406 +@2DDE 9809883A +@2DDF D9800415 +@2DE0 000BFF00 +@2DE1 DFC01217 +@2DE2 DCC01117 +@2DE3 DC801017 +@2DE4 DC400F17 +@2DE5 DC000E17 +@2DE6 DEC01304 +@2DE7 F800283A +@2DE8 00803FC4 +@2DE9 7090703A +@2DEA 00802004 +@2DEB 0007883A +@2DEC 0013883A +@2DED 40800D26 +@2DEE DBC00815 +@2DEF DB800715 +@2DF0 003FAB06 +@2DF1 343FC92E +@2DF2 3185883A +@2DF3 1189803A +@2DF4 39C7883A +@2DF5 20C9883A +@2DF6 297FFFC4 +@2DF7 100D883A +@2DF8 200F883A +@2DF9 D9400615 +@2DFA 003FC006 +@2DFB 483FF21E +@2DFC 01004004 +@2DFD 7104703A +@2DFE 10C4B03A +@2DFF 103FEE1E +@2E00 31C4B03A +@2E01 103FEC26 +@2E02 7205883A +@2E03 1391803A +@2E04 43D1883A +@2E05 013FC004 +@2E06 111C703A +@2E07 401F883A +@2E08 003FE506 +@2E09 8009883A +@2E0A 003F9206 +@2E0B 9809883A +@2E0C D8000715 +@2E0D D8000815 +@2E0E D8000615 +@2E0F 003F8D06 +@2E10 DEFFEF04 +@2E11 DC400F15 +@2E12 DC400404 +@2E13 2005883A +@2E14 2807883A +@2E15 DC000E15 +@2E16 D809883A +@2E17 880B883A +@2E18 DC000904 +@2E19 D8C00115 +@2E1A D8800015 +@2E1B D9800215 +@2E1C DFC01015 +@2E1D D9C00315 +@2E1E 000C3040 +@2E1F D9000204 +@2E20 800B883A +@2E21 000C3040 +@2E22 D8800417 +@2E23 00C00044 +@2E24 180D883A +@2E25 1880062E +@2E26 D8800917 +@2E27 8809883A +@2E28 800B883A +@2E29 1880022E +@2E2A 000C43C0 +@2E2B 100D883A +@2E2C 3005883A +@2E2D DFC01017 +@2E2E DC400F17 +@2E2F DC000E17 +@2E30 DEC01104 +@2E31 F800283A +@2E32 DEFFEF04 +@2E33 DC400F15 +@2E34 DC400404 +@2E35 2005883A +@2E36 2807883A +@2E37 DC000E15 +@2E38 D809883A +@2E39 880B883A +@2E3A DC000904 +@2E3B D8C00115 +@2E3C D8800015 +@2E3D D9800215 +@2E3E DFC01015 +@2E3F D9C00315 +@2E40 000C3040 +@2E41 D9000204 +@2E42 800B883A +@2E43 000C3040 +@2E44 D8800417 +@2E45 00C00044 +@2E46 180D883A +@2E47 1880062E +@2E48 D8800917 +@2E49 8809883A +@2E4A 800B883A +@2E4B 1880022E +@2E4C 000C43C0 +@2E4D 100D883A +@2E4E 3005883A +@2E4F DFC01017 +@2E50 DC400F17 +@2E51 DC000E17 +@2E52 DEC01104 +@2E53 F800283A +@2E54 DEFFEF04 +@2E55 DC400F15 +@2E56 DC400404 +@2E57 2005883A +@2E58 2807883A +@2E59 DC000E15 +@2E5A D809883A +@2E5B 880B883A +@2E5C DC000904 +@2E5D D8C00115 +@2E5E D8800015 +@2E5F D9800215 +@2E60 DFC01015 +@2E61 D9C00315 +@2E62 000C3040 +@2E63 D9000204 +@2E64 800B883A +@2E65 000C3040 +@2E66 D8800417 +@2E67 00C00044 +@2E68 01BFFFC4 +@2E69 1880062E +@2E6A D8800917 +@2E6B 8809883A +@2E6C 800B883A +@2E6D 1880022E +@2E6E 000C43C0 +@2E6F 100D883A +@2E70 3005883A +@2E71 DFC01017 +@2E72 DC400F17 +@2E73 DC000E17 +@2E74 DEC01104 +@2E75 F800283A +@2E76 DEFFEF04 +@2E77 DC400F15 +@2E78 DC400404 +@2E79 2005883A +@2E7A 2807883A +@2E7B DC000E15 +@2E7C D809883A +@2E7D 880B883A +@2E7E DC000904 +@2E7F D8C00115 +@2E80 D8800015 +@2E81 D9800215 +@2E82 DFC01015 +@2E83 D9C00315 +@2E84 000C3040 +@2E85 D9000204 +@2E86 800B883A +@2E87 000C3040 +@2E88 D8800417 +@2E89 00C00044 +@2E8A 01BFFFC4 +@2E8B 1880062E +@2E8C D8800917 +@2E8D 8809883A +@2E8E 800B883A +@2E8F 1880022E +@2E90 000C43C0 +@2E91 100D883A +@2E92 3005883A +@2E93 DFC01017 +@2E94 DC400F17 +@2E95 DC000E17 +@2E96 DEC01104 +@2E97 F800283A +@2E98 DEFFEF04 +@2E99 DC400F15 +@2E9A DC400404 +@2E9B 2005883A +@2E9C 2807883A +@2E9D DC000E15 +@2E9E D809883A +@2E9F 880B883A +@2EA0 DC000904 +@2EA1 D8C00115 +@2EA2 D8800015 +@2EA3 D9800215 +@2EA4 DFC01015 +@2EA5 D9C00315 +@2EA6 000C3040 +@2EA7 D9000204 +@2EA8 800B883A +@2EA9 000C3040 +@2EAA D8800417 +@2EAB 00C00044 +@2EAC 180D883A +@2EAD 1880062E +@2EAE D8800917 +@2EAF 8809883A +@2EB0 800B883A +@2EB1 1880022E +@2EB2 000C43C0 +@2EB3 100D883A +@2EB4 3005883A +@2EB5 DFC01017 +@2EB6 DC400F17 +@2EB7 DC000E17 +@2EB8 DEC01104 +@2EB9 F800283A +@2EBA 2006D7FA +@2EBB DEFFF604 +@2EBC 008000C4 +@2EBD DFC00915 +@2EBE DCC00815 +@2EBF DC800715 +@2EC0 DC400615 +@2EC1 DC000515 +@2EC2 D8800015 +@2EC3 D8C00115 +@2EC4 20000F1E +@2EC5 00800084 +@2EC6 D8800015 +@2EC7 D809883A +@2EC8 000BFF00 +@2EC9 1009883A +@2ECA 180B883A +@2ECB 2005883A +@2ECC 2807883A +@2ECD DFC00917 +@2ECE DCC00817 +@2ECF DC800717 +@2ED0 DC400617 +@2ED1 DC000517 +@2ED2 DEC00A04 +@2ED3 F800283A +@2ED4 00800F04 +@2ED5 1807003A +@2ED6 D8800215 +@2ED7 18001126 +@2ED8 0027883A +@2ED9 2025883A +@2EDA D9000315 +@2EDB DCC00415 +@2EDC 000BF700 +@2EDD 11000744 +@2EDE 013FE80E +@2EDF 10BFFF44 +@2EE0 10000C16 +@2EE1 90A2983A +@2EE2 0021883A +@2EE3 D8800217 +@2EE4 DC400415 +@2EE5 DC000315 +@2EE6 1105C83A +@2EE7 D8800215 +@2EE8 003FDE06 +@2EE9 00A00034 +@2EEA 20800A26 +@2EEB 0109C83A +@2EEC 003FEB06 +@2EED 9006D07A +@2EEE 008007C4 +@2EEF 1105C83A +@2EF0 1886D83A +@2EF1 9922983A +@2EF2 9120983A +@2EF3 1C62B03A +@2EF4 003FEE06 +@2EF5 0009883A +@2EF6 01707834 +@2EF7 003FD306 +@2EF8 DEFFF804 +@2EF9 2005883A +@2EFA 2807883A +@2EFB D809883A +@2EFC D9400204 +@2EFD D8C00115 +@2EFE D8800015 +@2EFF DFC00715 +@2F00 000C3040 +@2F01 D8C00217 +@2F02 00800084 +@2F03 1880051E +@2F04 0007883A +@2F05 1805883A +@2F06 DFC00717 +@2F07 DEC00804 +@2F08 F800283A +@2F09 00800044 +@2F0A 10FFF92E +@2F0B 00800104 +@2F0C 18800426 +@2F0D D8C00417 +@2F0E 183FF516 +@2F0F 00800784 +@2F10 10C0080E +@2F11 D8800317 +@2F12 1000121E +@2F13 00E00034 +@2F14 18FFFFC4 +@2F15 1805883A +@2F16 DFC00717 +@2F17 DEC00804 +@2F18 F800283A +@2F19 00800F04 +@2F1A 10D1C83A +@2F1B 40BFF804 +@2F1C D9800517 +@2F1D D9C00617 +@2F1E 10000816 +@2F1F 3888D83A +@2F20 D8800317 +@2F21 2007883A +@2F22 103FE226 +@2F23 0107C83A +@2F24 003FE006 +@2F25 00E00034 +@2F26 003FDE06 +@2F27 39C7883A +@2F28 008007C4 +@2F29 1205C83A +@2F2A 1886983A +@2F2B 3208D83A +@2F2C 1908B03A +@2F2D 003FF206 +@2F2E DEFFF204 +@2F2F DFC00D15 +@2F30 DDC00C15 +@2F31 DD800B15 +@2F32 DD400A15 +@2F33 DD000915 +@2F34 DCC00815 +@2F35 DC800715 +@2F36 DC400615 +@2F37 DC000515 +@2F38 D8000115 +@2F39 20000F1E +@2F3A 00800084 +@2F3B D8800015 +@2F3C D809883A +@2F3D 000BFF00 +@2F3E DFC00D17 +@2F3F DDC00C17 +@2F40 DD800B17 +@2F41 DD400A17 +@2F42 DD000917 +@2F43 DCC00817 +@2F44 DC800717 +@2F45 DC400617 +@2F46 DC000517 +@2F47 DEC00E04 +@2F48 F800283A +@2F49 008000C4 +@2F4A 00C00F04 +@2F4B 002F883A +@2F4C 202D883A +@2F4D D8800015 +@2F4E D8C00215 +@2F4F D9000315 +@2F50 DDC00415 +@2F51 000BF700 +@2F52 12400744 +@2F53 48000B16 +@2F54 483FE726 +@2F55 10BFFF44 +@2F56 10002E16 +@2F57 B0A2983A +@2F58 0021883A +@2F59 D8800217 +@2F5A DC400415 +@2F5B DC000315 +@2F5C 1245C83A +@2F5D D8800215 +@2F5E 003FDD06 +@2F5F 0255C83A +@2F60 51BFF804 +@2F61 30001B16 +@2F62 B9A8D83A +@2F63 002B883A +@2F64 000F883A +@2F65 01000044 +@2F66 0011883A +@2F67 30002516 +@2F68 21A6983A +@2F69 0025883A +@2F6A 00BFFFC4 +@2F6B 9089883A +@2F6C 988B883A +@2F6D 248D803A +@2F6E 314B883A +@2F6F B104703A +@2F70 B946703A +@2F71 10C4B03A +@2F72 10000226 +@2F73 01C00044 +@2F74 0011883A +@2F75 D9000217 +@2F76 A1C4B03A +@2F77 AA06B03A +@2F78 2249C83A +@2F79 D8C00415 +@2F7A D9000215 +@2F7B D8800315 +@2F7C 003FBF06 +@2F7D BDC7883A +@2F7E 008007C4 +@2F7F 1285C83A +@2F80 1886983A +@2F81 B2A8D83A +@2F82 BAAAD83A +@2F83 1D28B03A +@2F84 003FDF06 +@2F85 B006D07A +@2F86 008007C4 +@2F87 1245C83A +@2F88 1886D83A +@2F89 BA62983A +@2F8A B260983A +@2F8B 1C62B03A +@2F8C 003FCC06 +@2F8D 2006D07A +@2F8E 008007C4 +@2F8F 1285C83A +@2F90 18A6D83A +@2F91 22A4983A +@2F92 003FD706 +@2F93 DEFFF204 +@2F94 DF000C15 +@2F95 3038D43A +@2F96 DD000815 +@2F97 DC400515 +@2F98 2028D43A +@2F99 247FFFCC +@2F9A DC000415 +@2F9B 343FFFCC +@2F9C DCC00715 +@2F9D D9000015 +@2F9E 2827883A +@2F9F 8809883A +@2FA0 D9400115 +@2FA1 800B883A +@2FA2 D9800215 +@2FA3 DFC00D15 +@2FA4 D9C00315 +@2FA5 DD800A15 +@2FA6 DD400915 +@2FA7 302D883A +@2FA8 DDC00B15 +@2FA9 DC800615 +@2FAA 0002C3C0 +@2FAB 8809883A +@2FAC E00B883A +@2FAD 102B883A +@2FAE 0002C3C0 +@2FAF 800B883A +@2FB0 A009883A +@2FB1 1023883A +@2FB2 0002C3C0 +@2FB3 A009883A +@2FB4 E00B883A +@2FB5 1021883A +@2FB6 0002C3C0 +@2FB7 A8FFFFCC +@2FB8 A82AD43A +@2FB9 8C23883A +@2FBA 1011883A +@2FBB AC6B883A +@2FBC A804943A +@2FBD B009883A +@2FBE 980B883A +@2FBF 10C7883A +@2FC0 A812D43A +@2FC1 180D883A +@2FC2 AC00022E +@2FC3 00800074 +@2FC4 4091883A +@2FC5 4267883A +@2FC6 302D883A +@2FC7 0002C3C0 +@2FC8 D9400317 +@2FC9 D9000017 +@2FCA 1023883A +@2FCB 0002C3C0 +@2FCC 14CB883A +@2FCD 894B883A +@2FCE B005883A +@2FCF 2807883A +@2FD0 DFC00D17 +@2FD1 DF000C17 +@2FD2 DDC00B17 +@2FD3 DD800A17 +@2FD4 DD400917 +@2FD5 DD000817 +@2FD6 DCC00717 +@2FD7 DC800617 +@2FD8 DC400517 +@2FD9 DC000417 +@2FDA DEC00E04 +@2FDB F800283A +@2FDC 00BFFFD4 +@2FDD 11000E36 +@2FDE 00803FC4 +@2FDF 01400204 +@2FE0 0007883A +@2FE1 11001036 +@2FE2 000B883A +@2FE3 20C6D83A +@2FE4 00800074 +@2FE5 10816504 +@2FE6 1887883A +@2FE7 18800003 +@2FE8 00C00804 +@2FE9 2885883A +@2FEA 1885C83A +@2FEB F800283A +@2FEC 01400404 +@2FED 00804034 +@2FEE 10BFFFC4 +@2FEF 2807883A +@2FF0 113FF22E +@2FF1 01400604 +@2FF2 2807883A +@2FF3 20C6D83A +@2FF4 00800074 +@2FF5 10816504 +@2FF6 1887883A +@2FF7 18800003 +@2FF8 00C00804 +@2FF9 2885883A +@2FFA 1885C83A +@2FFB F800283A +@2FFC 20C00017 +@2FFD DEFFFD04 +@2FFE DC000015 +@2FFF DC800215 +@3000 DC400115 +@3001 00800044 +@3002 22000317 +@3003 001F883A +@3004 22400417 +@3005 24000117 +@3006 10C0552E +@3007 00800104 +@3008 18804F26 +@3009 00800084 +@300A 18800226 +@300B 4244B03A +@300C 10001A1E +@300D 000D883A +@300E 000F883A +@300F 0011883A +@3010 00800434 +@3011 10BFFFC4 +@3012 301D883A +@3013 3884703A +@3014 400A953A +@3015 79BFFC2C +@3016 308CB03A +@3017 00E00434 +@3018 18FFFFC4 +@3019 800497FA +@301A 30C6703A +@301B 1946B03A +@301C 01600034 +@301D 297FFFC4 +@301E 194A703A +@301F 288AB03A +@3020 2807883A +@3021 7005883A +@3022 DC800217 +@3023 DC400117 +@3024 DC000017 +@3025 DEC00304 +@3026 F800283A +@3027 21000217 +@3028 00BF0084 +@3029 20803F16 +@302A 0080FFC4 +@302B 11002C16 +@302C 00803FC4 +@302D 408C703A +@302E 00802004 +@302F 0007883A +@3030 000F883A +@3031 2280FFC4 +@3032 30801E26 +@3033 00801FC4 +@3034 4089883A +@3035 220D803A +@3036 324D883A +@3037 2011883A +@3038 3013883A +@3039 00880034 +@303A 10BFFFC4 +@303B 12400D36 +@303C 4804963A +@303D 400CD23A +@303E 480ED23A +@303F 013FFFC4 +@3040 118CB03A +@3041 01400434 +@3042 297FFFC4 +@3043 3104703A +@3044 3946703A +@3045 5201FFCC +@3046 100D883A +@3047 180F883A +@3048 003FC706 +@3049 480897FA +@304A 4004D07A +@304B 4806D07A +@304C 52800044 +@304D 2084B03A +@304E 1011883A +@304F 1813883A +@3050 003FEB06 +@3051 383FE11E +@3052 01004004 +@3053 4104703A +@3054 10C4B03A +@3055 103FE326 +@3056 3005883A +@3057 003FDC06 +@3058 000D883A +@3059 000F883A +@305A 0201FFC4 +@305B 003FB406 +@305C 0005883A +@305D 00C00234 +@305E 408CB03A +@305F 48CEB03A +@3060 013FFFC4 +@3061 01400434 +@3062 297FFFC4 +@3063 3104703A +@3064 3946703A +@3065 100D883A +@3066 180F883A +@3067 0201FFC4 +@3068 003FA706 +@3069 1109C83A +@306A 00800E04 +@306B 11004316 +@306C 21FFF804 +@306D 38004516 +@306E 49D8D83A +@306F 001B883A +@3070 0023883A +@3071 01400044 +@3072 0025883A +@3073 38004716 +@3074 29D6983A +@3075 0015883A +@3076 00BFFFC4 +@3077 5089883A +@3078 588B883A +@3079 228D803A +@307A 314B883A +@307B 4104703A +@307C 4946703A +@307D 10C4B03A +@307E 10000226 +@307F 04400044 +@3080 0025883A +@3081 00803FC4 +@3082 644EB03A +@3083 3892703A +@3084 00802004 +@3085 6C90B03A +@3086 0015883A +@3087 48801626 +@3088 01001FC4 +@3089 3905883A +@308A 11CD803A +@308B 320D883A +@308C 100F883A +@308D 00840034 +@308E 10BFFFC4 +@308F 3011883A +@3090 0007883A +@3091 11801B36 +@3092 4004963A +@3093 3808D23A +@3094 400AD23A +@3095 1813883A +@3096 1108B03A +@3097 00BFFFC4 +@3098 00C00434 +@3099 18FFFFC4 +@309A 208C703A +@309B 28CE703A +@309C 4A01FFCC +@309D 003F7206 +@309E 503FE91E +@309F 01004004 +@30A0 3904703A +@30A1 0007883A +@30A2 10C4B03A +@30A3 10000626 +@30A4 3A45883A +@30A5 11CD803A +@30A6 320D883A +@30A7 100F883A +@30A8 3011883A +@30A9 0007883A +@30AA 00840034 +@30AB 10BFFFC4 +@30AC 123FE52E +@30AD 00C00044 +@30AE 003FE306 +@30AF 0009883A +@30B0 0013883A +@30B1 000B883A +@30B2 003FE406 +@30B3 4A47883A +@30B4 008007C4 +@30B5 1105C83A +@30B6 1886983A +@30B7 4118D83A +@30B8 491AD83A +@30B9 1B18B03A +@30BA 003FB506 +@30BB 2806D07A +@30BC 008007C4 +@30BD 1105C83A +@30BE 1896D83A +@30BF 2914983A +@30C0 003FB506 +@30C1 20C00117 +@30C2 22400017 +@30C3 00800434 +@30C4 10BFFFC4 +@30C5 1808D53A +@30C6 180CD7FA +@30C7 1894703A +@30C8 2201FFCC +@30C9 281B883A +@30CA 4817883A +@30CB 29800115 +@30CC 5019883A +@30CD 40001E1E +@30CE 4A84B03A +@30CF 10001926 +@30D0 4804D63A +@30D1 500C923A +@30D2 013F0084 +@30D3 00C40034 +@30D4 18FFFFC4 +@30D5 118CB03A +@30D6 008000C4 +@30D7 480A923A +@30D8 68800015 +@30D9 69000215 +@30DA 19800B36 +@30DB 200F883A +@30DC 1811883A +@30DD 2945883A +@30DE 1149803A +@30DF 3187883A +@30E0 20C9883A +@30E1 100B883A +@30E2 200D883A +@30E3 39FFFFC4 +@30E4 413FF82E +@30E5 69C00215 +@30E6 69800415 +@30E7 69400315 +@30E8 F800283A +@30E9 00800084 +@30EA 28800015 +@30EB F800283A +@30EC 0081FFC4 +@30ED 40800F26 +@30EE 480CD63A +@30EF 5006923A +@30F0 4804923A +@30F1 0009883A +@30F2 30C6B03A +@30F3 01440034 +@30F4 110CB03A +@30F5 423F0044 +@30F6 194EB03A +@30F7 008000C4 +@30F8 69C00415 +@30F9 6A000215 +@30FA 68800015 +@30FB 69800315 +@30FC F800283A +@30FD 4A84B03A +@30FE 1000031E +@30FF 00800104 +@3100 28800015 +@3101 F800283A +@3102 0009883A +@3103 01400234 +@3104 4904703A +@3105 5146703A +@3106 10C4B03A +@3107 10000526 +@3108 00800044 +@3109 68800015 +@310A 6B000415 +@310B 6AC00315 +@310C F800283A +@310D 68000015 +@310E 003FFB06 +@310F 21800017 +@3110 00C00044 +@3111 19800A2E +@3112 28800017 +@3113 1880082E +@3114 00C00104 +@3115 30C02626 +@3116 10C02226 +@3117 00C00084 +@3118 30C00526 +@3119 10C0071E +@311A 20800117 +@311B 1000091E +@311C 00800044 +@311D F800283A +@311E 10C01A1E +@311F 0005883A +@3120 F800283A +@3121 22000117 +@3122 28800117 +@3123 40800326 +@3124 403FF726 +@3125 00BFFFC4 +@3126 F800283A +@3127 20C00217 +@3128 28800217 +@3129 10FFFA16 +@312A 18800916 +@312B 21C00417 +@312C 28C00417 +@312D 21800317 +@312E 28800317 +@312F 19FFF436 +@3130 38C00526 +@3131 38C00236 +@3132 19FFEC1E +@3133 30BFEB2E +@3134 403FE71E +@3135 003FEF06 +@3136 11BFFA2E +@3137 403FE426 +@3138 003FEC06 +@3139 28800117 +@313A 103FE11E +@313B 003FE906 +@313C 11BFDD1E +@313D 28C00117 +@313E 20800117 +@313F 1885C83A +@3140 F800283A +@3141 DEFFF804 +@3142 DFC00715 +@3143 DF000615 +@3144 DF000604 +@3145 E13FFC15 +@3146 E0BFFC17 +@3147 1004803A +@3148 1000091E +@3149 E13FFC17 +@314A 01400304 +@314B 0002C3C0 +@314C 1007883A +@314D 00800074 +@314E 1083C404 +@314F 1887883A +@3150 E0FFFF15 +@3151 00000106 +@3152 E03FFF15 +@3153 E0BFFF17 +@3154 E0BFFB15 +@3155 E0BFFB17 +@3156 1005003A +@3157 10001D1E +@3158 E0BFFB17 +@3159 10800017 +@315A 10800417 +@315B 1005003A +@315C 1000071E +@315D E0BFFB17 +@315E 10800017 +@315F 10800417 +@3160 E13FFB17 +@3161 103EE83A +@3162 E0BFFE15 +@3163 00000106 +@3164 E03FFE15 +@3165 E0BFFE17 +@3166 E0BFFA15 +@3167 E13FFC17 +@3168 000CD5C0 +@3169 E0BFFA17 +@316A 1004403A +@316B 1000071E +@316C 000C6040 +@316D E0FFFA17 +@316E 00C7C83A +@316F 10C00015 +@3170 00BFFFC4 +@3171 E0BFFD15 +@3172 00000806 +@3173 E03FFD15 +@3174 00000606 +@3175 000C6040 +@3176 1007883A +@3177 00801444 +@3178 18800015 +@3179 00BFFFC4 +@317A E0BFFD15 +@317B E0BFFD17 +@317C E037883A +@317D DFC00117 +@317E DF000017 +@317F DEC00204 +@3180 F800283A +@3181 DEFFFD04 +@3182 DFC00215 +@3183 DF000115 +@3184 DF000104 +@3185 00800074 +@3186 10889104 +@3187 10800017 +@3188 1005003A +@3189 1000061E +@318A 00800074 +@318B 10889104 +@318C 10800017 +@318D 103EE83A +@318E E0BFFF15 +@318F 00000306 +@3190 00800074 +@3191 108F8404 +@3192 E0BFFF15 +@3193 E0BFFF17 +@3194 E037883A +@3195 DFC00117 +@3196 DF000017 +@3197 DEC00204 +@3198 F800283A +@3199 DEFFFC04 +@319A DF000315 +@319B DF000304 +@319C E13FFD15 +@319D E17FFE15 +@319E E1BFFF15 +@319F E0BFFF17 +@31A0 E037883A +@31A1 DF000017 +@31A2 DEC00104 +@31A3 F800283A +@31A4 DEFFF904 +@31A5 DFC00615 +@31A6 DF000515 +@31A7 DF000504 +@31A8 E13FFC15 +@31A9 E17FFD15 +@31AA E0BFFC17 +@31AB 1004803A +@31AC 1000091E +@31AD E13FFC17 +@31AE 01400304 +@31AF 0002C3C0 +@31B0 1007883A +@31B1 00800074 +@31B2 1083C404 +@31B3 1887883A +@31B4 E0FFFF15 +@31B5 00000106 +@31B6 E03FFF15 +@31B7 E0BFFF17 +@31B8 E0BFFB15 +@31B9 E0BFFB17 +@31BA 1005003A +@31BB 1000121E +@31BC E0BFFB17 +@31BD 10800017 +@31BE 10800817 +@31BF 1005003A +@31C0 1000081E +@31C1 E0BFFB17 +@31C2 10800017 +@31C3 10800817 +@31C4 E13FFB17 +@31C5 E17FFD17 +@31C6 103EE83A +@31C7 E0BFFE15 +@31C8 00000B06 +@31C9 E0FFFD17 +@31CA 00880004 +@31CB 18800115 +@31CC E03FFE15 +@31CD 00000606 +@31CE 000C7680 +@31CF 1007883A +@31D0 00801444 +@31D1 18800015 +@31D2 00BFFFC4 +@31D3 E0BFFE15 +@31D4 E0BFFE17 +@31D5 E037883A +@31D6 DFC00117 +@31D7 DF000017 +@31D8 DEC00204 +@31D9 F800283A +@31DA DEFFFD04 +@31DB DFC00215 +@31DC DF000115 +@31DD DF000104 +@31DE 00800074 +@31DF 10889104 +@31E0 10800017 +@31E1 1005003A +@31E2 1000061E +@31E3 00800074 +@31E4 10889104 +@31E5 10800017 +@31E6 103EE83A +@31E7 E0BFFF15 +@31E8 00000306 +@31E9 00800074 +@31EA 108F8404 +@31EB E0BFFF15 +@31EC E0BFFF17 +@31ED E037883A +@31EE DFC00117 +@31EF DF000017 +@31F0 DEC00204 +@31F1 F800283A +@31F2 DEFFEB04 +@31F3 DFC01415 +@31F4 DF001315 +@31F5 DF001304 +@31F6 E13FFD15 +@31F7 E0BFFD17 +@31F8 1004803A +@31F9 1000091E +@31FA E13FFD17 +@31FB 01400304 +@31FC 0002C3C0 +@31FD 1007883A +@31FE 00800074 +@31FF 1083C404 +@3200 1887883A +@3201 E0FFFF15 +@3202 00000106 +@3203 E03FFF15 +@3204 E0BFFF17 +@3205 E0BFED15 +@3206 E0BFED17 +@3207 1005003A +@3208 10000F1E +@3209 E0BFED17 +@320A 10800017 +@320B 10800817 +@320C 1004C03A +@320D 1000031E +@320E 00800044 +@320F E0BFFE15 +@3210 00000C06 +@3211 E17FEE04 +@3212 E13FFD17 +@3213 000C6900 +@3214 E0BFEF17 +@3215 10880020 +@3216 E0BFFE15 +@3217 00000506 +@3218 000C88C0 +@3219 1007883A +@321A 00801444 +@321B 18800015 +@321C E03FFE15 +@321D E0BFFE17 +@321E E037883A +@321F DFC00117 +@3220 DF000017 +@3221 DEC00204 +@3222 F800283A +@3223 DEFFFD04 +@3224 DFC00215 +@3225 DF000115 +@3226 DF000104 +@3227 00800074 +@3228 10889104 +@3229 10800017 +@322A 1005003A +@322B 1000061E +@322C 00800074 +@322D 10889104 +@322E 10800017 +@322F 103EE83A +@3230 E0BFFF15 +@3231 00000306 +@3232 00800074 +@3233 108F8404 +@3234 E0BFFF15 +@3235 E0BFFF17 +@3236 E037883A +@3237 DFC00117 +@3238 DF000017 +@3239 DEC00204 +@323A F800283A +@323B DEFFFE04 +@323C DFC00115 +@323D DF000015 +@323E D839883A +@323F 01000074 +@3240 21089704 +@3241 01400074 +@3242 2941B504 +@3243 01800074 +@3244 31889704 +@3245 000C96C0 +@3246 01000034 +@3247 21000804 +@3248 01400034 +@3249 29400804 +@324A 01800034 +@324B 31806D04 +@324C 000C96C0 +@324D 01000074 +@324E 213FEA04 +@324F 01400074 +@3250 297FEA04 +@3251 01800074 +@3252 3181B504 +@3253 000C96C0 +@3254 000EE400 +@3255 000F0380 +@3256 E037883A +@3257 DFC00117 +@3258 DF000017 +@3259 DEC00204 +@325A F800283A +@325B DEFFFC04 +@325C DF000315 +@325D DF000304 +@325E E13FFD15 +@325F E17FFE15 +@3260 E1BFFF15 +@3261 E0FFFE17 +@3262 E0BFFD17 +@3263 18800E26 +@3264 00000A06 +@3265 E0BFFD17 +@3266 10C00017 +@3267 E0BFFE17 +@3268 10C00015 +@3269 E0BFFE17 +@326A 10800104 +@326B E0BFFE15 +@326C E0BFFD17 +@326D 10800104 +@326E E0BFFD15 +@326F E0FFFE17 +@3270 E0BFFF17 +@3271 18BFF31E +@3272 E037883A +@3273 DF000017 +@3274 DEC00104 +@3275 F800283A +@3276 DEFFF804 +@3277 DFC00715 +@3278 DF000615 +@3279 DF000604 +@327A E13FFC15 +@327B E17FFD15 +@327C E1BFFE15 +@327D E03FFA15 +@327E E0BFFC17 +@327F 1004803A +@3280 1000091E +@3281 E13FFC17 +@3282 01400304 +@3283 0002C3C0 +@3284 1007883A +@3285 00800074 +@3286 1083C404 +@3287 1887883A +@3288 E0FFFF15 +@3289 00000106 +@328A E03FFF15 +@328B E0BFFF17 +@328C E0BFFB15 +@328D E0BFFB17 +@328E 1005003A +@328F 1000111E +@3290 E0BFFB17 +@3291 10800017 +@3292 10800717 +@3293 1005003A +@3294 1000091E +@3295 E0BFFB17 +@3296 10800017 +@3297 10800717 +@3298 E13FFB17 +@3299 E17FFD17 +@329A E1BFFE17 +@329B 103EE83A +@329C E0BFFA15 +@329D 00000506 +@329E 00BFDE84 +@329F E0BFFA15 +@32A0 00000206 +@32A1 00BFEBC4 +@32A2 E0BFFA15 +@32A3 E0BFFA17 +@32A4 1004403A +@32A5 1000071E +@32A6 000CACC0 +@32A7 1007883A +@32A8 E0BFFA17 +@32A9 0085C83A +@32AA 18800015 +@32AB 00BFFFC4 +@32AC E0BFFA15 +@32AD E0BFFA17 +@32AE E037883A +@32AF DFC00117 +@32B0 DF000017 +@32B1 DEC00204 +@32B2 F800283A +@32B3 DEFFFD04 +@32B4 DFC00215 +@32B5 DF000115 +@32B6 DF000104 +@32B7 00800074 +@32B8 10889104 +@32B9 10800017 +@32BA 1005003A +@32BB 1000061E +@32BC 00800074 +@32BD 10889104 +@32BE 10800017 +@32BF 103EE83A +@32C0 E0BFFF15 +@32C1 00000306 +@32C2 00800074 +@32C3 108F8404 +@32C4 E0BFFF15 +@32C5 E0BFFF17 +@32C6 E037883A +@32C7 DFC00117 +@32C8 DF000017 +@32C9 DEC00204 +@32CA F800283A +@32CB DEFFFD04 +@32CC DFC00215 +@32CD DF000115 +@32CE DF000104 +@32CF 0009883A +@32D0 000D0440 +@32D1 000D0780 +@32D2 01000074 +@32D3 2101A804 +@32D4 01400074 +@32D5 2941A804 +@32D6 01800074 +@32D7 3181A804 +@32D8 000F3F80 +@32D9 000EF700 +@32DA 01000074 +@32DB 213BF504 +@32DC 000FB9C0 +@32DD D1270117 +@32DE D1670217 +@32DF D1A70317 +@32E0 00009200 +@32E1 E0BFFF15 +@32E2 01000044 +@32E3 000C5040 +@32E4 E13FFF17 +@32E5 000FBB00 +@32E6 DEFFFE04 +@32E7 DF000115 +@32E8 DF000104 +@32E9 E13FFF15 +@32EA E037883A +@32EB DF000017 +@32EC DEC00104 +@32ED F800283A +@32EE DEFFFE04 +@32EF DF000115 +@32F0 DF000104 +@32F1 E13FFF15 +@32F2 E037883A +@32F3 DF000017 +@32F4 DEC00104 +@32F5 F800283A +@32F6 DEFFF704 +@32F7 DFC00815 +@32F8 DF000715 +@32F9 DF000704 +@32FA E13FFB15 +@32FB E17FFC15 +@32FC E1BFFD15 +@32FD E0BFFB17 +@32FE 1004803A +@32FF 1000091E +@3300 E13FFB17 +@3301 01400304 +@3302 0002C3C0 +@3303 1007883A +@3304 00800074 +@3305 1083C404 +@3306 1887883A +@3307 E0FFFF15 +@3308 00000106 +@3309 E03FFF15 +@330A E0BFFF17 +@330B E0BFFA15 +@330C E0BFFA17 +@330D 1005003A +@330E 1000241E +@330F E0BFFA17 +@3310 10800217 +@3311 108000CC +@3312 10800060 +@3313 10001A1E +@3314 E0BFFA17 +@3315 10800017 +@3316 10800517 +@3317 1005003A +@3318 1000151E +@3319 E0BFFA17 +@331A 10800017 +@331B 10800517 +@331C E17FFC17 +@331D E1BFFD17 +@331E E13FFA17 +@331F 103EE83A +@3320 E0BFF915 +@3321 E0BFF917 +@3322 1004403A +@3323 1000071E +@3324 000CCFC0 +@3325 E0FFF917 +@3326 00C7C83A +@3327 10C00015 +@3328 00BFFFC4 +@3329 E0BFFE15 +@332A 00000E06 +@332B E0BFF917 +@332C E0BFFE15 +@332D 00000B06 +@332E 000CCFC0 +@332F 1007883A +@3330 00800344 +@3331 18800015 +@3332 00000406 +@3333 000CCFC0 +@3334 1007883A +@3335 00801444 +@3336 18800015 +@3337 00BFFFC4 +@3338 E0BFFE15 +@3339 E0BFFE17 +@333A E037883A +@333B DFC00117 +@333C DF000017 +@333D DEC00204 +@333E F800283A +@333F DEFFFD04 +@3340 DFC00215 +@3341 DF000115 +@3342 DF000104 +@3343 00800074 +@3344 10889104 +@3345 10800017 +@3346 1005003A +@3347 1000061E +@3348 00800074 +@3349 10889104 +@334A 10800017 +@334B 103EE83A +@334C E0BFFF15 +@334D 00000306 +@334E 00800074 +@334F 108F8404 +@3350 E0BFFF15 +@3351 E0BFFF17 +@3352 E037883A +@3353 DFC00117 +@3354 DF000017 +@3355 DEC00204 +@3356 F800283A +@3357 DEFFFC04 +@3358 DFC00315 +@3359 DF000215 +@335A DC000115 +@335B DF000104 +@335C E13FFF15 +@335D E0BFFF17 +@335E 108000D0 +@335F 10000F1E +@3360 E13FFF17 +@3361 04000074 +@3362 8403C404 +@3363 01400304 +@3364 0002C3C0 +@3365 1405883A +@3366 10800204 +@3367 10000015 +@3368 E13FFF17 +@3369 04000074 +@336A 8403C404 +@336B 01400304 +@336C 0002C3C0 +@336D 1405883A +@336E 10000015 +@336F E037883A +@3370 DFC00217 +@3371 DF000117 +@3372 DC000017 +@3373 DEC00304 +@3374 F800283A +@3375 DEFFF804 +@3376 DF000715 +@3377 DF000704 +@3378 E13FFE15 +@3379 0005303A +@337A E0BFFB15 +@337B E0FFFB17 +@337C 00BFFF84 +@337D 1884703A +@337E 1001703A +@337F E0BFFB17 +@3380 E0BFFD15 +@3381 D0A00E17 +@3382 10C000C4 +@3383 00BFFF04 +@3384 1884703A +@3385 D0A00E15 +@3386 D0E00E17 +@3387 E0BFFE17 +@3388 1887883A +@3389 008000F4 +@338A 10880004 +@338B 10C0072E +@338C E0BFFD17 +@338D E0BFFA15 +@338E E0BFFA17 +@338F 1001703A +@3390 00BFFFC4 +@3391 E0BFFF15 +@3392 00000C06 +@3393 D0A00E17 +@3394 E0BFFC15 +@3395 D0E00E17 +@3396 E0BFFE17 +@3397 1885883A +@3398 D0A00E15 +@3399 E0BFFD17 +@339A E0BFF915 +@339B E0BFF917 +@339C 1001703A +@339D E0BFFC17 +@339E E0BFFF15 +@339F E0BFFF17 +@33A0 E037883A +@33A1 DF000017 +@33A2 DEC00104 +@33A3 F800283A +@33A4 DEFFFD04 +@33A5 DFC00215 +@33A6 DF000115 +@33A7 DF000104 +@33A8 E13FFF15 +@33A9 E13FFF17 +@33AA 000ECE40 +@33AB E037883A +@33AC DFC00117 +@33AD DF000017 +@33AE DEC00204 +@33AF F800283A +@33B0 DEFFF704 +@33B1 DFC00815 +@33B2 DF000715 +@33B3 DF000704 +@33B4 E13FFB15 +@33B5 E17FFC15 +@33B6 E1BFFD15 +@33B7 E0BFFB17 +@33B8 1004803A +@33B9 1000091E +@33BA E13FFB17 +@33BB 01400304 +@33BC 0002C3C0 +@33BD 1007883A +@33BE 00800074 +@33BF 1083C404 +@33C0 1887883A +@33C1 E0FFFF15 +@33C2 00000106 +@33C3 E03FFF15 +@33C4 E0BFFF17 +@33C5 E0BFFA15 +@33C6 E0BFFA17 +@33C7 1005003A +@33C8 1000241E +@33C9 E0BFFA17 +@33CA 10800217 +@33CB 108000CC +@33CC 1005003A +@33CD 10001A1E +@33CE E0BFFA17 +@33CF 10800017 +@33D0 10800617 +@33D1 1005003A +@33D2 1000151E +@33D3 E0BFFA17 +@33D4 10800017 +@33D5 10800617 +@33D6 E17FFC17 +@33D7 E1BFFD17 +@33D8 E13FFA17 +@33D9 103EE83A +@33DA E0BFF915 +@33DB E0BFF917 +@33DC 1004403A +@33DD 1000071E +@33DE 000CFE40 +@33DF E0FFF917 +@33E0 00C7C83A +@33E1 10C00015 +@33E2 00BFFFC4 +@33E3 E0BFFE15 +@33E4 00000E06 +@33E5 E0BFF917 +@33E6 E0BFFE15 +@33E7 00000B06 +@33E8 000CFE40 +@33E9 1007883A +@33EA 00800344 +@33EB 18800015 +@33EC 00000406 +@33ED 000CFE40 +@33EE 1007883A +@33EF 00801444 +@33F0 18800015 +@33F1 00BFFFC4 +@33F2 E0BFFE15 +@33F3 E0BFFE17 +@33F4 E037883A +@33F5 DFC00117 +@33F6 DF000017 +@33F7 DEC00204 +@33F8 F800283A +@33F9 DEFFFD04 +@33FA DFC00215 +@33FB DF000115 +@33FC DF000104 +@33FD 00800074 +@33FE 10889104 +@33FF 10800017 +@3400 1005003A +@3401 1000061E +@3402 00800074 +@3403 10889104 +@3404 10800017 +@3405 103EE83A +@3406 E0BFFF15 +@3407 00000306 +@3408 00800074 +@3409 108F8404 +@340A E0BFFF15 +@340B E0BFFF17 +@340C E037883A +@340D DFC00117 +@340E DF000017 +@340F DEC00204 +@3410 F800283A +@3411 DEFFFD04 +@3412 DFC00215 +@3413 DF000115 +@3414 DF000104 +@3415 E13FFF15 +@3416 000F8F80 +@3417 00800044 +@3418 1001703A +@3419 E037883A +@341A DFC00117 +@341B DF000017 +@341C DEC00204 +@341D F800283A +@341E DEFFFE04 +@341F DFC00115 +@3420 DF000015 +@3421 D839883A +@3422 01000074 +@3423 21042E04 +@3424 000B883A +@3425 01800144 +@3426 000D2540 +@3427 01000074 +@3428 21042404 +@3429 000D0D40 +@342A 01000074 +@342B 21084604 +@342C 000E9FC0 +@342D 01000074 +@342E 21083C04 +@342F 000D0D40 +@3430 E037883A +@3431 DFC00117 +@3432 DF000017 +@3433 DEC00204 +@3434 F800283A +@3435 DEFFFD04 +@3436 DFC00215 +@3437 DF000115 +@3438 DF000104 +@3439 E13FFF15 +@343A E13FFF17 +@343B 01400074 +@343C 29488E04 +@343D 000EE5C0 +@343E E037883A +@343F DFC00117 +@3440 DF000017 +@3441 DEC00204 +@3442 F800283A +@3443 DEFFFA04 +@3444 DFC00515 +@3445 DF000415 +@3446 DF000404 +@3447 E13FFD15 +@3448 E17FFE15 +@3449 E1BFFF15 +@344A E0BFFD17 +@344B 10800017 +@344C E0BFFC15 +@344D E0BFFC17 +@344E 11000A04 +@344F E0BFFD17 +@3450 11C00217 +@3451 E17FFE17 +@3452 E1BFFF17 +@3453 000D7540 +@3454 E037883A +@3455 DFC00117 +@3456 DF000017 +@3457 DEC00204 +@3458 F800283A +@3459 DEFFFA04 +@345A DFC00515 +@345B DF000415 +@345C DF000404 +@345D E13FFD15 +@345E E17FFE15 +@345F E1BFFF15 +@3460 E0BFFD17 +@3461 10800017 +@3462 E0BFFC15 +@3463 E0BFFC17 +@3464 11000A04 +@3465 E0BFFD17 +@3466 11C00217 +@3467 E17FFE17 +@3468 E1BFFF17 +@3469 000D9780 +@346A E037883A +@346B DFC00117 +@346C DF000017 +@346D DEC00204 +@346E F800283A +@346F DEFFFC04 +@3470 DFC00315 +@3471 DF000215 +@3472 DF000204 +@3473 E13FFF15 +@3474 E0BFFF17 +@3475 10800017 +@3476 E0BFFE15 +@3477 E0BFFE17 +@3478 11000A04 +@3479 E0BFFF17 +@347A 11400217 +@347B 000D5EC0 +@347C E037883A +@347D DFC00117 +@347E DF000017 +@347F DEC00204 +@3480 F800283A +@3481 DEFFFA04 +@3482 DFC00515 +@3483 DF000415 +@3484 DF000404 +@3485 E13FFD15 +@3486 E17FFE15 +@3487 E1BFFF15 +@3488 E0BFFD17 +@3489 10800017 +@348A E0BFFC15 +@348B E0BFFC17 +@348C 11000A04 +@348D E17FFE17 +@348E E1BFFF17 +@348F 000D6600 +@3490 E037883A +@3491 DFC00117 +@3492 DF000017 +@3493 DEC00204 +@3494 F800283A +@3495 DEFFFA04 +@3496 DFC00515 +@3497 DF000415 +@3498 DF000404 +@3499 E13FFD15 +@349A E17FFE15 +@349B E1BFFF15 +@349C E0FFFD17 +@349D 00800044 +@349E 18800815 +@349F E0BFFD17 +@34A0 10800017 +@34A1 11000104 +@34A2 E0BFFD17 +@34A3 10800817 +@34A4 1007883A +@34A5 2005883A +@34A6 10C00035 +@34A7 E13FFE17 +@34A8 E17FFF17 +@34A9 D8000015 +@34AA 01800074 +@34AB 31B4C504 +@34AC E1FFFD17 +@34AD 000F0540 +@34AE E0BFFD17 +@34AF 10000915 +@34B0 E0BFFD17 +@34B1 11000204 +@34B2 00800074 +@34B3 108F8904 +@34B4 10800017 +@34B5 100B883A +@34B6 01800074 +@34B7 31B54F04 +@34B8 E1FFFD17 +@34B9 000EB900 +@34BA 1004403A +@34BB 1000041E +@34BC E0FFFD17 +@34BD 00A00034 +@34BE 10BFFFC4 +@34BF 18800115 +@34C0 E037883A +@34C1 DFC00117 +@34C2 DF000017 +@34C3 DEC00204 +@34C4 F800283A +@34C5 DEFFF804 +@34C6 DF000715 +@34C7 DF000704 +@34C8 E13FFF15 +@34C9 E0BFFF17 +@34CA E0BFFE15 +@34CB E0BFFE17 +@34CC 10800017 +@34CD E0BFFD15 +@34CE 00000006 +@34CF E0BFFD17 +@34D0 10800104 +@34D1 10800037 +@34D2 E0BFFC15 +@34D3 E0BFFC17 +@34D4 1080C00C +@34D5 1005003A +@34D6 1000741E +@34D7 E0BFFC17 +@34D8 1080400C +@34D9 1005003A +@34DA 1000351E +@34DB 00800074 +@34DC E0BFFB15 +@34DD E0BFFE17 +@34DE 10800A17 +@34DF 10800044 +@34E0 1081FFCC +@34E1 E0BFFA15 +@34E2 E0BFFE17 +@34E3 10C00B17 +@34E4 E0BFFA17 +@34E5 18801626 +@34E6 E0BFFD17 +@34E7 10800037 +@34E8 E0BFFB15 +@34E9 E0BFFB17 +@34EA 10A0000C +@34EB 1005003A +@34EC 10000F1E +@34ED E0BFFE17 +@34EE 10C00A17 +@34EF E0BFFB17 +@34F0 1009883A +@34F1 E0BFFE17 +@34F2 1885883A +@34F3 10800E04 +@34F4 11000005 +@34F5 E0BFFE17 +@34F6 10800A17 +@34F7 10800044 +@34F8 10C1FFCC +@34F9 E0BFFE17 +@34FA 10C00A15 +@34FB 003FE106 +@34FC E0BFFB17 +@34FD 10BFFFEC +@34FE 1005003A +@34FF 1000101E +@3500 E0BFFE17 +@3501 10C00817 +@3502 00BFFF84 +@3503 1886703A +@3504 E0BFFE17 +@3505 10C00815 +@3506 E0BFFD17 +@3507 11000104 +@3508 E0BFFE17 +@3509 10800817 +@350A 1007883A +@350B 2005883A +@350C 10C00035 +@350D E0BFFD17 +@350E 10800104 +@350F 10800037 +@3510 E0BFFC17 +@3511 1080800C +@3512 1005003A +@3513 103FBB1E +@3514 E0BFFC17 +@3515 10BFFFEC +@3516 1004D43A +@3517 E0BFF915 +@3518 00001506 +@3519 E13FFD17 +@351A E0BFFE17 +@351B 10C00D17 +@351C E0BFFE17 +@351D 1885883A +@351E 10820E04 +@351F 10800003 +@3520 10C03FCC +@3521 18C0201C +@3522 18FFE004 +@3523 2005883A +@3524 10C00035 +@3525 E0BFFE17 +@3526 10800D17 +@3527 10800044 +@3528 10C1FFCC +@3529 E0BFFE17 +@352A 10C00D15 +@352B E0BFF917 +@352C 10BFFFC4 +@352D E0BFF915 +@352E E0BFF917 +@352F 1005003A +@3530 1000051E +@3531 E0BFFE17 +@3532 10C00D17 +@3533 E0BFFE17 +@3534 10800C17 +@3535 18BFE31E +@3536 E0BFF917 +@3537 1005003A +@3538 103F961E +@3539 E0BFFE17 +@353A 10C00817 +@353B 00BFFF44 +@353C 1886703A +@353D E0BFFE17 +@353E 10C00815 +@353F E0BFFE17 +@3540 10800017 +@3541 11000104 +@3542 E0BFFE17 +@3543 10800817 +@3544 1007883A +@3545 2005883A +@3546 10C00035 +@3547 E0BFFD17 +@3548 10800104 +@3549 10800037 +@354A 003F8406 +@354B E037883A +@354C DF000017 +@354D DEC00104 +@354E F800283A +@354F DEFFFC04 +@3550 DF000315 +@3551 DF000304 +@3552 E13FFF15 +@3553 E0BFFF17 +@3554 E0BFFE15 +@3555 E0BFFE17 +@3556 10800017 +@3557 10800104 +@3558 10800037 +@3559 E0BFFD15 +@355A E0BFFD17 +@355B 1081000C +@355C 1005003A +@355D 10000C1E +@355E E0BFFE17 +@355F 10800017 +@3560 11000104 +@3561 E0BFFE17 +@3562 10800817 +@3563 10810014 +@3564 1007883A +@3565 2005883A +@3566 10C00035 +@3567 E0BFFE17 +@3568 10000915 +@3569 00000A06 +@356A E0BFFE17 +@356B 10C00917 +@356C 00A00034 +@356D 10BFFF04 +@356E 10C00536 +@356F E0BFFE17 +@3570 10800917 +@3571 10C00044 +@3572 E0BFFE17 +@3573 10C00915 +@3574 00800074 +@3575 108F8904 +@3576 10800017 +@3577 E037883A +@3578 DF000017 +@3579 DEC00104 +@357A F800283A +@357B DEFFFC04 +@357C DF000315 +@357D DF000304 +@357E E13FFD15 +@357F E17FFE15 +@3580 00000706 +@3581 E0BFFE17 +@3582 1090000C +@3583 1005003A +@3584 1000031E +@3585 00BFFD44 +@3586 E0BFFF15 +@3587 00000B06 +@3588 E0BFFD17 +@3589 10C00D17 +@358A E0BFFD17 +@358B 10800C17 +@358C 18800526 +@358D E0BFFD17 +@358E 10C00917 +@358F E0BFFD17 +@3590 10800117 +@3591 18BFEF36 +@3592 E03FFF15 +@3593 E0BFFF17 +@3594 E037883A +@3595 DF000017 +@3596 DEC00104 +@3597 F800283A +@3598 DEFFF804 +@3599 DF000715 +@359A DF000704 +@359B E13FFB15 +@359C E17FFC15 +@359D E1BFFD15 +@359E 00BFF9C4 +@359F E0BFFA15 +@35A0 E0BFFC17 +@35A1 E0BFFF15 +@35A2 E0FFFF17 +@35A3 189A8060 +@35A4 1000041E +@35A5 E0FFFF17 +@35A6 189A80A0 +@35A7 10001B1E +@35A8 00002706 +@35A9 E0BFFB17 +@35AA 10C00117 +@35AB 00A00034 +@35AC 10BFFFC4 +@35AD 18802226 +@35AE E0BFFD17 +@35AF 10800017 +@35B0 E0BFF915 +@35B1 E0BFF917 +@35B2 10800090 +@35B3 1000071E +@35B4 E0FFF917 +@35B5 00A00034 +@35B6 10BFFFC4 +@35B7 18800326 +@35B8 E0BFF917 +@35B9 E0BFFE15 +@35BA 00000306 +@35BB 00E00034 +@35BC 18FFFF84 +@35BD E0FFFE15 +@35BE E0BFFB17 +@35BF E0FFFE17 +@35C0 10C00115 +@35C1 E03FFA15 +@35C2 00000D06 +@35C3 E0BFFB17 +@35C4 10C00117 +@35C5 00A00034 +@35C6 10BFFFC4 +@35C7 18800826 +@35C8 E13FFD17 +@35C9 E0BFFB17 +@35CA 10C00917 +@35CB E0BFFB17 +@35CC 10800117 +@35CD 1885803A +@35CE 20800015 +@35CF E03FFA15 +@35D0 E0BFFA17 +@35D1 E037883A +@35D2 DF000017 +@35D3 DEC00104 +@35D4 F800283A +@35D5 DEFFF204 +@35D6 DFC00D15 +@35D7 DF000C15 +@35D8 DF000C04 +@35D9 E13FFB15 +@35DA E17FFC15 +@35DB E1BFFD15 +@35DC E1FFFE15 +@35DD E0BFFC17 +@35DE E0BFFA15 +@35DF 00004806 +@35E0 E0BFFB17 +@35E1 10800A17 +@35E2 E0BFF715 +@35E3 E0BFFB17 +@35E4 10800B17 +@35E5 E0BFF615 +@35E6 E0FFF717 +@35E7 E0BFF617 +@35E8 18800536 +@35E9 E0BFF717 +@35EA E0FFF617 +@35EB 10C5C83A +@35EC E0BFF815 +@35ED 00000406 +@35EE 00820004 +@35EF E0FFF617 +@35F0 10C5C83A +@35F1 E0BFF815 +@35F2 E0BFF817 +@35F3 1005003A +@35F4 10001F1E +@35F5 E0FFFD17 +@35F6 E0BFF817 +@35F7 1880022E +@35F8 E0BFFD17 +@35F9 E0BFF815 +@35FA E0BFFB17 +@35FB 10C00E04 +@35FC E0BFF617 +@35FD 1887883A +@35FE E0BFFA17 +@35FF 1009883A +@3600 180B883A +@3601 E1BFF817 +@3602 000802C0 +@3603 E0FFF817 +@3604 E0BFFA17 +@3605 10C5883A +@3606 E0BFFA15 +@3607 E0FFFD17 +@3608 E0BFF817 +@3609 1885C83A +@360A E0BFFD15 +@360B E0FFF617 +@360C E0BFF817 +@360D 1885883A +@360E 10C1FFCC +@360F E0BFFB17 +@3610 10C00B15 +@3611 E0BFFD17 +@3612 10800048 +@3613 103FCC1E +@3614 E0FFFA17 +@3615 E0BFFC17 +@3616 1880141E +@3617 E0BFFE17 +@3618 1090000C +@3619 1004C03A +@361A 1000101E +@361B E0BFFB17 +@361C 10C00A17 +@361D E0BFF717 +@361E 1880051E +@361F E0BFFB17 +@3620 10C00917 +@3621 E0BFFB17 +@3622 10800117 +@3623 18BFF736 +@3624 E0BFFB17 +@3625 10C00A17 +@3626 E0BFF717 +@3627 18800326 +@3628 E0BFFD17 +@3629 10800048 +@362A 103FB51E +@362B E0FFFA17 +@362C E0BFFC17 +@362D 18801926 +@362E 0005303A +@362F E0BFF515 +@3630 E0FFF517 +@3631 00BFFF84 +@3632 1884703A +@3633 1001703A +@3634 E0BFF517 +@3635 E0BFF915 +@3636 E0BFFB17 +@3637 10800817 +@3638 10C00054 +@3639 E0BFFB17 +@363A 10C00815 +@363B E0BFFB17 +@363C 10800017 +@363D 11000104 +@363E E0BFFB17 +@363F 10800817 +@3640 1007883A +@3641 2005883A +@3642 10C00035 +@3643 E0BFF917 +@3644 E0BFF415 +@3645 E0BFF417 +@3646 1001703A +@3647 E0FFFA17 +@3648 E0BFFC17 +@3649 18800526 +@364A E0FFFA17 +@364B E0BFFC17 +@364C 1887C83A +@364D E0FFFF15 +@364E 00000906 +@364F E0BFFE17 +@3650 1090000C +@3651 1005003A +@3652 1000031E +@3653 00BFFD44 +@3654 E0BFFF15 +@3655 00000206 +@3656 00BFFEC4 +@3657 E0BFFF15 +@3658 E0BFFF17 +@3659 E037883A +@365A DFC00117 +@365B DF000017 +@365C DEC00204 +@365D F800283A +@365E DEFFF204 +@365F DFC00D15 +@3660 DF000C15 +@3661 DF000C04 +@3662 E13FFB15 +@3663 E17FFC15 +@3664 E1BFFD15 +@3665 E1FFFE15 +@3666 E03FF915 +@3667 E0BFFC17 +@3668 E0BFF615 +@3669 00003A06 +@366A E0BFFB17 +@366B 10800C17 +@366C E0BFFA15 +@366D E0BFFB17 +@366E 10800D17 +@366F E0BFF915 +@3670 E0FFFA17 +@3671 E0BFF917 +@3672 1880062E +@3673 E0FFF917 +@3674 E0BFFA17 +@3675 1885C83A +@3676 10BFFFC4 +@3677 E0BFF815 +@3678 00000C06 +@3679 E0BFF917 +@367A 1005003A +@367B 1000051E +@367C 00820004 +@367D E0FFFA17 +@367E 10C5C83A +@367F E0BFF815 +@3680 00000406 +@3681 0081FFC4 +@3682 E0FFFA17 +@3683 10C5C83A +@3684 E0BFF815 +@3685 E0BFF817 +@3686 1005003A +@3687 10001F1E +@3688 E0FFFD17 +@3689 E0BFF817 +@368A 1880022E +@368B E0BFFD17 +@368C E0BFF815 +@368D E0BFFB17 +@368E 10C20E04 +@368F E0BFFA17 +@3690 1885883A +@3691 E0FFFC17 +@3692 1009883A +@3693 180B883A +@3694 E1BFF817 +@3695 000802C0 +@3696 E0FFF817 +@3697 E0BFFC17 +@3698 10C5883A +@3699 E0BFFC15 +@369A E0FFFD17 +@369B E0BFF817 +@369C 1885C83A +@369D E0BFFD15 +@369E E0FFFA17 +@369F E0BFF817 +@36A0 1885883A +@36A1 10C1FFCC +@36A2 E0BFFB17 +@36A3 10C00C15 +@36A4 E0BFFD17 +@36A5 10800048 +@36A6 103FC31E +@36A7 0005303A +@36A8 E0BFF515 +@36A9 E0FFF517 +@36AA 00BFFF84 +@36AB 1884703A +@36AC 1001703A +@36AD E0BFF517 +@36AE E0BFF715 +@36AF E0BFFB17 +@36B0 10800817 +@36B1 10C00094 +@36B2 E0BFFB17 +@36B3 10C00815 +@36B4 E0BFFB17 +@36B5 10800017 +@36B6 11000104 +@36B7 E0BFFB17 +@36B8 10800817 +@36B9 1007883A +@36BA 2005883A +@36BB 10C00035 +@36BC E0BFF717 +@36BD E0BFF415 +@36BE E0BFF417 +@36BF 1001703A +@36C0 E0BFFD17 +@36C1 10800050 +@36C2 1000111E +@36C3 E0BFFE17 +@36C4 1090000C +@36C5 1004C03A +@36C6 1000101E +@36C7 E0BFFB17 +@36C8 10C00D17 +@36C9 E0BFF917 +@36CA 1880051E +@36CB E0BFFB17 +@36CC 10C00917 +@36CD E0BFFB17 +@36CE 10800117 +@36CF 18BFF736 +@36D0 E0BFFB17 +@36D1 10C00D17 +@36D2 E0BFF917 +@36D3 18800326 +@36D4 E0BFFD17 +@36D5 10800048 +@36D6 103FCD1E +@36D7 E0FFFC17 +@36D8 E0BFF617 +@36D9 18800526 +@36DA E0FFFC17 +@36DB E0BFF617 +@36DC 1887C83A +@36DD E0FFFF15 +@36DE 00000906 +@36DF E0BFFE17 +@36E0 1090000C +@36E1 1005003A +@36E2 1000031E +@36E3 00BFFD44 +@36E4 E0BFFF15 +@36E5 00000206 +@36E6 00BFFEC4 +@36E7 E0BFFF15 +@36E8 E0BFFF17 +@36E9 E037883A +@36EA DFC00117 +@36EB DF000017 +@36EC DEC00204 +@36ED F800283A +@36EE DEFFFA04 +@36EF DFC00515 +@36F0 DF000415 +@36F1 DF000404 +@36F2 E13FFE15 +@36F3 E17FFF05 +@36F4 E0BFFE17 +@36F5 10800017 +@36F6 E0BFFD15 +@36F7 008003F4 +@36F8 10909004 +@36F9 E0BFFC15 +@36FA E0BFFE17 +@36FB 10800803 +@36FC 10803FCC +@36FD 1080201C +@36FE 10BFE004 +@36FF 1004C03A +@3700 1000161E +@3701 00000A06 +@3702 E0BFFC17 +@3703 10BFFFC4 +@3704 E0BFFC15 +@3705 E0BFFC17 +@3706 1004C03A +@3707 1000041E +@3708 E0FFFE17 +@3709 00800044 +@370A 18800805 +@370B 00000B06 +@370C E0BFFD17 +@370D 10800104 +@370E 10800037 +@370F 1080200C +@3710 1004C03A +@3711 103FF01E +@3712 01001904 +@3713 000CE900 +@3714 E0BFFD17 +@3715 E0FFFF03 +@3716 10C00035 +@3717 E037883A +@3718 DFC00117 +@3719 DF000017 +@371A DEC00204 +@371B F800283A +@371C DEFFFA04 +@371D DFC00515 +@371E DF000415 +@371F DF000404 +@3720 E13FFE15 +@3721 E17FFF05 +@3722 E0BFFE17 +@3723 10800017 +@3724 E0BFFD15 +@3725 008003F4 +@3726 10909004 +@3727 E0BFFC15 +@3728 E0BFFE17 +@3729 10800803 +@372A 10803FCC +@372B 1080201C +@372C 10BFE004 +@372D 1004C03A +@372E 10001D1E +@372F 00000A06 +@3730 E0BFFC17 +@3731 10BFFFC4 +@3732 E0BFFC15 +@3733 E0BFFC17 +@3734 1004C03A +@3735 1000041E +@3736 E0FFFE17 +@3737 00800044 +@3738 18800805 +@3739 00001206 +@373A E0BFFD17 +@373B 10800104 +@373C 10800037 +@373D 1080200C +@373E 1004C03A +@373F 103FF01E +@3740 01001904 +@3741 000CE900 +@3742 E0BFFD17 +@3743 10800204 +@3744 E0FFFF03 +@3745 10C00035 +@3746 E0BFFE17 +@3747 108008C3 +@3748 10800044 +@3749 1007883A +@374A E0BFFE17 +@374B 10C008C5 +@374C E037883A +@374D DFC00117 +@374E DF000017 +@374F DEC00204 +@3750 F800283A +@3751 DEFFFB04 +@3752 DFC00415 +@3753 DF000315 +@3754 DC000215 +@3755 DF000204 +@3756 E13FFF15 +@3757 E13FFF17 +@3758 01400044 +@3759 000DBB80 +@375A E0BFFF17 +@375B 10000845 +@375C E0BFFF17 +@375D 10000885 +@375E E0BFFF17 +@375F 100008C5 +@3760 E03FFE15 +@3761 00001C06 +@3762 E13FFE17 +@3763 014018C4 +@3764 0002C3C0 +@3765 10C01004 +@3766 E0BFFF17 +@3767 1889883A +@3768 01400804 +@3769 01801444 +@376A 00081AC0 +@376B E13FFE17 +@376C 014018C4 +@376D 0002C3C0 +@376E 10C00C04 +@376F E0BFFF17 +@3770 1889883A +@3771 01400804 +@3772 01800404 +@3773 00081AC0 +@3774 E13FFE17 +@3775 E43FFF17 +@3776 014018C4 +@3777 0002C3C0 +@3778 1405883A +@3779 10802404 +@377A 10000045 +@377B E0BFFE17 +@377C 10800044 +@377D E0BFFE15 +@377E E0BFFE17 +@377F 10800090 +@3780 103FE11E +@3781 E037883A +@3782 DFC00217 +@3783 DF000117 +@3784 DC000017 +@3785 DEC00304 +@3786 F800283A +@3787 DEFFF404 +@3788 DFC00B15 +@3789 DF000A15 +@378A DC800915 +@378B DC400815 +@378C DC000715 +@378D DF000704 +@378E E13FFF15 +@378F E0BFFF17 +@3790 10800943 +@3791 10803FCC +@3792 1080201C +@3793 10BFE004 +@3794 E0BFFC15 +@3795 E03FFE15 +@3796 00006A06 +@3797 E13FFE17 +@3798 E43FFF17 +@3799 014018C4 +@379A 0002C3C0 +@379B 1405883A +@379C 10802404 +@379D 10800043 +@379E 10803FCC +@379F 1080201C +@37A0 10BFE004 +@37A1 E0BFFB15 +@37A2 E13FFE17 +@37A3 E43FFF17 +@37A4 014018C4 +@37A5 0002C3C0 +@37A6 1405883A +@37A7 10802404 +@37A8 10800083 +@37A9 11003FCC +@37AA E17FFC17 +@37AB 0002C3C0 +@37AC 1005D23A +@37AD E0BFFA15 +@37AE E0FFFA17 +@37AF E0BFFB17 +@37B0 18800116 +@37B1 E03FFA15 +@37B2 E03FFD15 +@37B3 00004706 +@37B4 E47FFE17 +@37B5 E0FFFD17 +@37B6 E0BFFA17 +@37B7 1889883A +@37B8 E17FFB17 +@37B9 0002BCC0 +@37BA 1025883A +@37BB E43FFF17 +@37BC 8809883A +@37BD 014018C4 +@37BE 0002C3C0 +@37BF 1405883A +@37C0 1485883A +@37C1 10801004 +@37C2 10800003 +@37C3 E0BFF945 +@37C4 E13FFE17 +@37C5 E47FFD17 +@37C6 E43FFF17 +@37C7 014018C4 +@37C8 0002C3C0 +@37C9 1405883A +@37CA 1445883A +@37CB 10800C04 +@37CC 10800003 +@37CD 10C03FCC +@37CE 18C0201C +@37CF 18FFE004 +@37D0 E0BFF947 +@37D1 18802626 +@37D2 E0FFFE17 +@37D3 D0A00F04 +@37D4 1885883A +@37D5 10800003 +@37D6 1007883A +@37D7 E0BFFD17 +@37D8 1885883A +@37D9 E0BFF905 +@37DA E0FFF903 +@37DB E0BFFF17 +@37DC 108008C3 +@37DD 10803FCC +@37DE 1080201C +@37DF 10BFE004 +@37E0 18800926 +@37E1 E0FFF903 +@37E2 00BFE004 +@37E3 1884B03A +@37E4 11403FCC +@37E5 E13FFF17 +@37E6 000DBB80 +@37E7 E0FFF903 +@37E8 E0BFFF17 +@37E9 10C008C5 +@37EA E0BFF943 +@37EB 11403FCC +@37EC E13FFF17 +@37ED 000DC700 +@37EE E13FFE17 +@37EF E47FFD17 +@37F0 E43FFF17 +@37F1 014018C4 +@37F2 0002C3C0 +@37F3 1405883A +@37F4 1445883A +@37F5 10C00C04 +@37F6 E0BFF943 +@37F7 18800005 +@37F8 E0BFFD17 +@37F9 10800044 +@37FA E0BFFD15 +@37FB E0BFFD17 +@37FC 10800410 +@37FD 103FB61E +@37FE E0BFFE17 +@37FF 10800044 +@3800 E0BFFE15 +@3801 E0BFFE17 +@3802 10800090 +@3803 103F931E +@3804 E037883A +@3805 DFC00417 +@3806 DF000317 +@3807 DC800217 +@3808 DC400117 +@3809 DC000017 +@380A DEC00504 +@380B F800283A +@380C DEFFFB04 +@380D DFC00415 +@380E DF000315 +@380F DC000215 +@3810 DF000204 +@3811 E13FFF15 +@3812 E03FFE15 +@3813 00002106 +@3814 E0BFFE17 +@3815 10800048 +@3816 1000121E +@3817 E13FFE17 +@3818 014018C4 +@3819 0002C3C0 +@381A 10C01004 +@381B E0BFFF17 +@381C 18A1883A +@381D E0BFFE17 +@381E 11000044 +@381F 014018C4 +@3820 0002C3C0 +@3821 10C01004 +@3822 E0BFFF17 +@3823 1885883A +@3824 8009883A +@3825 100B883A +@3826 01801404 +@3827 000802C0 +@3828 00000906 +@3829 E13FFE17 +@382A 014018C4 +@382B 0002C3C0 +@382C 10C01004 +@382D E0BFFF17 +@382E 1889883A +@382F 01400804 +@3830 01801404 +@3831 00081AC0 +@3832 E0BFFE17 +@3833 10800044 +@3834 E0BFFE15 +@3835 E0BFFE17 +@3836 10800090 +@3837 103FDC1E +@3838 E0BFFF17 +@3839 10800883 +@383A 10BFFFC4 +@383B 1007883A +@383C E0BFFF17 +@383D 10C00885 +@383E E037883A +@383F DFC00217 +@3840 DF000117 +@3841 DC000017 +@3842 DEC00304 +@3843 F800283A +@3844 DEFFF804 +@3845 DFC00715 +@3846 DF000615 +@3847 DF000604 +@3848 E13FFD15 +@3849 E17FFE05 +@384A E03FFC15 +@384B E03FFB15 +@384C E0BFFD17 +@384D 10800A03 +@384E 10803FCC +@384F 1080201C +@3850 10BFE004 +@3851 108016D8 +@3852 10004D1E +@3853 E0BFFD17 +@3854 10800A04 +@3855 10800044 +@3856 E0BFFA15 +@3857 00000F06 +@3858 E13FFC17 +@3859 01400284 +@385A 0002C3C0 +@385B 1007883A +@385C E0BFFA17 +@385D 10800003 +@385E 10803FCC +@385F 1080201C +@3860 10BFE004 +@3861 1885883A +@3862 10BFF404 +@3863 E0BFFC15 +@3864 E0BFFA17 +@3865 10800044 +@3866 E0BFFA15 +@3867 E0BFFA17 +@3868 10800003 +@3869 10803FCC +@386A 1080201C +@386B 10BFE004 +@386C 1007883A +@386D 00800074 +@386E 10888504 +@386F 10800017 +@3870 1885883A +@3871 10800003 +@3872 10803FCC +@3873 1080010C +@3874 1004C03A +@3875 103FE21E +@3876 E0BFFA17 +@3877 10800003 +@3878 10803FCC +@3879 1080201C +@387A 10BFE004 +@387B 10800ED8 +@387C 1000251E +@387D E0BFFA17 +@387E 10800044 +@387F E0BFFA15 +@3880 00000F06 +@3881 E13FFB17 +@3882 01400284 +@3883 0002C3C0 +@3884 1007883A +@3885 E0BFFA17 +@3886 10800003 +@3887 10803FCC +@3888 1080201C +@3889 10BFE004 +@388A 1885883A +@388B 10BFF404 +@388C E0BFFB15 +@388D E0BFFA17 +@388E 10800044 +@388F E0BFFA15 +@3890 E0BFFA17 +@3891 10800003 +@3892 10803FCC +@3893 1080201C +@3894 10BFE004 +@3895 1007883A +@3896 00800074 +@3897 10888504 +@3898 10800017 +@3899 1885883A +@389A 10800003 +@389B 10803FCC +@389C 1080010C +@389D 1004C03A +@389E 103FE21E +@389F 00000206 +@38A0 00BFFFC4 +@38A1 E0BFFC15 +@38A2 E0BFFE07 +@38A3 E0BFFF15 +@38A4 E0FFFF17 +@38A5 188012A0 +@38A6 10002F1E +@38A7 E0FFFF17 +@38A8 188012C8 +@38A9 1000041E +@38AA E0FFFF17 +@38AB 18801220 +@38AC 1000081E +@38AD 00004A06 +@38AE E0FFFF17 +@38AF 188012E0 +@38B0 10002B1E +@38B1 E0FFFF17 +@38B2 188019A0 +@38B3 1000011E +@38B4 00004306 +@38B5 E0BFFB17 +@38B6 10800050 +@38B7 1000051E +@38B8 E0BFFB17 +@38B9 10BFFFC4 +@38BA 1007883A +@38BB E0BFFD17 +@38BC 10C00845 +@38BD E0BFFC17 +@38BE 10800050 +@38BF 1000381E +@38C0 E0BFFC17 +@38C1 10BFFFC4 +@38C2 1007883A +@38C3 E0BFFD17 +@38C4 10C00885 +@38C5 E0BFFD17 +@38C6 10800883 +@38C7 10803FCC +@38C8 10800170 +@38C9 1000061E +@38CA E0FFFD17 +@38CB 00800104 +@38CC 18800885 +@38CD 00000206 +@38CE E13FFD17 +@38CF 000E0300 +@38D0 E0BFFD17 +@38D1 10800883 +@38D2 10803FCC +@38D3 108000E8 +@38D4 103FF91E +@38D5 00002206 +@38D6 E0BFFC17 +@38D7 10800098 +@38D8 10001F1E +@38D9 E13FFD17 +@38DA 000DD440 +@38DB 00001C06 +@38DC E0BFFC17 +@38DD 10800048 +@38DE 1000191E +@38DF E0BFFD17 +@38E0 10800843 +@38E1 10803FCC +@38E2 10801428 +@38E3 1000141E +@38E4 E0BFFD17 +@38E5 10800883 +@38E6 11003FCC +@38E7 014018C4 +@38E8 0002C3C0 +@38E9 10C01004 +@38EA E0BFFD17 +@38EB 1887883A +@38EC E0BFFD17 +@38ED 10800843 +@38EE 10803FCC +@38EF 1889883A +@38F0 E0BFFD17 +@38F1 10800843 +@38F2 10C03FCC +@38F3 00801404 +@38F4 10C5C83A +@38F5 100D883A +@38F6 01400804 +@38F7 00081AC0 +@38F8 E037883A +@38F9 DFC00117 +@38FA DF000017 +@38FB DEC00204 +@38FC F800283A +@38FD DEFFF004 +@38FE DFC00F15 +@38FF DF000E15 +@3900 DC800D15 +@3901 DC400C15 +@3902 DC000B15 +@3903 DF000B04 +@3904 E13FFC15 +@3905 E17FFD15 +@3906 E1BFFE15 +@3907 E1FFFF15 +@3908 E0BFFE17 +@3909 1007883A +@390A E0BFFD17 +@390B 10C5883A +@390C E0BFFB15 +@390D E0FFFC17 +@390E 00800044 +@390F 188009C5 +@3910 0000A306 +@3911 E0BFFD17 +@3912 10800003 +@3913 E0BFF805 +@3914 E0BFFC17 +@3915 10800903 +@3916 10803FCC +@3917 1080201C +@3918 10BFE004 +@3919 1004803A +@391A 10003B1E +@391B E0BFFC17 +@391C 10800903 +@391D 10803FCC +@391E 1080201C +@391F 10BFE004 +@3920 E0BFF715 +@3921 E0BFF717 +@3922 1004C03A +@3923 1000031E +@3924 E0BFF807 +@3925 108016D8 +@3926 1000111E +@3927 E0BFF717 +@3928 1005003A +@3929 10001A1E +@392A E0BFF807 +@392B 1007883A +@392C 00800074 +@392D 10888504 +@392E 10800017 +@392F 1885883A +@3930 10800003 +@3931 10803FCC +@3932 1080010C +@3933 1004C03A +@3934 10000F1E +@3935 E0BFF807 +@3936 10800EE0 +@3937 10000C1E +@3938 E0FFF717 +@3939 E0BFFC17 +@393A 1885883A +@393B 10800A04 +@393C 10000005 +@393D E17FF807 +@393E E13FFC17 +@393F 000E1100 +@3940 E0FFFC17 +@3941 00BFFFC4 +@3942 18800905 +@3943 00006D06 +@3944 E0BFFC17 +@3945 10800903 +@3946 10803FCC +@3947 108001E8 +@3948 1000681E +@3949 E0FFF717 +@394A E0BFFC17 +@394B 1885883A +@394C 10C00A04 +@394D E0BFF803 +@394E 18800005 +@394F E0BFFC17 +@3950 10800903 +@3951 10800044 +@3952 1007883A +@3953 E0BFFC17 +@3954 10C00905 +@3955 00005B06 +@3956 E0BFF807 +@3957 108006D8 +@3958 1000031E +@3959 E0BFFC17 +@395A 10000905 +@395B 00005506 +@395C E0BFF807 +@395D 10800358 +@395E 1000031E +@395F E0BFFC17 +@3960 10000845 +@3961 00004F06 +@3962 E0BFF807 +@3963 10800298 +@3964 1000101E +@3965 E0BFFC17 +@3966 10000845 +@3967 E0BFFC17 +@3968 10800883 +@3969 10800044 +@396A 1007883A +@396B E0BFFC17 +@396C 10C00885 +@396D E0BFFC17 +@396E 10800883 +@396F 10803FCC +@3970 108000F0 +@3971 10003F1E +@3972 E13FFC17 +@3973 000E0300 +@3974 00003C06 +@3975 E0BFF807 +@3976 10800218 +@3977 10000C1E +@3978 E0BFFC17 +@3979 10800843 +@397A 10803FCC +@397B 1005003A +@397C 1000341E +@397D E0BFFC17 +@397E 10800843 +@397F 10BFFFC4 +@3980 1007883A +@3981 E0BFFC17 +@3982 10C00845 +@3983 00002D06 +@3984 E0BFF807 +@3985 1007883A +@3986 00800074 +@3987 10888504 +@3988 10800017 +@3989 1885883A +@398A 10800003 +@398B 10803FCC +@398C 1080201C +@398D 10BFE004 +@398E 108025CC +@398F 1005003A +@3990 1000201E +@3991 E0BFFC17 +@3992 10800883 +@3993 10803FCC +@3994 108000B0 +@3995 1000021E +@3996 E13FFC17 +@3997 000E0300 +@3998 E0BFFC17 +@3999 10800843 +@399A 10803FCC +@399B 10801428 +@399C 10000E1E +@399D E0BFFC17 +@399E 10800883 +@399F 11003FCC +@39A0 E0BFFC17 +@39A1 10800843 +@39A2 14403FCC +@39A3 E43FFC17 +@39A4 014018C4 +@39A5 0002C3C0 +@39A6 1405883A +@39A7 1445883A +@39A8 10C01004 +@39A9 E0BFF803 +@39AA 18800005 +@39AB E0BFFC17 +@39AC 10800843 +@39AD 10800044 +@39AE 1007883A +@39AF E0BFFC17 +@39B0 10C00845 +@39B1 E0BFFD17 +@39B2 10800044 +@39B3 E0BFFD15 +@39B4 E0FFFD17 +@39B5 E0BFFB17 +@39B6 18BF5A36 +@39B7 00800404 +@39B8 E0BFF915 +@39B9 E03FFA15 +@39BA 00003906 +@39BB 00801404 +@39BC E0BFF615 +@39BD 00001206 +@39BE E13FFA17 +@39BF E0BFF617 +@39C0 147FFFC4 +@39C1 E43FFC17 +@39C2 014018C4 +@39C3 0002C3C0 +@39C4 1405883A +@39C5 1445883A +@39C6 10801004 +@39C7 10800003 +@39C8 10803FCC +@39C9 1080201C +@39CA 10BFE004 +@39CB 10800818 +@39CC 1000061E +@39CD E0BFF617 +@39CE 10BFFFC4 +@39CF E0BFF615 +@39D0 E0BFF617 +@39D1 10800048 +@39D2 103FEB1E +@39D3 E0BFF617 +@39D4 10800448 +@39D5 1000031E +@39D6 00800404 +@39D7 E0BFF615 +@39D8 00000306 +@39D9 E0BFF617 +@39DA 10800044 +@39DB E0BFF615 +@39DC E13FFA17 +@39DD E0BFF617 +@39DE 1023883A +@39DF E43FFC17 +@39E0 014018C4 +@39E1 0002C3C0 +@39E2 1405883A +@39E3 10802404 +@39E4 14400045 +@39E5 E0FFF917 +@39E6 E0BFF617 +@39E7 1880020E +@39E8 E0BFF617 +@39E9 E0BFF915 +@39EA E13FFA17 +@39EB E43FFC17 +@39EC 014018C4 +@39ED 0002C3C0 +@39EE 1405883A +@39EF 10802404 +@39F0 10000085 +@39F1 E0BFFA17 +@39F2 10800044 +@39F3 E0BFFA15 +@39F4 E0BFFA17 +@39F5 10800090 +@39F6 103FC41E +@39F7 E0BFF917 +@39F8 10800448 +@39F9 1000031E +@39FA E0BFFC17 +@39FB 10000985 +@39FC 00003106 +@39FD E0BFF917 +@39FE 1085883A +@39FF E0BFF915 +@3A00 E0BFF917 +@3A01 1007883A +@3A02 E0BFFC17 +@3A03 10C00985 +@3A04 E03FFA15 +@3A05 00002506 +@3A06 E13FFA17 +@3A07 E43FFC17 +@3A08 014018C4 +@3A09 0002C3C0 +@3A0A 1405883A +@3A0B 10802404 +@3A0C 10800043 +@3A0D 10803FCC +@3A0E 1080201C +@3A0F 10BFE004 +@3A10 10800450 +@3A11 1000161E +@3A12 E4BFFA17 +@3A13 E13FFA17 +@3A14 E43FFC17 +@3A15 014018C4 +@3A16 0002C3C0 +@3A17 1405883A +@3A18 10802404 +@3A19 10800043 +@3A1A 10803FCC +@3A1B 1080201C +@3A1C 10BFE004 +@3A1D 1008923A +@3A1E E17FF917 +@3A1F 0002B6C0 +@3A20 1023883A +@3A21 E43FFC17 +@3A22 9009883A +@3A23 014018C4 +@3A24 0002C3C0 +@3A25 1405883A +@3A26 10802404 +@3A27 14400085 +@3A28 E0BFFA17 +@3A29 10800044 +@3A2A E0BFFA15 +@3A2B E0BFFA17 +@3A2C 10800090 +@3A2D 103FD81E +@3A2E E0BFFC17 +@3A2F 10800943 +@3A30 10803FCC +@3A31 1080201C +@3A32 10BFE004 +@3A33 E0BFF515 +@3A34 E13FFC17 +@3A35 000DE1C0 +@3A36 E0BFFC17 +@3A37 100009C5 +@3A38 E0BFFC17 +@3A39 10800943 +@3A3A 10C03FCC +@3A3B 18C0201C +@3A3C 18FFE004 +@3A3D E0BFF517 +@3A3E 18800426 +@3A3F E0FFFC17 +@3A40 00800044 +@3A41 188009C5 +@3A42 003FEB06 +@3A43 E0BFFE17 +@3A44 E037883A +@3A45 DFC00417 +@3A46 DF000317 +@3A47 DC800217 +@3A48 DC400117 +@3A49 DC000017 +@3A4A DEC00504 +@3A4B F800283A +@3A4C DEFFFC04 +@3A4D DFC00315 +@3A4E DF000215 +@3A4F DF000204 +@3A50 E13FFF15 +@3A51 E0BFFF17 +@3A52 E0BFFE15 +@3A53 E0BFFE17 +@3A54 10800943 +@3A55 10803FCC +@3A56 1080201C +@3A57 10BFE004 +@3A58 10C00044 +@3A59 E0BFFE17 +@3A5A 10800983 +@3A5B 10803FCC +@3A5C 1080201C +@3A5D 10BFE004 +@3A5E 18800316 +@3A5F E0BFFE17 +@3A60 10000945 +@3A61 00000606 +@3A62 E0BFFE17 +@3A63 10800943 +@3A64 10800044 +@3A65 1007883A +@3A66 E0BFFE17 +@3A67 10C00945 +@3A68 E0BFFE17 +@3A69 10800983 +@3A6A 10803FCC +@3A6B 1080201C +@3A6C 10BFE004 +@3A6D 10800050 +@3A6E 1000091E +@3A6F E0BFFE17 +@3A70 108009C3 +@3A71 10803FCC +@3A72 1080201C +@3A73 10BFE004 +@3A74 1004C03A +@3A75 1000021E +@3A76 E13FFE17 +@3A77 000DE1C0 +@3A78 E0BFFE17 +@3A79 10800717 +@3A7A E037883A +@3A7B DFC00117 +@3A7C DF000017 +@3A7D DEC00204 +@3A7E F800283A +@3A7F DEFFFC04 +@3A80 DFC00315 +@3A81 DF000215 +@3A82 DF000204 +@3A83 E13FFF15 +@3A84 E0BFFF17 +@3A85 10800017 +@3A86 E0BFFE15 +@3A87 E0BFFF17 +@3A88 10000805 +@3A89 010EA604 +@3A8A 000CE900 +@3A8B E0BFFE17 +@3A8C 1007883A +@3A8D 00800C04 +@3A8E 18800035 +@3A8F 01040104 +@3A90 000CE900 +@3A91 E0BFFE17 +@3A92 1007883A +@3A93 00800C04 +@3A94 18800035 +@3A95 0100FA04 +@3A96 000CE900 +@3A97 E0BFFE17 +@3A98 1007883A +@3A99 00800C04 +@3A9A 18800035 +@3A9B E13FFF17 +@3A9C 01400E04 +@3A9D 000DBB80 +@3A9E E13FFF17 +@3A9F 01400204 +@3AA0 000DBB80 +@3AA1 E13FFF17 +@3AA2 000DD440 +@3AA3 E13FFF17 +@3AA4 01400184 +@3AA5 000DBB80 +@3AA6 E13FFF17 +@3AA7 01400304 +@3AA8 000DBB80 +@3AA9 E0FFFF17 +@3AAA 00BFFFC4 +@3AAB 18800905 +@3AAC E0BFFF17 +@3AAD 11000A04 +@3AAE 000B883A +@3AAF 01800204 +@3AB0 00081AC0 +@3AB1 E0BFFF17 +@3AB2 10000945 +@3AB3 E0BFFF17 +@3AB4 10000985 +@3AB5 E0BFFF17 +@3AB6 100009C5 +@3AB7 00800074 +@3AB8 108F8904 +@3AB9 10800017 +@3ABA 1009883A +@3ABB 01400284 +@3ABC 0002C2C0 +@3ABD 1007883A +@3ABE E0BFFF17 +@3ABF 10C00715 +@3AC0 E0BFFF17 +@3AC1 11000104 +@3AC2 E0BFFF17 +@3AC3 10800717 +@3AC4 100B883A +@3AC5 01800074 +@3AC6 31BA4C04 +@3AC7 E1FFFF17 +@3AC8 000EB900 +@3AC9 E037883A +@3ACA DFC00117 +@3ACB DF000017 +@3ACC DEC00204 +@3ACD F800283A +@3ACE DEFFFA04 +@3ACF DFC00515 +@3AD0 DF000415 +@3AD1 DF000404 +@3AD2 E13FFD15 +@3AD3 E17FFE15 +@3AD4 E1BFFF15 +@3AD5 E0BFFD17 +@3AD6 10800017 +@3AD7 E0BFFC15 +@3AD8 E0BFFC17 +@3AD9 11000A04 +@3ADA E0BFFD17 +@3ADB 11C00217 +@3ADC E17FFE17 +@3ADD E1BFFF17 +@3ADE 000E3F40 +@3ADF E037883A +@3AE0 DFC00117 +@3AE1 DF000017 +@3AE2 DEC00204 +@3AE3 F800283A +@3AE4 DEFFF404 +@3AE5 DF000B15 +@3AE6 DF000B04 +@3AE7 E13FFB15 +@3AE8 E17FFC15 +@3AE9 E1BFFD15 +@3AEA E1FFFE15 +@3AEB E03FF915 +@3AEC 00800074 +@3AED 108F8904 +@3AEE 10800017 +@3AEF 1005003A +@3AF0 1000411E +@3AF1 E0BFFB17 +@3AF2 1005003A +@3AF3 10003B1E +@3AF4 E0FFFB17 +@3AF5 E0BFFD17 +@3AF6 18800315 +@3AF7 E0FFFB17 +@3AF8 E0BFFE17 +@3AF9 18800515 +@3AFA 0005303A +@3AFB E0BFF815 +@3AFC E0FFF817 +@3AFD 00BFFF84 +@3AFE 1884703A +@3AFF 1001703A +@3B00 E0BFF817 +@3B01 E0BFFA15 +@3B02 00800074 +@3B03 108F8A04 +@3B04 10800017 +@3B05 E0BFF915 +@3B06 E0FFFC17 +@3B07 E0BFF917 +@3B08 1885883A +@3B09 10C00044 +@3B0A E0BFFB17 +@3B0B 10C00215 +@3B0C E0BFFB17 +@3B0D 10C00217 +@3B0E E0BFF917 +@3B0F 1880042E +@3B10 E0FFFB17 +@3B11 00800044 +@3B12 18800405 +@3B13 00000206 +@3B14 E0BFFB17 +@3B15 10000405 +@3B16 E0FFFB17 +@3B17 00800074 +@3B18 10889504 +@3B19 E0BFF615 +@3B1A E0FFF715 +@3B1B E0FFF717 +@3B1C E0BFF617 +@3B1D 18800115 +@3B1E E0BFF617 +@3B1F 10C00017 +@3B20 E0BFF717 +@3B21 10C00015 +@3B22 E0BFF617 +@3B23 10C00017 +@3B24 E0BFF717 +@3B25 18800115 +@3B26 E0FFF617 +@3B27 E0BFF717 +@3B28 18800015 +@3B29 E0BFFA17 +@3B2A E0BFF515 +@3B2B E0BFF517 +@3B2C 1001703A +@3B2D E03FFF15 +@3B2E 00000506 +@3B2F 00BFFA84 +@3B30 E0BFFF15 +@3B31 00000206 +@3B32 00BFDE84 +@3B33 E0BFFF15 +@3B34 E0BFFF17 +@3B35 E037883A +@3B36 DF000017 +@3B37 DEC00104 +@3B38 F800283A +@3B39 DEFFFA04 +@3B3A DFC00515 +@3B3B DF000415 +@3B3C DF000404 +@3B3D E13FFF15 +@3B3E 00800244 +@3B3F E0BFFC15 +@3B40 E13FFC17 +@3B41 014003F4 +@3B42 29509004 +@3B43 0002C3C0 +@3B44 100B883A +@3B45 0100BEF4 +@3B46 213C2004 +@3B47 0002C2C0 +@3B48 100B883A +@3B49 01200034 +@3B4A 213FFFC4 +@3B4B 0002C2C0 +@3B4C 100B883A +@3B4D E13FFF17 +@3B4E 0002C2C0 +@3B4F E0BFFD15 +@3B50 E0BFFD17 +@3B51 1005003A +@3B52 10002A1E +@3B53 E03FFE15 +@3B54 00001706 +@3B55 00A00034 +@3B56 10BFFFC4 +@3B57 10BFFFC4 +@3B58 103FFE1E +@3B59 E13FFC17 +@3B5A 014003F4 +@3B5B 29509004 +@3B5C 0002C3C0 +@3B5D 100B883A +@3B5E 0100BEF4 +@3B5F 213C2004 +@3B60 0002C2C0 +@3B61 100B883A +@3B62 01200034 +@3B63 213FFFC4 +@3B64 0002C2C0 +@3B65 1007883A +@3B66 E0BFFF17 +@3B67 10C5C83A +@3B68 E0BFFF15 +@3B69 E0BFFE17 +@3B6A 10800044 +@3B6B E0BFFE15 +@3B6C E0FFFE17 +@3B6D E0BFFD17 +@3B6E 18BFE616 +@3B6F E13FFC17 +@3B70 014003F4 +@3B71 29509004 +@3B72 0002C3C0 +@3B73 100B883A +@3B74 0100BEF4 +@3B75 213C2004 +@3B76 0002C2C0 +@3B77 1009883A +@3B78 E17FFF17 +@3B79 0002C3C0 +@3B7A 10BFFFC4 +@3B7B 103FFE1E +@3B7C 00000D06 +@3B7D E13FFC17 +@3B7E 014003F4 +@3B7F 29509004 +@3B80 0002C3C0 +@3B81 100B883A +@3B82 0100BEF4 +@3B83 213C2004 +@3B84 0002C2C0 +@3B85 1009883A +@3B86 E17FFF17 +@3B87 0002C3C0 +@3B88 10BFFFC4 +@3B89 00BFFE16 +@3B8A 0005883A +@3B8B E037883A +@3B8C DFC00117 +@3B8D DF000017 +@3B8E DEC00204 +@3B8F F800283A +@3B90 DEFFFF04 +@3B91 DF000015 +@3B92 D839883A +@3B93 E037883A +@3B94 DF000017 +@3B95 DEC00104 +@3B96 F800283A +@3B97 DEFFF904 +@3B98 DFC00615 +@3B99 DF000515 +@3B9A DF000504 +@3B9B E13FFD15 +@3B9C E17FFE15 +@3B9D E0BFFD17 +@3B9E 1005003A +@3B9F 1000041E +@3BA0 E0BFFD17 +@3BA1 10800217 +@3BA2 1004C03A +@3BA3 1000071E +@3BA4 000EF100 +@3BA5 1007883A +@3BA6 00800584 +@3BA7 18800015 +@3BA8 00BFFA84 +@3BA9 E0BFFF15 +@3BAA 00001306 +@3BAB E0FFFD17 +@3BAC E0BFFE17 +@3BAD E0BFFB15 +@3BAE E0FFFC15 +@3BAF E0FFFC17 +@3BB0 E0BFFB17 +@3BB1 18800115 +@3BB2 E0BFFB17 +@3BB3 10C00017 +@3BB4 E0BFFC17 +@3BB5 10C00015 +@3BB6 E0BFFB17 +@3BB7 10C00017 +@3BB8 E0BFFC17 +@3BB9 18800115 +@3BBA E0FFFB17 +@3BBB E0BFFC17 +@3BBC 18800015 +@3BBD E03FFF15 +@3BBE E0BFFF17 +@3BBF E037883A +@3BC0 DFC00117 +@3BC1 DF000017 +@3BC2 DEC00204 +@3BC3 F800283A +@3BC4 DEFFFD04 +@3BC5 DFC00215 +@3BC6 DF000115 +@3BC7 DF000104 +@3BC8 00800074 +@3BC9 10889104 +@3BCA 10800017 +@3BCB 1005003A +@3BCC 1000061E +@3BCD 00800074 +@3BCE 10889104 +@3BCF 10800017 +@3BD0 103EE83A +@3BD1 E0BFFF15 +@3BD2 00000306 +@3BD3 00800074 +@3BD4 108F8404 +@3BD5 E0BFFF15 +@3BD6 E0BFFF17 +@3BD7 E037883A +@3BD8 DFC00117 +@3BD9 DF000017 +@3BDA DEC00204 +@3BDB F800283A +@3BDC DEFFFD04 +@3BDD DFC00215 +@3BDE DF000115 +@3BDF DF000104 +@3BE0 00BFFF04 +@3BE1 00C00074 +@3BE2 18FFEA04 +@3BE3 1885883A +@3BE4 E0BFFF15 +@3BE5 00000606 +@3BE6 E0BFFF17 +@3BE7 10800017 +@3BE8 103EE83A +@3BE9 E0BFFF17 +@3BEA 10BFFF04 +@3BEB E0BFFF15 +@3BEC E0FFFF17 +@3BED 00800074 +@3BEE 10BFE904 +@3BEF 18BFF62E +@3BF0 E037883A +@3BF1 DFC00117 +@3BF2 DF000017 +@3BF3 DEC00204 +@3BF4 F800283A +@3BF5 DEFFFD04 +@3BF6 DFC00215 +@3BF7 DF000115 +@3BF8 DF000104 +@3BF9 00BFFF04 +@3BFA 00C00074 +@3BFB 18FFEA04 +@3BFC 1885883A +@3BFD E0BFFF15 +@3BFE 00000606 +@3BFF E0BFFF17 +@3C00 10800017 +@3C01 103EE83A +@3C02 E0BFFF17 +@3C03 10BFFF04 +@3C04 E0BFFF15 +@3C05 E0FFFF17 +@3C06 00800074 +@3C07 10BFEA04 +@3C08 18BFF62E +@3C09 E037883A +@3C0A DFC00117 +@3C0B DF000017 +@3C0C DEC00204 +@3C0D F800283A +@3C0E DEFFFF04 +@3C0F DF000015 +@3C10 D839883A +@3C11 E037883A +@3C12 DF000017 +@3C13 DEC00104 +@3C14 F800283A +@3C15 DEFFF904 +@3C16 DFC00615 +@3C17 DF000515 +@3C18 DF000504 +@3C19 E13FFC15 +@3C1A E17FFD15 +@3C1B E1BFFE15 +@3C1C E1FFFF15 +@3C1D E0800217 +@3C1E D8800015 +@3C1F E13FFC17 +@3C20 E17FFD17 +@3C21 E1BFFE17 +@3C22 E1FFFF17 +@3C23 000F2280 +@3C24 E037883A +@3C25 DFC00117 +@3C26 DF000017 +@3C27 DEC00204 +@3C28 F800283A +@3C29 DEFFF904 +@3C2A DF000615 +@3C2B DF000604 +@3C2C E13FFE15 +@3C2D E17FFF15 +@3C2E E0BFFF17 +@3C2F E0BFFC15 +@3C30 0005303A +@3C31 E0BFFB15 +@3C32 E0FFFB17 +@3C33 00BFFF84 +@3C34 1884703A +@3C35 1001703A +@3C36 E0BFFB17 +@3C37 E0BFFD15 +@3C38 E0FFFC17 +@3C39 00800044 +@3C3A 10C4983A +@3C3B 1007883A +@3C3C 00800074 +@3C3D 108F8804 +@3C3E 10800017 +@3C3F 1886B03A +@3C40 00800074 +@3C41 108F8804 +@3C42 10C00015 +@3C43 00800074 +@3C44 108F8804 +@3C45 10800017 +@3C46 100170FA +@3C47 E0BFFD17 +@3C48 E0BFFA15 +@3C49 E0BFFA17 +@3C4A 1001703A +@3C4B 0005883A +@3C4C E037883A +@3C4D DF000017 +@3C4E DEC00104 +@3C4F F800283A +@3C50 DEFFF904 +@3C51 DF000615 +@3C52 DF000604 +@3C53 E13FFE15 +@3C54 E17FFF15 +@3C55 E0BFFF17 +@3C56 E0BFFC15 +@3C57 0005303A +@3C58 E0BFFB15 +@3C59 E0FFFB17 +@3C5A 00BFFF84 +@3C5B 1884703A +@3C5C 1001703A +@3C5D E0BFFB17 +@3C5E E0BFFD15 +@3C5F E0FFFC17 +@3C60 00800044 +@3C61 10C4983A +@3C62 0084303A +@3C63 1007883A +@3C64 00800074 +@3C65 108F8804 +@3C66 10800017 +@3C67 1886703A +@3C68 00800074 +@3C69 108F8804 +@3C6A 10C00015 +@3C6B 00800074 +@3C6C 108F8804 +@3C6D 10800017 +@3C6E 100170FA +@3C6F E0BFFD17 +@3C70 E0BFFA15 +@3C71 E0BFFA17 +@3C72 1001703A +@3C73 0005883A +@3C74 E037883A +@3C75 DF000017 +@3C76 DEC00104 +@3C77 F800283A +@3C78 DEFFFC04 +@3C79 DF000315 +@3C7A DF000304 +@3C7B E13FFE15 +@3C7C E17FFF15 +@3C7D 000530FA +@3C7E E0BFFD15 +@3C7F E0FFFF17 +@3C80 00800044 +@3C81 10C4983A +@3C82 1007883A +@3C83 E0BFFD17 +@3C84 1884703A +@3C85 1004C03A +@3C86 E037883A +@3C87 DF000017 +@3C88 DEC00104 +@3C89 F800283A +@3C8A DEFFF404 +@3C8B DFC00B15 +@3C8C DF000A15 +@3C8D DF000A04 +@3C8E E13FFB15 +@3C8F E17FFC15 +@3C90 E1BFFD15 +@3C91 E1FFFE15 +@3C92 00BFFA84 +@3C93 E0BFFA15 +@3C94 E0BFFC17 +@3C95 E0BFF915 +@3C96 E0BFF917 +@3C97 10800808 +@3C98 1000291E +@3C99 0005303A +@3C9A E0BFF715 +@3C9B E0FFF717 +@3C9C 00BFFF84 +@3C9D 1884703A +@3C9E 1001703A +@3C9F E0BFF717 +@3CA0 E0BFF815 +@3CA1 E0BFF917 +@3CA2 00C00074 +@3CA3 18D0D904 +@3CA4 100490FA +@3CA5 10C7883A +@3CA6 E0BFFD17 +@3CA7 18800015 +@3CA8 E0BFF917 +@3CA9 00C00074 +@3CAA 18D0D904 +@3CAB 100490FA +@3CAC 10C5883A +@3CAD 10C00104 +@3CAE E0BFFE17 +@3CAF 18800015 +@3CB0 E0BFFD17 +@3CB1 1005003A +@3CB2 1000051E +@3CB3 E17FF917 +@3CB4 E13FFB17 +@3CB5 000F0A40 +@3CB6 E0BFFF15 +@3CB7 00000406 +@3CB8 E17FF917 +@3CB9 E13FFB17 +@3CBA 000F1400 +@3CBB E0BFFF15 +@3CBC E0BFFF17 +@3CBD E0BFFA15 +@3CBE E0BFF817 +@3CBF E0BFF615 +@3CC0 E0BFF617 +@3CC1 1001703A +@3CC2 E0BFFA17 +@3CC3 E037883A +@3CC4 DFC00117 +@3CC5 DF000017 +@3CC6 DEC00204 +@3CC7 F800283A +@3CC8 DEFFF804 +@3CC9 DFC00715 +@3CCA DF000615 +@3CCB DC000515 +@3CCC DF000504 +@3CCD E13FFC15 +@3CCE E17FFD15 +@3CCF E1BFFE15 +@3CD0 E1FFFF15 +@3CD1 E13FFD17 +@3CD2 E17FFE17 +@3CD3 E1BFFF17 +@3CD4 000F5680 +@3CD5 E0BFFB15 +@3CD6 E0BFFB17 +@3CD7 1004803A +@3CD8 10001F1E +@3CD9 E13FFB17 +@3CDA 04000074 +@3CDB 8403C404 +@3CDC 01400304 +@3CDD 0002C3C0 +@3CDE 1405883A +@3CDF 10C00017 +@3CE0 E0BFFC17 +@3CE1 10C00015 +@3CE2 E13FFB17 +@3CE3 04000074 +@3CE4 8403C404 +@3CE5 01400304 +@3CE6 0002C3C0 +@3CE7 1405883A +@3CE8 10800104 +@3CE9 10C00017 +@3CEA E0BFFC17 +@3CEB 10C00115 +@3CEC E13FFB17 +@3CED 04000074 +@3CEE 8403C404 +@3CEF 01400304 +@3CF0 0002C3C0 +@3CF1 1405883A +@3CF2 10800204 +@3CF3 10C00017 +@3CF4 E0BFFC17 +@3CF5 10C00215 +@3CF6 E13FFB17 +@3CF7 000CD5C0 +@3CF8 E037883A +@3CF9 DFC00217 +@3CFA DF000117 +@3CFB DC000017 +@3CFC DEC00304 +@3CFD F800283A +@3CFE DEFFFB04 +@3CFF DFC00415 +@3D00 DF000315 +@3D01 DF000304 +@3D02 E13FFD15 +@3D03 E17FFE15 +@3D04 E1BFFF15 +@3D05 01000074 +@3D06 2103C704 +@3D07 E17FFD17 +@3D08 01800044 +@3D09 01C07FC4 +@3D0A 000F3200 +@3D0B 01000074 +@3D0C 2103C404 +@3D0D E17FFE17 +@3D0E 000D883A +@3D0F 01C07FC4 +@3D10 000F3200 +@3D11 01000074 +@3D12 2103CA04 +@3D13 E17FFF17 +@3D14 01800044 +@3D15 01C07FC4 +@3D16 000F3200 +@3D17 E037883A +@3D18 DFC00117 +@3D19 DF000017 +@3D1A DEC00204 +@3D1B F800283A +@3D1C DEFFFA04 +@3D1D DFC00515 +@3D1E DF000415 +@3D1F DC000315 +@3D20 DF000304 +@3D21 E13FFE15 +@3D22 E0BFFE17 +@3D23 10800217 +@3D24 10D00034 +@3D25 E0BFFE17 +@3D26 10C00215 +@3D27 E03FFD15 +@3D28 00002306 +@3D29 E13FFD17 +@3D2A 04000074 +@3D2B 8403C404 +@3D2C 01400304 +@3D2D 0002C3C0 +@3D2E 1405883A +@3D2F 10C00017 +@3D30 E0BFFE17 +@3D31 10800017 +@3D32 1880161E +@3D33 E13FFD17 +@3D34 04000074 +@3D35 8403C404 +@3D36 01400304 +@3D37 0002C3C0 +@3D38 1405883A +@3D39 10800204 +@3D3A 10800017 +@3D3B 1004403A +@3D3C 10000C1E +@3D3D E13FFD17 +@3D3E 01400304 +@3D3F 0002C3C0 +@3D40 1007883A +@3D41 00800074 +@3D42 1083C404 +@3D43 1887883A +@3D44 E0BFFE17 +@3D45 18800326 +@3D46 00BFFCC4 +@3D47 E0BFFF15 +@3D48 00000A06 +@3D49 E0BFFD17 +@3D4A 10800044 +@3D4B E0BFFD15 +@3D4C 00800074 +@3D4D 10889004 +@3D4E 10800017 +@3D4F 1007883A +@3D50 E0BFFD17 +@3D51 18BFD72E +@3D52 E03FFF15 +@3D53 E0BFFF17 +@3D54 E037883A +@3D55 DFC00217 +@3D56 DF000117 +@3D57 DC000017 +@3D58 DEC00304 +@3D59 F800283A +@3D5A DEFFF404 +@3D5B DFC00B15 +@3D5C DF000A15 +@3D5D DF000A04 +@3D5E E13FFB15 +@3D5F E17FFC15 +@3D60 E1BFFD15 +@3D61 00BFFFC4 +@3D62 E0BFF815 +@3D63 00BFFB44 +@3D64 E0BFF715 +@3D65 E03FF615 +@3D66 E13FFB17 +@3D67 01400074 +@3D68 29488E04 +@3D69 000F9180 +@3D6A E0BFFA15 +@3D6B E0BFFA17 +@3D6C 1004C03A +@3D6D 1000051E +@3D6E E13FFB17 +@3D6F 000F9AC0 +@3D70 E0BFFA15 +@3D71 00800044 +@3D72 E0BFF615 +@3D73 E0BFFA17 +@3D74 1005003A +@3D75 1000311E +@3D76 E13FFA17 +@3D77 000FACC0 +@3D78 E0BFF815 +@3D79 E0BFF817 +@3D7A 1004403A +@3D7B 1000031E +@3D7C E0BFF817 +@3D7D E0BFF715 +@3D7E 00002A06 +@3D7F E13FF817 +@3D80 01400304 +@3D81 0002C3C0 +@3D82 1007883A +@3D83 00800074 +@3D84 1083C404 +@3D85 1885883A +@3D86 E0BFF915 +@3D87 E0FFFC17 +@3D88 00900034 +@3D89 10BFFFC4 +@3D8A 1886703A +@3D8B E0BFF917 +@3D8C 10C00215 +@3D8D E0BFF617 +@3D8E 1004C03A +@3D8F 1000061E +@3D90 E13FF917 +@3D91 000F4700 +@3D92 E0BFF715 +@3D93 E0BFF717 +@3D94 1004803A +@3D95 1000131E +@3D96 E0BFFA17 +@3D97 10800317 +@3D98 1005003A +@3D99 1000091E +@3D9A E0BFFA17 +@3D9B 10800317 +@3D9C E13FF917 +@3D9D E17FFB17 +@3D9E E1BFFC17 +@3D9F E1FFFD17 +@3DA0 103EE83A +@3DA1 E0BFFF15 +@3DA2 00000106 +@3DA3 E03FFF15 +@3DA4 E0BFFF17 +@3DA5 E0BFF715 +@3DA6 00000206 +@3DA7 00BFFB44 +@3DA8 E0BFF715 +@3DA9 E0BFF717 +@3DAA 1004403A +@3DAB 1000091E +@3DAC E13FF817 +@3DAD 000CD5C0 +@3DAE 000F6F40 +@3DAF E0FFF717 +@3DB0 00C7C83A +@3DB1 10C00015 +@3DB2 00BFFFC4 +@3DB3 E0BFFE15 +@3DB4 00000206 +@3DB5 E0BFF817 +@3DB6 E0BFFE15 +@3DB7 E0BFFE17 +@3DB8 E037883A +@3DB9 DFC00117 +@3DBA DF000017 +@3DBB DEC00204 +@3DBC F800283A +@3DBD DEFFFD04 +@3DBE DFC00215 +@3DBF DF000115 +@3DC0 DF000104 +@3DC1 00800074 +@3DC2 10889104 +@3DC3 10800017 +@3DC4 1005003A +@3DC5 1000061E +@3DC6 00800074 +@3DC7 10889104 +@3DC8 10800017 +@3DC9 103EE83A +@3DCA E0BFFF15 +@3DCB 00000306 +@3DCC 00800074 +@3DCD 108F8404 +@3DCE E0BFFF15 +@3DCF E0BFFF17 +@3DD0 E037883A +@3DD1 DFC00117 +@3DD2 DF000017 +@3DD3 DEC00204 +@3DD4 F800283A +@3DD5 DEFFFA04 +@3DD6 DF000515 +@3DD7 DF000504 +@3DD8 E13FFF15 +@3DD9 0005303A +@3DDA E0BFFD15 +@3DDB E0FFFD17 +@3DDC 00BFFF84 +@3DDD 1884703A +@3DDE 1001703A +@3DDF E0BFFD17 +@3DE0 E0BFFE15 +@3DE1 E0BFFF17 +@3DE2 E0BFFC15 +@3DE3 E0BFFC17 +@3DE4 10C00017 +@3DE5 E0BFFC17 +@3DE6 10800117 +@3DE7 18800115 +@3DE8 E0BFFC17 +@3DE9 10C00117 +@3DEA E0BFFC17 +@3DEB 10800017 +@3DEC 18800015 +@3DED E0FFFC17 +@3DEE E0BFFC17 +@3DEF 18800115 +@3DF0 E0FFFC17 +@3DF1 E0BFFC17 +@3DF2 18800015 +@3DF3 E0BFFE17 +@3DF4 E0BFFB15 +@3DF5 E0BFFB17 +@3DF6 1001703A +@3DF7 E037883A +@3DF8 DF000017 +@3DF9 DEC00104 +@3DFA F800283A +@3DFB DEFFFB04 +@3DFC DFC00415 +@3DFD DF000315 +@3DFE DF000304 +@3DFF D0A01117 +@3E00 E0BFFE15 +@3E01 D0A70617 +@3E02 10800044 +@3E03 D0A70615 +@3E04 00003106 +@3E05 E0BFFE17 +@3E06 10800017 +@3E07 E0BFFF15 +@3E08 E0BFFE17 +@3E09 10800403 +@3E0A 10803FCC +@3E0B 1005003A +@3E0C 1000051E +@3E0D D0A70617 +@3E0E 1004C03A +@3E0F 1000021E +@3E10 E0BFFE17 +@3E11 10000405 +@3E12 E0BFFE17 +@3E13 10C00217 +@3E14 D0A70617 +@3E15 10C01E36 +@3E16 E0BFFE17 +@3E17 10800403 +@3E18 10803FCC +@3E19 1004C03A +@3E1A 1000191E +@3E1B E0BFFE17 +@3E1C 10C00317 +@3E1D E0BFFE17 +@3E1E 11000517 +@3E1F 183EE83A +@3E20 E0BFFD15 +@3E21 E0BFFD17 +@3E22 1004C03A +@3E23 1000031E +@3E24 E13FFE17 +@3E25 000F7540 +@3E26 00000D06 +@3E27 E0BFFE17 +@3E28 10C00217 +@3E29 E0BFFD17 +@3E2A 1887883A +@3E2B E0BFFE17 +@3E2C 10C00215 +@3E2D E0BFFE17 +@3E2E 10C00217 +@3E2F D0A70617 +@3E30 1880032E +@3E31 E0FFFE17 +@3E32 00800044 +@3E33 18800405 +@3E34 E0BFFF17 +@3E35 E0BFFE15 +@3E36 D0E01104 +@3E37 E0BFFE17 +@3E38 10FFCC1E +@3E39 E037883A +@3E3A DFC00117 +@3E3B DF000017 +@3E3C DEC00204 +@3E3D F800283A +@3E3E DEFFFF04 +@3E3F DF000015 +@3E40 D839883A +@3E41 000170FA +@3E42 E037883A +@3E43 DF000017 +@3E44 DEC00104 +@3E45 F800283A +@3E46 DEFFF904 +@3E47 DFC00615 +@3E48 DF000515 +@3E49 DF000504 +@3E4A E13FFD15 +@3E4B E17FFE15 +@3E4C E0BFFE17 +@3E4D 10800017 +@3E4E E0BFFC15 +@3E4F E13FFD17 +@3E50 0002D480 +@3E51 10800044 +@3E52 E0BFFB15 +@3E53 00000D06 +@3E54 E0BFFC17 +@3E55 11000217 +@3E56 E1BFFB17 +@3E57 E17FFD17 +@3E58 000FBE80 +@3E59 1004C03A +@3E5A 1000031E +@3E5B E0BFFC17 +@3E5C E0BFFF15 +@3E5D 00000706 +@3E5E E0BFFC17 +@3E5F 10800017 +@3E60 E0BFFC15 +@3E61 E0FFFE17 +@3E62 E0BFFC17 +@3E63 10FFF01E +@3E64 E03FFF15 +@3E65 E0BFFF17 +@3E66 E037883A +@3E67 DFC00117 +@3E68 DF000017 +@3E69 DEC00204 +@3E6A F800283A +@3E6B DEFFFA04 +@3E6C DFC00515 +@3E6D DF000415 +@3E6E DF000404 +@3E6F E13FFE15 +@3E70 00800074 +@3E71 10888C04 +@3E72 10800017 +@3E73 E0BFFD15 +@3E74 00003306 +@3E75 E0BFFD17 +@3E76 11000217 +@3E77 0002D480 +@3E78 E0BFFC15 +@3E79 E0BFFD17 +@3E7A 10C00217 +@3E7B E0BFFC17 +@3E7C 1885883A +@3E7D 10BFFFC4 +@3E7E 10800003 +@3E7F 10803FCC +@3E80 1080201C +@3E81 10BFE004 +@3E82 10800BD8 +@3E83 1000031E +@3E84 E0BFFC17 +@3E85 10BFFFC4 +@3E86 E0BFFC15 +@3E87 E0BFFC17 +@3E88 1007883A +@3E89 E0BFFE17 +@3E8A 1885883A +@3E8B 10800003 +@3E8C 10803FCC +@3E8D 1080201C +@3E8E 10BFE004 +@3E8F 10800BE0 +@3E90 10000A1E +@3E91 E0BFFC17 +@3E92 1007883A +@3E93 E0BFFE17 +@3E94 1885883A +@3E95 10800003 +@3E96 10803FCC +@3E97 1080201C +@3E98 10BFE004 +@3E99 1004C03A +@3E9A 10000A1E +@3E9B E0BFFD17 +@3E9C 11000217 +@3E9D E1BFFC17 +@3E9E E17FFE17 +@3E9F 000FBE80 +@3EA0 1004C03A +@3EA1 1000031E +@3EA2 E0BFFD17 +@3EA3 E0BFFF15 +@3EA4 00000806 +@3EA5 E0BFFD17 +@3EA6 10800017 +@3EA7 E0BFFD15 +@3EA8 00C00074 +@3EA9 18C88C04 +@3EAA E0BFFD17 +@3EAB 10FFC91E +@3EAC E03FFF15 +@3EAD E0BFFF17 +@3EAE E037883A +@3EAF DFC00117 +@3EB0 DF000017 +@3EB1 DEC00204 +@3EB2 F800283A +@3EB3 DEFFFA04 +@3EB4 DFC00515 +@3EB5 DF000415 +@3EB6 DC000315 +@3EB7 DF000304 +@3EB8 E13FFF15 +@3EB9 00BFFA04 +@3EBA E0BFFD15 +@3EBB E03FFE15 +@3EBC 00002006 +@3EBD E13FFE17 +@3EBE 04000074 +@3EBF 8403C404 +@3EC0 01400304 +@3EC1 0002C3C0 +@3EC2 1405883A +@3EC3 10800017 +@3EC4 1004C03A +@3EC5 1000141E +@3EC6 E13FFE17 +@3EC7 04000074 +@3EC8 8403C404 +@3EC9 01400304 +@3ECA 0002C3C0 +@3ECB 1407883A +@3ECC E0BFFF17 +@3ECD 18800015 +@3ECE 00800074 +@3ECF 10889004 +@3ED0 10C00017 +@3ED1 E0BFFE17 +@3ED2 1880040E +@3ED3 00C00074 +@3ED4 18C89004 +@3ED5 E0BFFE17 +@3ED6 18800015 +@3ED7 E0BFFE17 +@3ED8 E0BFFD15 +@3ED9 00000606 +@3EDA E0BFFE17 +@3EDB 10800044 +@3EDC E0BFFE15 +@3EDD E0BFFE17 +@3EDE 10800810 +@3EDF 103FDD1E +@3EE0 E0BFFD17 +@3EE1 E037883A +@3EE2 DFC00217 +@3EE3 DF000117 +@3EE4 DC000017 +@3EE5 DEC00304 +@3EE6 F800283A +@3EE7 200B883A +@3EE8 000D883A +@3EE9 0009883A +@3EEA 000F883A +@3EEB 000FC5C1 +@3EEC DEFFFE04 +@3EED 000B883A +@3EEE DC000015 +@3EEF DFC00115 +@3EF0 2021883A +@3EF1 000FD940 +@3EF2 00800074 +@3EF3 10888704 +@3EF4 11000017 +@3EF5 20800F17 +@3EF6 10000126 +@3EF7 103EE83A +@3EF8 8009883A +@3EF9 000FF840 +@3EFA 00C000C4 +@3EFB 1980032E +@3EFC 2144B03A +@3EFD 10C4703A +@3EFE 10000F26 +@3EFF 31FFFFC4 +@3F00 3000061E +@3F01 00000A06 +@3F02 39FFFFC4 +@3F03 00BFFFC4 +@3F04 21000044 +@3F05 29400044 +@3F06 38800526 +@3F07 20C00003 +@3F08 28800003 +@3F09 18BFF826 +@3F0A 1885C83A +@3F0B F800283A +@3F0C 0005883A +@3F0D F800283A +@3F0E 180F883A +@3F0F 20C00017 +@3F10 28800017 +@3F11 18BFED1E +@3F12 31BFFF04 +@3F13 21000104 +@3F14 29400104 +@3F15 39BFF936 +@3F16 003FE806 +@3F17 DEFFFA04 +@3F18 00800074 +@3F19 10888704 +@3F1A DC000015 +@3F1B 14000017 +@3F1C DD000415 +@3F1D 2829883A +@3F1E 81405217 +@3F1F DCC00315 +@3F20 DC800215 +@3F21 DC400115 +@3F22 DFC00515 +@3F23 2023883A +@3F24 3027883A +@3F25 3825883A +@3F26 28002526 +@3F27 29000117 +@3F28 008007C4 +@3F29 11002716 +@3F2A 8800101E +@3F2B 2105883A +@3F2C 1085883A +@3F2D 20C00044 +@3F2E 1145883A +@3F2F 0009883A +@3F30 15000215 +@3F31 28C00115 +@3F32 2005883A +@3F33 DFC00517 +@3F34 DD000417 +@3F35 DCC00317 +@3F36 DC800217 +@3F37 DC400117 +@3F38 DC000017 +@3F39 DEC00604 +@3F3A F800283A +@3F3B 29802204 +@3F3C 00800044 +@3F3D 110E983A +@3F3E 30C04017 +@3F3F 2105883A +@3F40 1085883A +@3F41 1185883A +@3F42 19C6B03A +@3F43 14802015 +@3F44 14C00015 +@3F45 00800084 +@3F46 30C04015 +@3F47 88BFE31E +@3F48 30804117 +@3F49 11C4B03A +@3F4A 30804115 +@3F4B 003FDF06 +@3F4C 00800074 +@3F4D 10911904 +@3F4E 100B883A +@3F4F 80805215 +@3F50 003FD606 +@3F51 00800034 +@3F52 10800004 +@3F53 1000021E +@3F54 013FFFC4 +@3F55 003FDC06 +@3F56 01006404 +@3F57 103EE83A +@3F58 1007883A +@3F59 103FFA26 +@3F5A 80805217 +@3F5B 180B883A +@3F5C 18000115 +@3F5D 18800015 +@3F5E 80C05215 +@3F5F 18006215 +@3F60 18006315 +@3F61 0009883A +@3F62 883FC826 +@3F63 003FD706 +@3F64 F800283A +@3F65 00800074 +@3F66 10888704 +@3F67 10800017 +@3F68 DEFFF304 +@3F69 DF000B15 +@3F6A D8800115 +@3F6B 00800034 +@3F6C 10800004 +@3F6D 1005003A +@3F6E D8800215 +@3F6F D8800117 +@3F70 DD400815 +@3F71 DD000715 +@3F72 10805204 +@3F73 DFC00C15 +@3F74 DDC00A15 +@3F75 DD800915 +@3F76 DCC00615 +@3F77 DC800515 +@3F78 DC400415 +@3F79 DC000315 +@3F7A 282B883A +@3F7B 2039883A +@3F7C D8800015 +@3F7D 2829003A +@3F7E D8800117 +@3F7F 14405217 +@3F80 88001026 +@3F81 DDC00017 +@3F82 88800117 +@3F83 8C802204 +@3F84 143FFFC4 +@3F85 80000916 +@3F86 05BFFFC4 +@3F87 A000151E +@3F88 8409883A +@3F89 2105883A +@3F8A 1485883A +@3F8B 10C02017 +@3F8C A8C01126 +@3F8D 843FFFC4 +@3F8E 85BFF81E +@3F8F D8800217 +@3F90 10003126 +@3F91 DFC00C17 +@3F92 DF000B17 +@3F93 DDC00A17 +@3F94 DD800917 +@3F95 DD400817 +@3F96 DD000717 +@3F97 DCC00617 +@3F98 DC800517 +@3F99 DC400417 +@3F9A DC000317 +@3F9B DEC00D04 +@3F9C F800283A +@3F9D 8409883A +@3F9E 88C00117 +@3F9F 2105883A +@3FA0 1445883A +@3FA1 18FFFFC4 +@3FA2 11800217 +@3FA3 1C001526 +@3FA4 10000215 +@3FA5 303FE726 +@3FA6 00C00044 +@3FA7 1C06983A +@3FA8 90804017 +@3FA9 8CC00117 +@3FAA 1884703A +@3FAB 10001426 +@3FAC 90804117 +@3FAD 1884703A +@3FAE 10000C1E +@3FAF 2105883A +@3FB0 1485883A +@3FB1 11400017 +@3FB2 E009883A +@3FB3 303EE83A +@3FB4 88800117 +@3FB5 98BFC81E +@3FB6 B8800017 +@3FB7 147FD526 +@3FB8 003FC506 +@3FB9 8C000115 +@3FBA 003FEA06 +@3FBB 2105883A +@3FBC 1485883A +@3FBD 11000017 +@3FBE 303EE83A +@3FBF 003FF406 +@3FC0 303EE83A +@3FC1 003FF206 +@3FC2 88800117 +@3FC3 1000081E +@3FC4 89000017 +@3FC5 20000726 +@3FC6 B9000015 +@3FC7 8809883A +@3FC8 00000000 +@3FC9 BC400017 +@3FCA 883FB71E +@3FCB 003FC506 +@3FCC 89000017 +@3FCD 882F883A +@3FCE 2023883A +@3FCF 883FB21E +@3FD0 003FC006 +@3FD1 DEFFFD04 +@3FD2 DF000215 +@3FD3 DF000204 +@3FD4 E13FFF15 +@3FD5 E0BFFF17 +@3FD6 E0BFFE15 +@3FD7 E0BFFE17 +@3FD8 1005003A +@3FD9 1000021E +@3FDA 002AF070 +@3FDB 00000106 +@3FDC 002AF0B0 +@3FDD E037883A +@3FDE DF000017 +@3FDF DEC00104 +@3FE0 F800283A +@3FE1 DEFFFD04 +@3FE2 DFC00215 +@3FE3 DF000115 +@3FE4 DF000104 +@3FE5 E13FFF15 +@3FE6 E13FFF17 +@3FE7 000FF440 +@3FE8 003FFF06 +@3FE9 0000FD90 +@3FEA 4F494E3C +@3FEB 49492053 +@3FEC 206E6F20 +@3FED 3E345055 +@3FEE 00000000 +@3FEF 6563694E +@3FF0 206F7420 +@3FF1 20656553 +@3FF2 21756F59 +@3FF3 00000000 +@3FF4 72617453 +@3FF5 676E6974 +@3FF6 776F6E20 +@3FF7 002E2E2E +@3FF8 00006576 +@3FF9 00002D72 +@3FFA 33303030 +@3FFB 00000000 +@3FFC 00216B4F +@3FFD 78323025 +@3FFE 00000000 +@3FFF 00002D2D +@4000 64343025 +@4001 00000000 +@4002 30257830 +@4003 00007832 +@4004 72727543 +@4005 20746E65 +@4006 65676170 +@4007 6432253A +@4008 00000000 +@4009 6E616843 +@400A 70206567 +@400B 72676F72 +@400C 00006D61 +@400D 72776572 +@400E 20657469 +@400F 206C6C61 +@4010 00003F30 +@4011 68737570 +@4012 61676120 +@4013 2D206E69 +@4014 474E203E +@4015 00000000 +@4016 68737570 +@4017 61676120 +@4018 00006E69 +@4019 68737570 +@401A 61676120 +@401B 2D206E69 +@401C 4B4F203E +@401D 00000000 +@401E 65736572 +@401F 63702074 +@4020 0000003F +@4021 20202020 +@4022 00000000 +@4023 00002020 +@4024 64343025 +@4025 00000000 +@4026 303A4350 +@4027 32302578 +@4028 3E2D2078 +@4029 73342520 +@402A 00000000 +@402B 3A474552 +@402C 2C733325 +@402D 3A4D454D +@402E 31257830 +@402F 00000078 +@4030 3A4D454D +@4031 31257830 +@4032 00000078 +@4033 756C6176 +@4034 64253A65 +@4035 00000000 +@4036 20727265 +@4037 00000000 +@4038 2E6E7552 +@4039 6F6E2E2E +@403A 00000077 +@403B 78323025 +@403C 00000000 +@403D 00006370 +@403E 2E6E7552 +@403F 78452E2E +@4040 00007469 +@4041 20202000 +@4042 20202020 +@4043 28282020 +@4044 20282828 +@4045 20202020 +@4046 20202020 +@4047 20202020 +@4048 20202020 +@4049 10108820 +@404A 10101010 +@404B 10101010 +@404C 10101010 +@404D 04040410 +@404E 04040404 +@404F 10040404 +@4050 10101010 +@4051 41411010 +@4052 41414141 +@4053 01010101 +@4054 01010101 +@4055 01010101 +@4056 01010101 +@4057 01010101 +@4058 10101010 +@4059 42421010 +@405A 42424242 +@405B 02020202 +@405C 02020202 +@405D 02020202 +@405E 02020202 +@405F 02020202 +@4060 10101010 +@4061 00000020 +@4062 00000000 +@4063 00000000 +@4064 00000000 +@4065 00000000 +@4066 00000000 +@4067 00000000 +@4068 00000000 +@4069 00000000 +@406A 00000000 +@406B 00000000 +@406C 00000000 +@406D 00000000 +@406E 00000000 +@406F 00000000 +@4070 00000000 +@4071 00000000 +@4072 00000000 +@4073 00000000 +@4074 00000000 +@4075 00000000 +@4076 00000000 +@4077 00000000 +@4078 00000000 +@4079 00000000 +@407A 00000000 +@407B 00000000 +@407C 00000000 +@407D 00000000 +@407E 00000000 +@407F 00000000 +@4080 00000000 +@4081 00000000 +@4082 00000000 +@4083 00000000 +@4084 00000000 +@4085 00000000 +@4086 00000000 +@4087 00000000 +@4088 00000000 +@4089 00000000 +@408A 00000000 +@408B 00000000 +@408C 00000000 +@408D 00000000 +@408E 00000000 +@408F 00000000 +@4090 00000000 +@4091 00000000 +@4092 00000000 +@4093 00000000 +@4094 00000000 +@4095 00000000 +@4096 00000000 +@4097 00000000 +@4098 00000000 +@4099 00000000 +@409A 00000000 +@409B 00000000 +@409C 00000000 +@409D 00000000 +@409E 00000000 +@409F 00000000 +@40A0 00000000 +@40A1 20202000 +@40A2 20202020 +@40A3 28282020 +@40A4 20282828 +@40A5 20202020 +@40A6 20202020 +@40A7 20202020 +@40A8 20202020 +@40A9 10108820 +@40AA 10101010 +@40AB 10101010 +@40AC 10101010 +@40AD 04040410 +@40AE 04040404 +@40AF 10040404 +@40B0 10101010 +@40B1 41411010 +@40B2 41414141 +@40B3 01010101 +@40B4 01010101 +@40B5 01010101 +@40B6 01010101 +@40B7 01010101 +@40B8 10101010 +@40B9 42421010 +@40BA 42424242 +@40BB 02020202 +@40BC 02020202 +@40BD 02020202 +@40BE 02020202 +@40BF 02020202 +@40C0 10101010 +@40C1 00000020 +@40C2 00000000 +@40C3 00000000 +@40C4 00000000 +@40C5 00000000 +@40C6 00000000 +@40C7 00000000 +@40C8 00000000 +@40C9 00000000 +@40CA 00000000 +@40CB 00000000 +@40CC 00000000 +@40CD 00000000 +@40CE 00000000 +@40CF 00000000 +@40D0 00000000 +@40D1 00000000 +@40D2 00000000 +@40D3 00000000 +@40D4 00000000 +@40D5 00000000 +@40D6 00000000 +@40D7 00000000 +@40D8 00000000 +@40D9 00000000 +@40DA 00000000 +@40DB 00000000 +@40DC 00000000 +@40DD 00000000 +@40DE 00000000 +@40DF 00000000 +@40E0 00000000 +@40E1 00000000 +@40E2 33323130 +@40E3 37363534 +@40E4 62613938 +@40E5 66656463 +@40E6 00000000 +@40E7 00464E49 +@40E8 00666E69 +@40E9 004E414E +@40EA 006E616E +@40EB 6C756E28 +@40EC 0000296C +@40ED 33323130 +@40EE 37363534 +@40EF 42413938 +@40F0 46454443 +@40F1 00000000 +@40F2 20677562 +@40F3 76206E69 +@40F4 69727066 +@40F5 3A66746E +@40F6 64616220 +@40F7 73616220 +@40F8 00000065 +@40F9 30300030 +@40FA 30303030 +@40FB 30303030 +@40FC 30303030 +@40FD 20203030 +@40FE 20202020 +@40FF 20202020 +@4100 20202020 +@4101 00002020 +@4102 69666E49 +@4103 7974696E +@4104 00000000 +@4105 004E614E +@4106 00000043 +@4107 0000002E +@4108 2D4F5349 +@4109 39353838 +@410A 0000312D +@410B 0001041C +@410C 000103C4 +@410D 000103C4 +@410E 000103C4 +@410F 000103C4 +@4110 000103C4 +@4111 000103C4 +@4112 000103C4 +@4113 000103C4 +@4114 000103C4 +@4115 7F7F7F7F +@4116 7F7F7F7F +@4117 00000000 +@4118 3FF00000 +@4119 00000000 +@411A 40240000 +@411B 00000000 +@411C 40590000 +@411D 00000000 +@411E 408F4000 +@411F 00000000 +@4120 40C38800 +@4121 00000000 +@4122 40F86A00 +@4123 00000000 +@4124 412E8480 +@4125 00000000 +@4126 416312D0 +@4127 00000000 +@4128 4197D784 +@4129 00000000 +@412A 41CDCD65 +@412B 20000000 +@412C 4202A05F +@412D E8000000 +@412E 42374876 +@412F A2000000 +@4130 426D1A94 +@4131 E5400000 +@4132 42A2309C +@4133 1E900000 +@4134 42D6BCC4 +@4135 26340000 +@4136 430C6BF5 +@4137 37E08000 +@4138 4341C379 +@4139 85D8A000 +@413A 43763457 +@413B 674EC800 +@413C 43ABC16D +@413D 60913D00 +@413E 43E158E4 +@413F 78B58C40 +@4140 4415AF1D +@4141 D6E2EF50 +@4142 444B1AE4 +@4143 064DD592 +@4144 4480F0CF +@4145 C7E14AF6 +@4146 44B52D02 +@4147 79D99DB4 +@4148 44EA7843 +@4149 37E08000 +@414A 4341C379 +@414B B5056E17 +@414C 4693B8B5 +@414D E93FF9F5 +@414E 4D384F03 +@414F F9301D32 +@4150 5A827748 +@4151 7F73BF3C +@4152 75154FDD +@4153 97D889BC +@4154 3C9CD2B2 +@4155 D5A8A733 +@4156 3949F623 +@4157 44F4A73D +@4158 32A50FFD +@4159 CF8C979D +@415A 255BBA08 +@415B 64AC6F43 +@415C 0AC80628 +@415D 00000005 +@415E 00000019 +@415F 0000007D +@4160 00000000 +@4161 00000000 +@4162 00000000 +@4163 00000000 +@4164 00000000 +@4165 02020100 +@4166 03030303 +@4167 04040404 +@4168 04040404 +@4169 05050505 +@416A 05050505 +@416B 05050505 +@416C 05050505 +@416D 06060606 +@416E 06060606 +@416F 06060606 +@4170 06060606 +@4171 06060606 +@4172 06060606 +@4173 06060606 +@4174 06060606 +@4175 07070707 +@4176 07070707 +@4177 07070707 +@4178 07070707 +@4179 07070707 +@417A 07070707 +@417B 07070707 +@417C 07070707 +@417D 07070707 +@417E 07070707 +@417F 07070707 +@4180 07070707 +@4181 07070707 +@4182 07070707 +@4183 07070707 +@4184 07070707 +@4185 08080808 +@4186 08080808 +@4187 08080808 +@4188 08080808 +@4189 08080808 +@418A 08080808 +@418B 08080808 +@418C 08080808 +@418D 08080808 +@418E 08080808 +@418F 08080808 +@4190 08080808 +@4191 08080808 +@4192 08080808 +@4193 08080808 +@4194 08080808 +@4195 08080808 +@4196 08080808 +@4197 08080808 +@4198 08080808 +@4199 08080808 +@419A 08080808 +@419B 08080808 +@419C 08080808 +@419D 08080808 +@419E 08080808 +@419F 08080808 +@41A0 08080808 +@41A1 08080808 +@41A2 08080808 +@41A3 08080808 +@41A4 08080808 +@41A5 7665642F +@41A6 6C756E2F +@41A7 0000006C +@41A8 7665642F +@41A9 61746A2F +@41AA 61755F67 +@41AB 00007472 +@41AC 7665642F +@41AD 61746A2F +@41AE 61755F67 +@41AF 00007472 +@41B0 7665642F +@41B1 64636C2F +@41B2 3236315F +@41B3 305F3730 +@41B4 00000000 +@4897 00000000 +@4898 000109C0 +@4899 00010A1C +@489A 00010A78 +@489B 00000000 +@489C 00000000 +@489D 00000000 +@489E 00000000 +@489F 00000000 +@48A0 00000000 +@48A1 00000000 +@48A2 00000000 +@48A3 00000000 +@48A4 00010418 +@48A5 00000000 +@48A6 00000000 +@48A7 00000000 +@48A8 00000000 +@48A9 00000000 +@48AA 00000000 +@48AB 00000000 +@48AC 00000000 +@48AD 00000000 +@48AE 00000000 +@48AF 00000000 +@48B0 00000000 +@48B1 00000000 +@48B2 00000000 +@48B3 00000000 +@48B4 00000000 +@48B5 00000000 +@48B6 00000000 +@48B7 00000000 +@48B8 00000000 +@48B9 00000000 +@48BA 00000000 +@48BB 00000000 +@48BC 00000000 +@48BD 00000000 +@48BE 00000000 +@48BF 00000000 +@48C0 00000001 +@48C1 00000000 +@48C2 ABCD330E +@48C3 E66D1234 +@48C4 0005DEEC +@48C5 0000000B +@48C6 00000000 +@48C7 00000000 +@48C8 00000000 +@48C9 00000000 +@48CA 00000000 +@48CB 00000000 +@48CC 00000000 +@48CD 00000000 +@48CE 00000000 +@48CF 00000000 +@48D0 00000000 +@48D1 00000000 +@48D2 00000000 +@48D3 00000000 +@48D4 00000000 +@48D5 00000000 +@48D6 00000000 +@48D7 00000000 +@48D8 00000000 +@48D9 00000000 +@48DA 00000000 +@48DB 00000000 +@48DC 00000000 +@48DD 00000000 +@48DE 00000000 +@48DF 00000000 +@48E0 00000000 +@48E1 00000000 +@48E2 00000000 +@48E3 00000000 +@48E4 00000000 +@48E5 00000000 +@48E6 00000000 +@48E7 00000000 +@48E8 00000000 +@48E9 00000000 +@48EA 00000000 +@48EB 00000000 +@48EC 00000000 +@48ED 00000000 +@48EE 00000000 +@48EF 00000000 +@48F0 00000000 +@48F1 00000000 +@48F2 00000000 +@48F3 00000000 +@48F4 00000000 +@48F5 00000000 +@48F6 00000000 +@48F7 00000000 +@48F8 00000000 +@48F9 00000000 +@48FA 00000000 +@48FB 00000000 +@48FC 00000000 +@48FD 00000000 +@48FE 00000000 +@48FF 00000000 +@4900 00000000 +@4901 00000000 +@4902 00000000 +@4903 00000000 +@4904 00000000 +@4905 00000000 +@4906 00000000 +@4907 00000000 +@4908 00000000 +@4909 00000000 +@490A 00000000 +@490B 00000000 +@490C 00000000 +@490D 00000000 +@490E 00000000 +@490F 00000000 +@4910 00000000 +@4911 00000000 +@4912 00000000 +@4913 00000000 +@4914 00000000 +@4915 00000000 +@4916 00000000 +@4917 00000000 +@4918 00000000 +@4919 00000000 +@491A 00000000 +@491B 00000000 +@491C 00000000 +@491D 00000000 +@491E 00000000 +@491F 00000000 +@4920 00000000 +@4921 00000000 +@4922 00000000 +@4923 00000000 +@4924 00000000 +@4925 00000000 +@4926 00000000 +@4927 00000000 +@4928 00000000 +@4929 00000000 +@492A 00000000 +@492B 00000000 +@492C 00000000 +@492D 00000000 +@492E 00000000 +@492F 00000000 +@4930 00000000 +@4931 00000000 +@4932 00000000 +@4933 00000000 +@4934 00000000 +@4935 00000000 +@4936 00000000 +@4937 00000000 +@4938 00000000 +@4939 00000000 +@493A 00000000 +@493B 00000000 +@493C 00000000 +@493D 00000000 +@493E 00000000 +@493F 00000000 +@4940 00000000 +@4941 00000000 +@4942 00000000 +@4943 00000000 +@4944 00000000 +@4945 00000000 +@4946 00000000 +@4947 00000000 +@4948 00000000 +@4949 00000000 +@494A 00000000 +@494B 00000000 +@494C 00000000 +@494D 00000000 +@494E 00000000 +@494F 00000000 +@4950 00000000 +@4951 00000000 +@4952 00000000 +@4953 00000000 +@4954 00000000 +@4955 00000000 +@4956 00000000 +@4957 00000000 +@4958 00000000 +@4959 00000000 +@495A 00000000 +@495B 00000000 +@495C 00000000 +@495D 00000000 +@495E 00000000 +@495F 00000000 +@4960 00000000 +@4961 00000000 +@4962 00000000 +@4963 00000000 +@4964 00000000 +@4965 00000000 +@4966 00000000 +@4967 00000000 +@4968 00000000 +@4969 00000000 +@496A 00000000 +@496B 00000000 +@496C 00000000 +@496D 00000000 +@496E 00000000 +@496F 00000000 +@4970 00000000 +@4971 00000000 +@4972 00000000 +@4973 00000000 +@4974 00000000 +@4975 00000000 +@4976 00000000 +@4977 00000000 +@4978 00000000 +@4979 00000000 +@497A 00000000 +@497B 00000000 +@497C 00000000 +@497D 00000000 +@497E 00000000 +@497F 00000000 +@4980 00000000 +@4981 00000000 +@4982 00000000 +@4983 00000000 +@4984 00000000 +@4985 00000000 +@4986 00000000 +@4987 00000000 +@4988 00000000 +@4989 00000000 +@498A 00000000 +@498B 00000000 +@498C 00000000 +@498D 00000000 +@498E 00000000 +@498F 00000000 +@4990 00000000 +@4991 00000000 +@4992 00000000 +@4993 00000000 +@4994 00000000 +@4995 00000000 +@4996 00000000 +@4997 00000043 +@4998 00000000 +@4999 00000000 +@499A 00000000 +@499B 00000000 +@499C 00010AE0 +@499D 00010AE0 +@499E 00010AE8 +@499F 00010AE8 +@49A0 00010AF0 +@49A1 00010AF0 +@49A2 00010AF8 +@49A3 00010AF8 +@49A4 00010B00 +@49A5 00010B00 +@49A6 00010B08 +@49A7 00010B08 +@49A8 00010B10 +@49A9 00010B10 +@49AA 00010B18 +@49AB 00010B18 +@49AC 00010B20 +@49AD 00010B20 +@49AE 00010B28 +@49AF 00010B28 +@49B0 00010B30 +@49B1 00010B30 +@49B2 00010B38 +@49B3 00010B38 +@49B4 00010B40 +@49B5 00010B40 +@49B6 00010B48 +@49B7 00010B48 +@49B8 00010B50 +@49B9 00010B50 +@49BA 00010B58 +@49BB 00010B58 +@49BC 00010B60 +@49BD 00010B60 +@49BE 00010B68 +@49BF 00010B68 +@49C0 00010B70 +@49C1 00010B70 +@49C2 00010B78 +@49C3 00010B78 +@49C4 00010B80 +@49C5 00010B80 +@49C6 00010B88 +@49C7 00010B88 +@49C8 00010B90 +@49C9 00010B90 +@49CA 00010B98 +@49CB 00010B98 +@49CC 00010BA0 +@49CD 00010BA0 +@49CE 00010BA8 +@49CF 00010BA8 +@49D0 00010BB0 +@49D1 00010BB0 +@49D2 00010BB8 +@49D3 00010BB8 +@49D4 00010BC0 +@49D5 00010BC0 +@49D6 00010BC8 +@49D7 00010BC8 +@49D8 00010BD0 +@49D9 00010BD0 +@49DA 00010BD8 +@49DB 00010BD8 +@49DC 00010BE0 +@49DD 00010BE0 +@49DE 00010BE8 +@49DF 00010BE8 +@49E0 00010BF0 +@49E1 00010BF0 +@49E2 00010BF8 +@49E3 00010BF8 +@49E4 00010C00 +@49E5 00010C00 +@49E6 00010C08 +@49E7 00010C08 +@49E8 00010C10 +@49E9 00010C10 +@49EA 00010C18 +@49EB 00010C18 +@49EC 00010C20 +@49ED 00010C20 +@49EE 00010C28 +@49EF 00010C28 +@49F0 00010C30 +@49F1 00010C30 +@49F2 00010C38 +@49F3 00010C38 +@49F4 00010C40 +@49F5 00010C40 +@49F6 00010C48 +@49F7 00010C48 +@49F8 00010C50 +@49F9 00010C50 +@49FA 00010C58 +@49FB 00010C58 +@49FC 00010C60 +@49FD 00010C60 +@49FE 00010C68 +@49FF 00010C68 +@4A00 00010C70 +@4A01 00010C70 +@4A02 00010C78 +@4A03 00010C78 +@4A04 00010C80 +@4A05 00010C80 +@4A06 00010C88 +@4A07 00010C88 +@4A08 00010C90 +@4A09 00010C90 +@4A0A 00010C98 +@4A0B 00010C98 +@4A0C 00010CA0 +@4A0D 00010CA0 +@4A0E 00010CA8 +@4A0F 00010CA8 +@4A10 00010CB0 +@4A11 00010CB0 +@4A12 00010CB8 +@4A13 00010CB8 +@4A14 00010CC0 +@4A15 00010CC0 +@4A16 00010CC8 +@4A17 00010CC8 +@4A18 00010CD0 +@4A19 00010CD0 +@4A1A 00010CD8 +@4A1B 00010CD8 +@4A1C 00010CE0 +@4A1D 00010CE0 +@4A1E 00010CE8 +@4A1F 00010CE8 +@4A20 00010CF0 +@4A21 00010CF0 +@4A22 00010CF8 +@4A23 00010CF8 +@4A24 00010D00 +@4A25 00010D00 +@4A26 00010D08 +@4A27 00010D08 +@4A28 00010D10 +@4A29 00010D10 +@4A2A 00010D18 +@4A2B 00010D18 +@4A2C 00010D20 +@4A2D 00010D20 +@4A2E 00010D28 +@4A2F 00010D28 +@4A30 00010D30 +@4A31 00010D30 +@4A32 00010D38 +@4A33 00010D38 +@4A34 00010D40 +@4A35 00010D40 +@4A36 00010D48 +@4A37 00010D48 +@4A38 00010D50 +@4A39 00010D50 +@4A3A 00010D58 +@4A3B 00010D58 +@4A3C 00010D60 +@4A3D 00010D60 +@4A3E 00010D68 +@4A3F 00010D68 +@4A40 00010D70 +@4A41 00010D70 +@4A42 00010D78 +@4A43 00010D78 +@4A44 00010D80 +@4A45 00010D80 +@4A46 00010D88 +@4A47 00010D88 +@4A48 00010D90 +@4A49 00010D90 +@4A4A 00010D98 +@4A4B 00010D98 +@4A4C 00010DA0 +@4A4D 00010DA0 +@4A4E 00010DA8 +@4A4F 00010DA8 +@4A50 00010DB0 +@4A51 00010DB0 +@4A52 00010DB8 +@4A53 00010DB8 +@4A54 00010DC0 +@4A55 00010DC0 +@4A56 00010DC8 +@4A57 00010DC8 +@4A58 00010DD0 +@4A59 00010DD0 +@4A5A 00010DD8 +@4A5B 00010DD8 +@4A5C 00010DE0 +@4A5D 00010DE0 +@4A5E 00010DE8 +@4A5F 00010DE8 +@4A60 00010DF0 +@4A61 00010DF0 +@4A62 00010DF8 +@4A63 00010DF8 +@4A64 00010E00 +@4A65 00010E00 +@4A66 00010E08 +@4A67 00010E08 +@4A68 00010E10 +@4A69 00010E10 +@4A6A 00010E18 +@4A6B 00010E18 +@4A6C 00010E20 +@4A6D 00010E20 +@4A6E 00010E28 +@4A6F 00010E28 +@4A70 00010E30 +@4A71 00010E30 +@4A72 00010E38 +@4A73 00010E38 +@4A74 00010E40 +@4A75 00010E40 +@4A76 00010E48 +@4A77 00010E48 +@4A78 00010E50 +@4A79 00010E50 +@4A7A 00010E58 +@4A7B 00010E58 +@4A7C 00010E60 +@4A7D 00010E60 +@4A7E 00010E68 +@4A7F 00010E68 +@4A80 00010E70 +@4A81 00010E70 +@4A82 00010E78 +@4A83 00010E78 +@4A84 00010E80 +@4A85 00010E80 +@4A86 00010E88 +@4A87 00010E88 +@4A88 00010E90 +@4A89 00010E90 +@4A8A 00010E98 +@4A8B 00010E98 +@4A8C 00010EA0 +@4A8D 00010EA0 +@4A8E 00010EA8 +@4A8F 00010EA8 +@4A90 00010EB0 +@4A91 00010EB0 +@4A92 00010EB8 +@4A93 00010EB8 +@4A94 00010EC0 +@4A95 00010EC0 +@4A96 00010EC8 +@4A97 00010EC8 +@4A98 00010ED0 +@4A99 00010ED0 +@4A9A 00010ED8 +@4A9B 00010ED8 +@4A9C 00012238 +@4A9D 00012238 +@4A9E 00010694 +@4A9F 00000000 +@4AA0 00000000 +@4AA1 00000000 +@4AA2 0000C664 +@4AA3 00000000 +@4AA4 00000000 +@4AA5 00000000 +@4AA6 00010EE8 +@4AA7 00000000 +@4AA8 00000000 +@4AA9 00010EE8 +@4AAA 00000000 +@4AAB 00000000 +@4AAC 00010EE8 +@4AAD 00000000 +@4AAE 00000000 +@4AAF 00000000 +@4AB0 00000000 +@4AB1 00000000 +@4AB2 00000000 +@4AB3 00000000 +@4AB4 00000000 +@4AB5 00000000 +@4AB6 00000000 +@4AB7 00000000 +@4AB8 00000000 +@4AB9 00000000 +@4ABA 00000000 +@4ABB 00000000 +@4ABC 00000000 +@4ABD 00000000 +@4ABE 00000000 +@4ABF 00000000 +@4AC0 00000000 +@4AC1 00000000 +@4AC2 00000000 +@4AC3 00000000 +@4AC4 00000000 +@4AC5 00000000 +@4AC6 00000000 +@4AC7 00000000 +@4AC8 00000000 +@4AC9 00000000 +@4ACA 00000000 +@4ACB 00000000 +@4ACC 00000000 +@4ACD 00000000 +@4ACE 00000000 +@4ACF 00000000 +@4AD0 00000000 +@4AD1 00000000 +@4AD2 00000000 +@4AD3 00000000 +@4AD4 00000000 +@4AD5 00000000 +@4AD6 00000000 +@4AD7 00000000 +@4AD8 00000000 +@4AD9 00000000 +@4ADA 00000000 +@4ADB 00000000 +@4ADC 00000000 +@4ADD 00000000 +@4ADE 00000000 +@4ADF 00000000 +@4AE0 00000000 +@4AE1 00000000 +@4AE2 00000000 +@4AE3 00000000 +@4AE4 00000000 +@4AE5 00000000 +@4AE6 00000000 +@4AE7 00000000 +@4AE8 00000000 +@4AE9 00000000 +@4AEA 00000000 +@4AEB 00000000 +@4AEC 00000000 +@4AED 00000000 +@4AEE 00000000 +@4AEF 00000000 +@4AF0 00000000 +@4AF1 00000000 +@4AF2 00000000 +@4AF3 00000000 +@4AF4 00000000 +@4AF5 00000000 +@4AF6 00000000 +@4AF7 00000000 +@4AF8 00000000 +@4AF9 00000000 +@4AFA 00000000 +@4AFB 00000000 +@4AFC 00000000 +@4AFD 00000000 +@4AFE 00000000 +@4AFF 00000000 +@4B00 00000000 +@4B01 00000000 +@4B02 00000000 +@4B03 00000000 +@4B04 00000000 +@4B05 00000000 +@4B06 00000000 +@4B07 00000000 +@4B08 000106B0 +@4B09 00000000 +@4B0A 0000D1BC +@4B0B 0000D10C +@4B0C 0000D164 +@4B0D 00000000 +@4B0E 00000000 +@4B0F 0000D204 +@4B10 00041100 +@4B11 0000000A +@4B12 00000000 +@4B13 00000000 +@4B14 00000000 +@4B15 00000000 +@4B16 00000000 +@4B17 00000000 +@4B18 00000000 +@4B19 00000000 +@4B1A 00000000 +@4B1B 00000000 +@4B1C 00000000 +@4B1D 00000000 +@4B1E 00000000 +@4B1F 00000000 +@4B20 00000000 +@4B21 00000000 +@4B22 00000000 +@4B23 00000000 +@4B24 00000000 +@4B25 00000000 +@4B26 00000000 +@4B27 00000000 +@4B28 00000000 +@4B29 00000000 +@4B2A 00000000 +@4B2B 00000000 +@4B2C 00000000 +@4B2D 00000000 +@4B2E 00000000 +@4B2F 00000000 +@4B30 00000000 +@4B31 00000000 +@4B32 00000000 +@4B33 00000000 +@4B34 00000000 +@4B35 00000000 +@4B36 00000000 +@4B37 00000000 +@4B38 00000000 +@4B39 00000000 +@4B3A 00000000 +@4B3B 00000000 +@4B3C 00000000 +@4B3D 00000000 +@4B3E 00000000 +@4B3F 00000000 +@4B40 00000000 +@4B41 00000000 +@4B42 00000000 +@4B43 00000000 +@4B44 00000000 +@4B45 00000000 +@4B46 00000000 +@4B47 00000000 +@4B48 00000000 +@4B49 00000000 +@4B4A 00000000 +@4B4B 00000000 +@4B4C 00000000 +@4B4D 00000000 +@4B4E 00000000 +@4B4F 00000000 +@4B50 00000000 +@4B51 00000000 +@4B52 00000000 +@4B53 00000000 +@4B54 00000000 +@4B55 00000000 +@4B56 00000000 +@4B57 00000000 +@4B58 00000000 +@4B59 00000000 +@4B5A 00000000 +@4B5B 00000000 +@4B5C 00000000 +@4B5D 00000000 +@4B5E 00000000 +@4B5F 00000000 +@4B60 00000000 +@4B61 00000000 +@4B62 00000000 +@4B63 00000000 +@4B64 00000000 +@4B65 00000000 +@4B66 00000000 +@4B67 00000000 +@4B68 00000000 +@4B69 00000000 +@4B6A 00000000 +@4B6B 00000000 +@4B6C 00000000 +@4B6D 00000000 +@4B6E 00000000 +@4B6F 00000000 +@4B70 00000000 +@4B71 00000000 +@4B72 00000000 +@4B73 00000000 +@4B74 00000000 +@4B75 00000000 +@4B76 00000000 +@4B77 00000000 +@4B78 00000000 +@4B79 00000000 +@4B7A 00000000 +@4B7B 00000000 +@4B7C 00000000 +@4B7D 00000000 +@4B7E 00000000 +@4B7F 00000000 +@4B80 00000000 +@4B81 00000000 +@4B82 00000000 +@4B83 00000000 +@4B84 00000000 +@4B85 00000000 +@4B86 00000000 +@4B87 00000000 +@4B88 00000000 +@4B89 00000000 +@4B8A 00000000 +@4B8B 00000000 +@4B8C 00000000 +@4B8D 00000000 +@4B8E 00000000 +@4B8F 00000000 +@4B90 00000000 +@4B91 00000000 +@4B92 00000000 +@4B93 00000000 +@4B94 00000000 +@4B95 00000000 +@4B96 00000000 +@4B97 00000000 +@4B98 00000000 +@4B99 00000000 +@4B9A 00000000 +@4B9B 00000000 +@4B9C 00000000 +@4B9D 00000000 +@4B9E 00000000 +@4B9F 00000000 +@4BA0 00000000 +@4BA1 00000000 +@4BA2 00000000 +@4BA3 00000000 +@4BA4 00000000 +@4BA5 00000000 +@4BA6 00000000 +@4BA7 00000000 +@4BA8 00000000 +@4BA9 00000000 +@4BAA 00000000 +@4BAB 00000000 +@4BAC 00000000 +@4BAD 00000000 +@4BAE 00000000 +@4BAF 00000000 +@4BB0 00000000 +@4BB1 00000000 +@4BB2 00000000 +@4BB3 00000000 +@4BB4 00000000 +@4BB5 00000000 +@4BB6 00000000 +@4BB7 00000000 +@4BB8 00000000 +@4BB9 00000000 +@4BBA 00000000 +@4BBB 00000000 +@4BBC 00000000 +@4BBD 00000000 +@4BBE 00000000 +@4BBF 00000000 +@4BC0 00000000 +@4BC1 00000000 +@4BC2 00000000 +@4BC3 00000000 +@4BC4 00000000 +@4BC5 00000000 +@4BC6 00000000 +@4BC7 00000000 +@4BC8 00000000 +@4BC9 00000000 +@4BCA 00000000 +@4BCB 00000000 +@4BCC 00000000 +@4BCD 00000000 +@4BCE 00000000 +@4BCF 00000000 +@4BD0 00000000 +@4BD1 00000000 +@4BD2 00000000 +@4BD3 00000000 +@4BD4 00000000 +@4BD5 00000000 +@4BD6 00000000 +@4BD7 00000000 +@4BD8 00000000 +@4BD9 00000000 +@4BDA 00000000 +@4BDB 00000000 +@4BDC 00000000 +@4BDD 00000000 +@4BDE 00000000 +@4BDF 00000000 +@4BE0 00000000 +@4BE1 00000000 +@4BE2 00000000 +@4BE3 00000000 +@4BE4 00000000 +@4BE5 00000000 +@4BE6 00000000 +@4BE7 00000000 +@4BE8 00000000 +@4BE9 00000000 +@4BEA 00000000 +@4BEB 00000000 +@4BEC 00000000 +@4BED 00000000 +@4BEE 00000000 +@4BEF 00000000 +@4BF0 00000000 +@4BF1 00000000 +@4BF2 00000000 +@4BF3 00000000 +@4BF4 00000000 +@4BF5 00000000 +@4BF6 00000000 +@4BF7 00000000 +@4BF8 00000000 +@4BF9 00000000 +@4BFA 00000000 +@4BFB 00000000 +@4BFC 00000000 +@4BFD 00000000 +@4BFE 00000000 +@4BFF 00000000 +@4C00 00000000 +@4C01 00000000 +@4C02 00000000 +@4C03 00000000 +@4C04 00000000 +@4C05 00000000 +@4C06 00000000 +@4C07 00000000 +@4C08 00000000 +@4C09 00000000 +@4C0A 00000000 +@4C0B 00000000 +@4C0C 00000000 +@4C0D 00000000 +@4C0E 00000000 +@4C0F 00000000 +@4C10 00000000 +@4C11 00000000 +@4C12 00000000 +@4C13 00000000 +@4C14 00000000 +@4C15 00000000 +@4C16 00000000 +@4C17 00000000 +@4C18 00000000 +@4C19 00000000 +@4C1A 00000000 +@4C1B 00000000 +@4C1C 00000000 +@4C1D 00000000 +@4C1E 00000000 +@4C1F 00000000 +@4C20 00000000 +@4C21 00000000 +@4C22 00000000 +@4C23 00000000 +@4C24 00000000 +@4C25 00000000 +@4C26 00000000 +@4C27 00000000 +@4C28 00000000 +@4C29 00000000 +@4C2A 00000000 +@4C2B 00000000 +@4C2C 00000000 +@4C2D 00000000 +@4C2E 00000000 +@4C2F 00000000 +@4C30 00000000 +@4C31 00000000 +@4C32 00000000 +@4C33 00000000 +@4C34 00000000 +@4C35 00000000 +@4C36 00000000 +@4C37 00000000 +@4C38 00000000 +@4C39 00000000 +@4C3A 00000000 +@4C3B 00000000 +@4C3C 00000000 +@4C3D 00000000 +@4C3E 00000000 +@4C3F 00000000 +@4C40 00000000 +@4C41 00000000 +@4C42 00000000 +@4C43 00000000 +@4C44 00000000 +@4C45 00000000 +@4C46 00000000 +@4C47 00000000 +@4C48 00000000 +@4C49 00000000 +@4C4A 00000000 +@4C4B 00000000 +@4C4C 00000000 +@4C4D 00000000 +@4C4E 00000000 +@4C4F 00000000 +@4C50 00000000 +@4C51 00000000 +@4C52 00000000 +@4C53 00000000 +@4C54 00000000 +@4C55 00000000 +@4C56 00000000 +@4C57 00000000 +@4C58 00000000 +@4C59 00000000 +@4C5A 00000000 +@4C5B 00000000 +@4C5C 00000000 +@4C5D 00000000 +@4C5E 00000000 +@4C5F 00000000 +@4C60 00000000 +@4C61 00000000 +@4C62 00000000 +@4C63 00000000 +@4C64 00000000 +@4C65 00000000 +@4C66 00000000 +@4C67 00000000 +@4C68 00000000 +@4C69 00000000 +@4C6A 00000000 +@4C6B 00000000 +@4C6C 00000000 +@4C6D 00000000 +@4C6E 00000000 +@4C6F 00000000 +@4C70 00000000 +@4C71 00000000 +@4C72 00000000 +@4C73 00000000 +@4C74 00000000 +@4C75 00000000 +@4C76 00000000 +@4C77 00000000 +@4C78 00000000 +@4C79 00000000 +@4C7A 00000000 +@4C7B 00000000 +@4C7C 00000000 +@4C7D 00000000 +@4C7E 00000000 +@4C7F 00000000 +@4C80 00000000 +@4C81 00000000 +@4C82 00000000 +@4C83 00000000 +@4C84 00000000 +@4C85 00000000 +@4C86 00000000 +@4C87 00000000 +@4C88 00000000 +@4C89 00000000 +@4C8A 00000000 +@4C8B 00000000 +@4C8C 00000000 +@4C8D 00000000 +@4C8E 00000000 +@4C8F 00000000 +@4C90 00000000 +@4C91 00000000 +@4C92 00000000 +@4C93 00000000 +@4C94 00000000 +@4C95 00000000 +@4C96 00000000 +@4C97 00000000 +@4C98 00000000 +@4C99 00000000 +@4C9A 00000000 +@4C9B 00000000 +@4C9C 00000000 +@4C9D 00000000 +@4C9E 00000000 +@4C9F 00000000 +@4CA0 00000000 +@4CA1 00000000 +@4CA2 00000000 +@4CA3 00000000 +@4CA4 00000000 +@4CA5 00000000 +@4CA6 00000000 +@4CA7 00000000 +@4CA8 00000000 +@4CA9 00000000 +@4CAA 00000000 +@4CAB 00000000 +@4CAC 00000000 +@4CAD 00000000 +@4CAE 00000000 +@4CAF 00000000 +@4CB0 00000000 +@4CB1 00000000 +@4CB2 00000000 +@4CB3 00000000 +@4CB4 00000000 +@4CB5 00000000 +@4CB6 00000000 +@4CB7 00000000 +@4CB8 00000000 +@4CB9 00000000 +@4CBA 00000000 +@4CBB 00000000 +@4CBC 00000000 +@4CBD 00000000 +@4CBE 00000000 +@4CBF 00000000 +@4CC0 00000000 +@4CC1 00000000 +@4CC2 00000000 +@4CC3 00000000 +@4CC4 00000000 +@4CC5 00000000 +@4CC6 00000000 +@4CC7 00000000 +@4CC8 00000000 +@4CC9 00000000 +@4CCA 00000000 +@4CCB 00000000 +@4CCC 00000000 +@4CCD 00000000 +@4CCE 00000000 +@4CCF 00000000 +@4CD0 00000000 +@4CD1 00000000 +@4CD2 00000000 +@4CD3 00000000 +@4CD4 00000000 +@4CD5 00000000 +@4CD6 00000000 +@4CD7 00000000 +@4CD8 00000000 +@4CD9 00000000 +@4CDA 00000000 +@4CDB 00000000 +@4CDC 00000000 +@4CDD 00000000 +@4CDE 00000000 +@4CDF 00000000 +@4CE0 00000000 +@4CE1 00000000 +@4CE2 00000000 +@4CE3 00000000 +@4CE4 00000000 +@4CE5 00000000 +@4CE6 00000000 +@4CE7 00000000 +@4CE8 00000000 +@4CE9 00000000 +@4CEA 00000000 +@4CEB 00000000 +@4CEC 00000000 +@4CED 00000000 +@4CEE 00000000 +@4CEF 00000000 +@4CF0 00000000 +@4CF1 00000000 +@4CF2 00000000 +@4CF3 00000000 +@4CF4 00000000 +@4CF5 00000000 +@4CF6 00000000 +@4CF7 00000000 +@4CF8 00000000 +@4CF9 00000000 +@4CFA 00000000 +@4CFB 00000000 +@4CFC 00000000 +@4CFD 00000000 +@4CFE 00000000 +@4CFF 00000000 +@4D00 00000000 +@4D01 00000000 +@4D02 00000000 +@4D03 00000000 +@4D04 00000000 +@4D05 00000000 +@4D06 00000000 +@4D07 00000000 +@4D08 00000000 +@4D09 00000000 +@4D0A 00000000 +@4D0B 00000000 +@4D0C 00000000 +@4D0D 00000000 +@4D0E 00000000 +@4D0F 00000000 +@4D10 00000000 +@4D11 00000000 +@4D12 00000000 +@4D13 00000000 +@4D14 00000000 +@4D15 00000000 +@4D16 00000000 +@4D17 00000000 +@4D18 00000000 +@4D19 00000000 +@4D1A 00000000 +@4D1B 00000000 +@4D1C 00000000 +@4D1D 00000000 +@4D1E 00000000 +@4D1F 00000000 +@4D20 00000000 +@4D21 00000000 +@4D22 00000000 +@4D23 00000000 +@4D24 00000000 +@4D25 00000000 +@4D26 00000000 +@4D27 00000000 +@4D28 00000000 +@4D29 00000000 +@4D2A 00000000 +@4D2B 00000000 +@4D2C 00000000 +@4D2D 00000000 +@4D2E 00000000 +@4D2F 00000000 +@4D30 00000000 +@4D31 00000000 +@4D32 00000000 +@4D33 00000000 +@4D34 00000000 +@4D35 00000000 +@4D36 00000000 +@4D37 00000000 +@4D38 00000000 +@4D39 00000000 +@4D3A 00000000 +@4D3B 00000000 +@4D3C 00000000 +@4D3D 00000000 +@4D3E 00000000 +@4D3F 00000000 +@4D40 00000000 +@4D41 00000000 +@4D42 00000000 +@4D43 00000000 +@4D44 00000000 +@4D45 00000000 +@4D46 00000000 +@4D47 00000000 +@4D48 00000000 +@4D49 00000000 +@4D4A 00000000 +@4D4B 00000000 +@4D4C 00000000 +@4D4D 00000000 +@4D4E 00000000 +@4D4F 00000000 +@4D50 00000000 +@4D51 00000000 +@4D52 00000000 +@4D53 00000000 +@4D54 00000000 +@4D55 00000000 +@4D56 00000000 +@4D57 00000000 +@4D58 00000000 +@4D59 00000000 +@4D5A 00000000 +@4D5B 00000000 +@4D5C 00000000 +@4D5D 00000000 +@4D5E 00000000 +@4D5F 00000000 +@4D60 00000000 +@4D61 00000000 +@4D62 00000000 +@4D63 00000000 +@4D64 00000000 +@4D65 00000000 +@4D66 00000000 +@4D67 00000000 +@4D68 00000000 +@4D69 00000000 +@4D6A 00000000 +@4D6B 00000000 +@4D6C 00000000 +@4D6D 00000000 +@4D6E 00000000 +@4D6F 00000000 +@4D70 00000000 +@4D71 00000000 +@4D72 00000000 +@4D73 00000000 +@4D74 00000000 +@4D75 00000000 +@4D76 00000000 +@4D77 00000000 +@4D78 00000000 +@4D79 00000000 +@4D7A 00000000 +@4D7B 00000000 +@4D7C 00000000 +@4D7D 00000000 +@4D7E 00000000 +@4D7F 00000000 +@4D80 00000000 +@4D81 00000000 +@4D82 00000000 +@4D83 00000000 +@4D84 00000000 +@4D85 00000000 +@4D86 00000000 +@4D87 00000000 +@4D88 00000000 +@4D89 00000000 +@4D8A 00000000 +@4D8B 00000000 +@4D8C 00000000 +@4D8D 00000000 +@4D8E 00000000 +@4D8F 00000000 +@4D90 00000000 +@4D91 00000000 +@4D92 00000000 +@4D93 00000000 +@4D94 00000000 +@4D95 00000000 +@4D96 00000000 +@4D97 00000000 +@4D98 00000000 +@4D99 00000000 +@4D9A 00000000 +@4D9B 00000000 +@4D9C 00000000 +@4D9D 00000000 +@4D9E 00000000 +@4D9F 00000000 +@4DA0 00000000 +@4DA1 00000000 +@4DA2 00000000 +@4DA3 00000000 +@4DA4 00000000 +@4DA5 00000000 +@4DA6 00000000 +@4DA7 00000000 +@4DA8 00000000 +@4DA9 00000000 +@4DAA 00000000 +@4DAB 00000000 +@4DAC 00000000 +@4DAD 00000000 +@4DAE 00000000 +@4DAF 00000000 +@4DB0 00000000 +@4DB1 00000000 +@4DB2 00000000 +@4DB3 00000000 +@4DB4 00000000 +@4DB5 00000000 +@4DB6 00000000 +@4DB7 00000000 +@4DB8 00000000 +@4DB9 00000000 +@4DBA 00000000 +@4DBB 00000000 +@4DBC 00000000 +@4DBD 00000000 +@4DBE 00000000 +@4DBF 00000000 +@4DC0 00000000 +@4DC1 00000000 +@4DC2 00000000 +@4DC3 00000000 +@4DC4 00000000 +@4DC5 00000000 +@4DC6 00000000 +@4DC7 00000000 +@4DC8 00000000 +@4DC9 00000000 +@4DCA 00000000 +@4DCB 00000000 +@4DCC 00000000 +@4DCD 00000000 +@4DCE 00000000 +@4DCF 00000000 +@4DD0 00000000 +@4DD1 00000000 +@4DD2 00000000 +@4DD3 00000000 +@4DD4 00000000 +@4DD5 00000000 +@4DD6 00000000 +@4DD7 00000000 +@4DD8 00000000 +@4DD9 00000000 +@4DDA 00000000 +@4DDB 00000000 +@4DDC 00000000 +@4DDD 00000000 +@4DDE 00000000 +@4DDF 00000000 +@4DE0 00000000 +@4DE1 00000000 +@4DE2 00000000 +@4DE3 00000000 +@4DE4 00000000 +@4DE5 00000000 +@4DE6 00000000 +@4DE7 00000000 +@4DE8 00000000 +@4DE9 00000000 +@4DEA 00000000 +@4DEB 00000000 +@4DEC 00000000 +@4DED 00000000 +@4DEE 00000000 +@4DEF 00000000 +@4DF0 00000000 +@4DF1 00000000 +@4DF2 00000000 +@4DF3 00000000 +@4DF4 00000000 +@4DF5 00000000 +@4DF6 00000000 +@4DF7 00000000 +@4DF8 00000000 +@4DF9 00000000 +@4DFA 00000000 +@4DFB 00000000 +@4DFC 00000000 +@4DFD 00000000 +@4DFE 00000000 +@4DFF 00000000 +@4E00 00000000 +@4E01 00000000 +@4E02 00000000 +@4E03 00000000 +@4E04 00000000 +@4E05 00000000 +@4E06 00000000 +@4E07 00000000 +@4E08 00000000 +@4E09 00000000 +@4E0A 00000000 +@4E0B 00000000 +@4E0C 00000000 +@4E0D 00000000 +@4E0E 00000000 +@4E0F 00000000 +@4E10 00000000 +@4E11 00000000 +@4E12 00000000 +@4E13 00000000 +@4E14 00000000 +@4E15 00000000 +@4E16 00000000 +@4E17 00000000 +@4E18 00000000 +@4E19 00000000 +@4E1A 00000000 +@4E1B 00000000 +@4E1C 00000000 +@4E1D 00000000 +@4E1E 00000000 +@4E1F 00000000 +@4E20 00000000 +@4E21 00000000 +@4E22 00000000 +@4E23 00000000 +@4E24 00000000 +@4E25 00000000 +@4E26 00000000 +@4E27 00000000 +@4E28 00000000 +@4E29 00000000 +@4E2A 00000000 +@4E2B 00000000 +@4E2C 00000000 +@4E2D 00000000 +@4E2E 00000000 +@4E2F 00000000 +@4E30 00000000 +@4E31 00000000 +@4E32 00000000 +@4E33 00000000 +@4E34 00000000 +@4E35 00000000 +@4E36 00000000 +@4E37 00000000 +@4E38 00000000 +@4E39 00000000 +@4E3A 00000000 +@4E3B 00000000 +@4E3C 00000000 +@4E3D 00000000 +@4E3E 00000000 +@4E3F 00000000 +@4E40 00000000 +@4E41 00000000 +@4E42 00000000 +@4E43 00000000 +@4E44 00000000 +@4E45 00000000 +@4E46 00000000 +@4E47 00000000 +@4E48 00000000 +@4E49 00000000 +@4E4A 00000000 +@4E4B 00000000 +@4E4C 00000000 +@4E4D 00000000 +@4E4E 00000000 +@4E4F 00000000 +@4E50 00000000 +@4E51 00000000 +@4E52 00000000 +@4E53 00000000 +@4E54 00000000 +@4E55 00000000 +@4E56 00000000 +@4E57 00000000 +@4E58 00000000 +@4E59 00000000 +@4E5A 00000000 +@4E5B 00000000 +@4E5C 00000000 +@4E5D 00000000 +@4E5E 00000000 +@4E5F 00000000 +@4E60 00000000 +@4E61 00000000 +@4E62 00000000 +@4E63 00000000 +@4E64 00000000 +@4E65 00000000 +@4E66 00000000 +@4E67 00000000 +@4E68 00000000 +@4E69 00000000 +@4E6A 00000000 +@4E6B 00000000 +@4E6C 00000000 +@4E6D 00000000 +@4E6E 00000000 +@4E6F 00000000 +@4E70 00000000 +@4E71 00000000 +@4E72 00000000 +@4E73 00000000 +@4E74 00000000 +@4E75 00000000 +@4E76 00000000 +@4E77 00000000 +@4E78 00000000 +@4E79 00000000 +@4E7A 00000000 +@4E7B 00000000 +@4E7C 00000000 +@4E7D 00000000 +@4E7E 00000000 +@4E7F 00000000 +@4E80 00000000 +@4E81 00000000 +@4E82 00000000 +@4E83 00000000 +@4E84 00000000 +@4E85 00000000 +@4E86 00000000 +@4E87 00000000 +@4E88 00000000 +@4E89 00000000 +@4E8A 00000000 +@4E8B 00000000 +@4E8C 00000000 +@4E8D 00000000 +@4E8E 00000000 +@4E8F 00000000 +@4E90 00000000 +@4E91 00000000 +@4E92 00000000 +@4E93 00000000 +@4E94 00000000 +@4E95 00000000 +@4E96 00000000 +@4E97 00000000 +@4E98 00000000 +@4E99 00000000 +@4E9A 00000000 +@4E9B 00000000 +@4E9C 00000000 +@4E9D 00000000 +@4E9E 00000000 +@4E9F 00000000 +@4EA0 00000000 +@4EA1 00000000 +@4EA2 00000000 +@4EA3 00000000 +@4EA4 00000000 +@4EA5 00000000 +@4EA6 00000000 +@4EA7 00000000 +@4EA8 00000000 +@4EA9 00000000 +@4EAA 00000000 +@4EAB 00000000 +@4EAC 00000000 +@4EAD 00000000 +@4EAE 00000000 +@4EAF 00000000 +@4EB0 00000000 +@4EB1 00000000 +@4EB2 00000000 +@4EB3 00000000 +@4EB4 00000000 +@4EB5 00000000 +@4EB6 00000000 +@4EB7 00000000 +@4EB8 00000000 +@4EB9 00000000 +@4EBA 00000000 +@4EBB 00000000 +@4EBC 00000000 +@4EBD 00000000 +@4EBE 00000000 +@4EBF 00000000 +@4EC0 00000000 +@4EC1 00000000 +@4EC2 00000000 +@4EC3 00000000 +@4EC4 00000000 +@4EC5 00000000 +@4EC6 00000000 +@4EC7 00000000 +@4EC8 00000000 +@4EC9 00000000 +@4ECA 00000000 +@4ECB 00000000 +@4ECC 00000000 +@4ECD 00000000 +@4ECE 00000000 +@4ECF 00000000 +@4ED0 00000000 +@4ED1 00000000 +@4ED2 00000000 +@4ED3 00000000 +@4ED4 00000000 +@4ED5 00000000 +@4ED6 00000000 +@4ED7 00000000 +@4ED8 00000000 +@4ED9 00000000 +@4EDA 00000000 +@4EDB 00000000 +@4EDC 00000000 +@4EDD 00000000 +@4EDE 00000000 +@4EDF 00000000 +@4EE0 00000000 +@4EE1 00000000 +@4EE2 00000000 +@4EE3 00000000 +@4EE4 00000000 +@4EE5 00000000 +@4EE6 00000000 +@4EE7 00000000 +@4EE8 00000000 +@4EE9 00000000 +@4EEA 00000000 +@4EEB 00000000 +@4EEC 00000000 +@4EED 00000000 +@4EEE 00000000 +@4EEF 00000000 +@4EF0 00000000 +@4EF1 00000000 +@4EF2 00000000 +@4EF3 00000000 +@4EF4 00000000 +@4EF5 00000000 +@4EF6 00000000 +@4EF7 00000000 +@4EF8 00000000 +@4EF9 00000000 +@4EFA 00000000 +@4EFB 00000000 +@4EFC 00000000 +@4EFD 00000000 +@4EFE 00000000 +@4EFF 00000000 +@4F00 00000000 +@4F01 00000000 +@4F02 00000000 +@4F03 00000000 +@4F04 00000000 +@4F05 00000000 +@4F06 00000000 +@4F07 00000000 +@4F08 00000000 +@4F09 00000000 +@4F0A 00000000 +@4F0B 00000000 +@4F0C 00000000 +@4F0D 00000000 +@4F0E 00000000 +@4F0F 00000000 +@4F10 00000000 +@4F11 00000000 +@4F12 00000000 +@4F13 00000000 +@4F14 00000000 +@4F15 00000000 +@4F16 00000000 +@4F17 00000000 +@4F18 00000000 +@4F19 00000000 +@4F1A 00000000 +@4F1B 00000000 +@4F1C 00000000 +@4F1D 00000000 +@4F1E 00000000 +@4F1F 00000000 +@4F20 000106C0 +@4F21 00000000 +@4F22 00000000 +@4F23 00000000 +@4F24 0000EB38 +@4F25 00000000 +@4F26 00000000 +@4F27 00000000 +@4F28 00041030 +@4F29 00000000 +@4F2A 00000000 +@4F2B 00000000 +@4F2C 00000000 +@4F2D 00000000 +@4F2E 00000000 +@4F2F 00000000 +@4F30 00000000 +@4F31 00000000 +@4F32 00000000 +@4F33 00000000 +@4F34 00000000 +@4F35 00000000 +@4F36 00000000 +@4F37 00000000 +@4F38 00000000 +@4F39 00000000 +@4F3A 00000000 +@4F3B 00000000 +@4F3C 00000000 +@4F3D 00000000 +@4F3E 00000000 +@4F3F 00000000 +@4F40 00000000 +@4F41 00000000 +@4F42 00000000 +@4F43 00000000 +@4F44 00000000 +@4F45 00000000 +@4F46 00000000 +@4F47 00000000 +@4F48 00000000 +@4F49 00000000 +@4F4A 00000000 +@4F4B 00000000 +@4F4C 00000000 +@4F4D 00000000 +@4F4E 00000000 +@4F4F 00000000 +@4F50 00000000 +@4F51 00000000 +@4F52 00000000 +@4F53 00000000 +@4F54 00000000 +@4F55 00000000 +@4F56 00000000 +@4F57 00000000 +@4F58 00000000 +@4F59 00000000 +@4F5A 00000000 +@4F5B 00000000 +@4F5C 00000000 +@4F5D 00000000 +@4F5E 00000000 +@4F5F 00000000 +@4F60 00000000 +@4F61 00000000 +@4F62 00000000 +@4F63 00000000 +@4F64 00000000 +@4F65 00000000 +@4F66 00000001 +@4F67 00010285 +@4F68 000106D4 +@4F69 000106D4 +@4F6A 00000001 +@4F6B 00010420 +@4F6C 00020000 +@4F6D FFFFFFFF +@4F6E 00012230 +@4F6F 00012230 +@4F70 00010EE8 +@4F71 00010EE8 +@4F72 FFFFFFFF +@4F73 00000000 +@4F74 000145F4 +@4F75 60204000 +@4F76 FFFFFFFF +@4F77 00012254 +@4F78 00012254 diff --git a/software/DE2_115_ASM3_release/mem_init/hdl_sim/nios_system_onchip_memory.sym b/software/DE2_115_ASM3_release/mem_init/hdl_sim/nios_system_onchip_memory.sym new file mode 100644 index 0000000..24e97ba --- /dev/null +++ b/software/DE2_115_ASM3_release/mem_init/hdl_sim/nios_system_onchip_memory.sym @@ -0,0 +1,329 @@ + w __errno + w free + w malloc +00000000 A __alt_mem_onchip_memory +00000000 T __reset +00000020 A __flash_exceptions_start +00000020 A __ram_exceptions_start +00000020 T alt_exception +00000020 T alt_irq_entry +000000ec T alt_irq_handler +000001b4 A __ram_exceptions_end +000001b4 T _start +000001ec t alt_after_alt_main +000001f0 T LCD_Init +0000028c T LCD_Show_Text +00000314 T LCD_Line2 +00000350 T LCD_Test +000003dc t init +0000049c T store_value +00000580 T store_inst +000006e4 T print_change_memory +00000754 t reset_mem_cancel +00000798 t reset_mem +0000084c t reset_pc_cancel +00000890 t reset_pc +00000920 T main +00000ba8 T encodeNumHex +00000d84 T encodeLatHex +000011d8 T print_block +00001368 T clear_block +000013f8 T print_number +000014f0 T in_int +00001518 t update_sw_reg +00001600 T push_decode +000016ac T push_int +000017b0 T inst_fetch +000017fc T inst_decode +00001a74 T inst_jump +00001ad0 T inst_output +00001b40 T inst_load +00001b78 T inst_store +00001bb0 T inst_delay +00001c0c T inst_add +00001c68 T inst_sub +00001cc4 T inst_comp +00001d94 T inst_jeq +00001e00 T inst_jne +00001e6c T inst_jieq +00001ebc T inst_jine +00001f0c T lcd_init +00001f54 T lcd_caret_reset +00001f7c T lcd_caret_reset2 +00001fa4 T lcd_print +00001fd4 T display_inst +00002080 T display_mem +000020ec T convertRegName +0000225c T convertInstName +00002520 T delay10ms +0000255c T panic +0000259c T memory_init +00002618 T inst_memory_store +00002668 T inst_memory_load +000026b0 T memory_store +0000274c T memory_load +000027dc T inc_pc +00002860 T add_pc +000028b0 T set_pc +000028e8 T get_pc +00002950 T run_proc +00002aa0 T registers_init +00002af0 t udivmodsi4 +00002b6c T __divsi3 +00002bcc T __modsi3 +00002c2c T __udivsi3 +00002c34 T __umodsi3 +00002c3c T __mulsi3 +00002c74 T sprintf +00002ce8 T _sprintf_r +00002d48 T strlen +00002dbc t __sprint_r +00002e14 T ___vfprintf_internal_r +00004cd8 T __vfprintf_internal +00004cfc T __swsetup_r +00004e38 t quorem +0000507c T _dtoa_r +00006630 T _fflush_r +0000682c T fflush +00006860 t std +000068b8 T __sfp_lock_acquire +000068bc T __sfp_lock_release +000068c0 T __sinit_lock_acquire +000068c4 T __sinit_lock_release +000068c8 T __sinit +0000696c t __fp_lock +00006974 t __fp_unlock +0000697c T __fp_unlock_all +00006994 T __fp_lock_all +000069ac T _cleanup_r +000069b8 T _cleanup +000069c8 T __sfmoreglue +00006a64 T __sfp +00006b54 T _malloc_trim_r +00006c80 T _free_r +00006f94 T __sfvwrite_r +00007430 T _fwalk_reent +000074f8 T _fwalk +000075b0 T __locale_charset +000075b8 T _localeconv_r +000075c4 T localeconv +000075d4 T _setlocale_r +00007658 T setlocale +00007674 T __smakebuf_r +00007808 T _malloc_r +00007f48 T memchr +0000802c T memcpy +000080cc T memmove +000081ac T memset +00008244 T _Bfree +0000826c T __hi0bits +000082dc T __lo0bits +000083a0 T __mcmp +00008400 T __ulp +00008480 T __b2d +000085a0 T __ratio +00008650 T _mprec_log10 +000086e4 T __copybits +0000873c T __any_on +000087c4 T _Balloc +00008880 T __d2b +000089fc T __mdiff +00008b88 T __lshift +00008cd4 T __multiply +00008f28 T __i2b +00008f64 T __multadd +00009090 T __pow5mult +000091b0 T __s2b +000092d0 T _realloc_r +000098c4 T __isinfd +00009904 T __isnand +00009934 T _sbrk_r +000099a4 T __sclose +000099ac T __sseek +00009a14 T __swrite +00009a90 T __sread +00009af0 T strcmp +00009bac T _write_r +00009c24 T _calloc_r +00009cec T _close_r +00009d5c T _fclose_r +00009e6c T fclose +00009e80 T _fstat_r +00009ef4 T _isatty_r +00009f64 T _lseek_r +00009fdc T _read_r +0000a054 T __udivdi3 +0000a6c0 T __umoddi3 +0000ad20 t _fpadd_parts +0000b130 T __subdf3 +0000b1b0 T __adddf3 +0000b224 T __muldf3 +0000b5e8 T __divdf3 +0000b840 T __eqdf2 +0000b8c8 T __nedf2 +0000b950 T __gtdf2 +0000b9d8 T __gedf2 +0000ba60 T __ltdf2 +0000bae8 T __floatsidf +0000bbe0 T __fixdfsi +0000bcb8 T __floatunsidf +0000be4c T __muldi3 +0000bf70 T __clzsi2 +0000bff0 T __pack_d +0000c304 T __unpack_d +0000c43c T __fpcmp_parts_d +0000c504 T close +0000c604 t alt_get_errno +0000c664 t alt_dev_null_write +0000c690 T fstat +0000c768 t alt_get_errno +0000c7c8 T isatty +0000c88c t alt_get_errno +0000c8ec T alt_load +0000c96c t alt_load_section +0000c9d8 T lseek +0000cacc t alt_get_errno +0000cb2c T alt_main +0000cb98 T __malloc_lock +0000cbb8 T __malloc_unlock +0000cbd8 T read +0000ccfc t alt_get_errno +0000cd5c T alt_release_fd +0000cdd4 T sbrk +0000ce90 T usleep +0000cec0 T write +0000cfe4 t alt_get_errno +0000d044 T alt_irq_init +0000d078 T alt_sys_init +0000d0d4 t alt_dev_reg +0000d10c T altera_avalon_jtag_uart_read_fd +0000d164 T altera_avalon_jtag_uart_write_fd +0000d1bc T altera_avalon_jtag_uart_close_fd +0000d204 T altera_avalon_jtag_uart_ioctl_fd +0000d254 T altera_avalon_jtag_uart_init +0000d314 t altera_avalon_jtag_uart_irq +0000d53c t altera_avalon_jtag_uart_timeout +0000d5ec T altera_avalon_jtag_uart_close +0000d660 T altera_avalon_jtag_uart_ioctl +0000d754 T altera_avalon_jtag_uart_read +0000d978 T altera_avalon_jtag_uart_write +0000dbb8 t lcd_write_command +0000dc70 t lcd_write_data +0000dd44 t lcd_clear_screen +0000de1c t lcd_repaint_screen +0000e030 t lcd_scroll_up +0000e110 t lcd_handle_escape +0000e3f4 T altera_avalon_lcd_16207_write +0000e930 t alt_lcd_16207_timeout +0000e9fc T altera_avalon_lcd_16207_init +0000eb38 T altera_avalon_lcd_16207_write_fd +0000eb90 T alt_alarm_start +0000ece4 T alt_busy_sleep +0000ee40 T alt_dcache_flush_all +0000ee5c T alt_dev_llist_insert +0000ef10 t alt_get_errno +0000ef70 T _do_ctors +0000efd4 T _do_dtors +0000f038 T alt_icache_flush_all +0000f054 T alt_ic_isr_register +0000f0a4 T alt_ic_irq_enable +0000f140 T alt_ic_irq_disable +0000f1e0 T alt_ic_irq_enabled +0000f228 T alt_iic_isr_register +0000f320 t alt_open_fd +0000f3f8 T alt_io_redirect +0000f470 t alt_file_locked +0000f568 T open +0000f6f4 t alt_get_errno +0000f754 T alt_alarm_stop +0000f7ec T alt_tick +0000f8f8 T altera_nios2_qsys_irq_init +0000f918 T alt_find_dev +0000f9ac T alt_find_file +0000facc T alt_get_fd +0000fb9c T atexit +0000fbb0 T exit +0000fbe8 T memcmp +0000fc5c T __register_exitproc +0000fd90 t register_fini +0000fd94 T __call_exitprocs +0000ff44 t alt_sim_halt +0000ff84 T _exit +0000ffa4 A __CTOR_LIST__ +0000ffa8 A __CTOR_END__ +0000ffa8 A __DTOR_END__ +0000ffa8 A __DTOR_LIST__ +0000ffa8 A __flash_rodata_start +0000ffa8 A __ram_rodata_start +00010104 R _ctype_ +00010205 r _ctype_b +000103e6 r zeroes.3453 +000103f6 r blanks.3452 +0001042c r lconv +0001045c R __mprec_tens +00010524 R __mprec_bigtens +0001054c R __mprec_tinytens +00010574 r p05.2458 +00010580 R __thenan_df +00010594 R __clz_tab +000106d4 A __ram_rodata_end +000106d4 A __ram_rwdata_start +000106d4 g impure_data +00010ad4 G __lc_ctype +00010ae0 G __malloc_av_ +00010ee8 G alt_dev_null +00010f10 G alt_fd_list +00011090 g jtag_uart +000120f0 g lcd_16207_0 +00012210 G PUSH_EVENT +00012214 G __ctype_ptr +00012218 G _impure_ptr +0001221c G _global_impure_ptr +00012220 G __mb_cur_max +00012224 g charset +00012228 G __malloc_trim_threshold +0001222c G __malloc_sbrk_base +00012230 G alt_fs_list +00012238 G alt_dev_list +00012240 G alt_max_fd +00012244 G alt_errno +00012248 g heap_end +0001224c g colstart +00012250 G alt_priority_mask +00012254 G alt_alarm_list +0001225c A __flash_rwdata_start +0001225c A __ram_rwdata_end +0001225c A _edata +00013de4 A __bss_start +00013de4 B stack +00013dec b event_code.1400 +00013df0 b status.1399 +00013df4 B global_current_memory +00013df8 B __nlocale_changed +00013dfc B __mlocale_changed +00013e00 B _PathLocale +00013e04 B __malloc_top_pad +00013e08 B __malloc_max_sbrked_mem +00013e0c B __malloc_max_total_mem +00013e10 B errno +00013e14 B alt_argc +00013e18 B alt_argv +00013e1c B alt_envp +00013e20 B alt_irq_active +00013e24 B _alt_tick_rate +00013e28 B _alt_nticks +00013e2c b memory +00013f2c b inst_memory +0001432c B __malloc_current_mallinfo +00014354 B global_registers +00014364 B alt_irq +00014464 B _atexit0 +000145f4 A __alt_heap_start +000145f4 A __alt_stack_base +000145f4 A __bss_end +000145f4 A _end +000145f4 A end +0001a210 A _gp +00032000 A __alt_data_end +00032000 A __alt_heap_limit +00032000 A __alt_stack_pointer diff --git a/software/DE2_115_ASM3_release/mem_init/meminit.qip b/software/DE2_115_ASM3_release/mem_init/meminit.qip new file mode 100644 index 0000000..7589c13 --- /dev/null +++ b/software/DE2_115_ASM3_release/mem_init/meminit.qip @@ -0,0 +1 @@ +set_global_assignment -name SEARCH_PATH $::quartus(qip_path) diff --git a/software/DE2_115_ASM3_release/mem_init/meminit.spd b/software/DE2_115_ASM3_release/mem_init/meminit.spd new file mode 100644 index 0000000..039d2b2 --- /dev/null +++ b/software/DE2_115_ASM3_release/mem_init/meminit.spd @@ -0,0 +1,5 @@ + + + + + diff --git a/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex b/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex new file mode 100644 index 0000000..d56583d --- /dev/null +++ b/software/DE2_115_ASM3_release/mem_init/nios_system_onchip_memory.hex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diff --git a/software/DE2_115_ASM3_release/obj/default/.force_relink b/software/DE2_115_ASM3_release/obj/default/.force_relink new file mode 100644 index 0000000..e69de29 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/.force_relink diff --git a/software/DE2_115_ASM3_release/obj/default/LCD.d b/software/DE2_115_ASM3_release/obj/default/LCD.d new file mode 100644 index 0000000..2bf9553 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/LCD.d @@ -0,0 +1,14 @@ +obj/default/LCD.o: LCD.c ../DE2_115_ASM3_release_bsp//HAL/inc/io.h \ + ../DE2_115_ASM3_release_bsp//HAL/inc/alt_types.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h LCD.h + +../DE2_115_ASM3_release_bsp//HAL/inc/io.h: + +../DE2_115_ASM3_release_bsp//HAL/inc/alt_types.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +LCD.h: diff --git a/software/DE2_115_ASM3_release/obj/default/LCD.o b/software/DE2_115_ASM3_release/obj/default/LCD.o new file mode 100644 index 0000000..233bdd5 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/LCD.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/hello_world_small.d b/software/DE2_115_ASM3_release/obj/default/hello_world_small.d new file mode 100644 index 0000000..96f5344 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/hello_world_small.d @@ -0,0 +1,27 @@ +obj/default/hello_world_small.o: hello_world_small.c \ + ../DE2_115_ASM3_release_bsp//HAL/inc/sys/alt_stdio.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h hex_out.h lcd_out.h sys_register.h \ + sys_memory.h inst_decoder.h input_int.h sys_debug.h sys_prog.h + +../DE2_115_ASM3_release_bsp//HAL/inc/sys/alt_stdio.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +hex_out.h: + +lcd_out.h: + +sys_register.h: + +sys_memory.h: + +inst_decoder.h: + +input_int.h: + +sys_debug.h: + +sys_prog.h: diff --git a/software/DE2_115_ASM3_release/obj/default/hello_world_small.o b/software/DE2_115_ASM3_release/obj/default/hello_world_small.o new file mode 100644 index 0000000..cd9e916 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/hello_world_small.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/hex_encoder.d b/software/DE2_115_ASM3_release/obj/default/hex_encoder.d new file mode 100644 index 0000000..d8948cd --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/hex_encoder.d @@ -0,0 +1,9 @@ +obj/default/hex_encoder.o: hex_encoder.c hex_encoder.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h + +hex_encoder.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: diff --git a/software/DE2_115_ASM3_release/obj/default/hex_encoder.o b/software/DE2_115_ASM3_release/obj/default/hex_encoder.o new file mode 100644 index 0000000..b2ed14f --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/hex_encoder.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/hex_out.d b/software/DE2_115_ASM3_release/obj/default/hex_out.d new file mode 100644 index 0000000..bc37dd5 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/hex_out.d @@ -0,0 +1,13 @@ +obj/default/hex_out.o: hex_out.c hex_out.h hex_encoder.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h sys_except.h + +hex_out.h: + +hex_encoder.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +sys_except.h: diff --git a/software/DE2_115_ASM3_release/obj/default/hex_out.o b/software/DE2_115_ASM3_release/obj/default/hex_out.o new file mode 100644 index 0000000..7e85f03 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/hex_out.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/input_int.d b/software/DE2_115_ASM3_release/obj/default/input_int.d new file mode 100644 index 0000000..0288388 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/input_int.d @@ -0,0 +1,11 @@ +obj/default/input_int.o: input_int.c input_int.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h sys_register.h + +input_int.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +sys_register.h: diff --git a/software/DE2_115_ASM3_release/obj/default/input_int.o b/software/DE2_115_ASM3_release/obj/default/input_int.o new file mode 100644 index 0000000..3a9935b --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/input_int.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/inst_decoder.d b/software/DE2_115_ASM3_release/obj/default/inst_decoder.d new file mode 100644 index 0000000..760a64b --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/inst_decoder.d @@ -0,0 +1,12 @@ +obj/default/inst_decoder.o: inst_decoder.c inst_decoder.h sys_register.h \ + sys_memory.h hex_out.h sys_prog.h + +inst_decoder.h: + +sys_register.h: + +sys_memory.h: + +hex_out.h: + +sys_prog.h: diff --git a/software/DE2_115_ASM3_release/obj/default/inst_decoder.o b/software/DE2_115_ASM3_release/obj/default/inst_decoder.o new file mode 100644 index 0000000..176e2c4 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/inst_decoder.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/lcd_out.d b/software/DE2_115_ASM3_release/obj/default/lcd_out.d new file mode 100644 index 0000000..54a828b --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/lcd_out.d @@ -0,0 +1,11 @@ +obj/default/lcd_out.o: lcd_out.c lcd_out.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h LCD.h + +lcd_out.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +LCD.h: diff --git a/software/DE2_115_ASM3_release/obj/default/lcd_out.o b/software/DE2_115_ASM3_release/obj/default/lcd_out.o new file mode 100644 index 0000000..e0b65ba --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/lcd_out.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/sys_debug.d b/software/DE2_115_ASM3_release/obj/default/sys_debug.d new file mode 100644 index 0000000..2d0d0ae --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_debug.d @@ -0,0 +1,15 @@ +obj/default/sys_debug.o: sys_debug.c sys_debug.h inst_decoder.h \ + sys_register.h lcd_out.h ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h + +sys_debug.h: + +inst_decoder.h: + +sys_register.h: + +lcd_out.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: diff --git a/software/DE2_115_ASM3_release/obj/default/sys_debug.o b/software/DE2_115_ASM3_release/obj/default/sys_debug.o new file mode 100644 index 0000000..ee2f77a --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_debug.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/sys_except.d b/software/DE2_115_ASM3_release/obj/default/sys_except.d new file mode 100644 index 0000000..726749a --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_except.d @@ -0,0 +1,9 @@ +obj/default/sys_except.o: sys_except.c \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h hex_out.h + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +hex_out.h: diff --git a/software/DE2_115_ASM3_release/obj/default/sys_except.o b/software/DE2_115_ASM3_release/obj/default/sys_except.o new file mode 100644 index 0000000..e4bdb77 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_except.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/sys_memory.d b/software/DE2_115_ASM3_release/obj/default/sys_memory.d new file mode 100644 index 0000000..32a357c --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_memory.d @@ -0,0 +1,16 @@ +obj/default/sys_memory.o: sys_memory.c \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h sys_memory.h sys_register.h \ + inst_decoder.h sys_except.h + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: + +sys_memory.h: + +sys_register.h: + +inst_decoder.h: + +sys_except.h: diff --git a/software/DE2_115_ASM3_release/obj/default/sys_memory.o b/software/DE2_115_ASM3_release/obj/default/sys_memory.o new file mode 100644 index 0000000..8c3125a --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_memory.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/sys_prog.d b/software/DE2_115_ASM3_release/obj/default/sys_prog.d new file mode 100644 index 0000000..335afca --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_prog.d @@ -0,0 +1,22 @@ +obj/default/sys_prog.o: sys_prog.c sys_prog.h sys_debug.h inst_decoder.h \ + sys_register.h sys_memory.h hex_out.h lcd_out.h \ + ../DE2_115_ASM3_release_bsp/system.h \ + ../DE2_115_ASM3_release_bsp/linker.h + +sys_prog.h: + +sys_debug.h: + +inst_decoder.h: + +sys_register.h: + +sys_memory.h: + +hex_out.h: + +lcd_out.h: + +../DE2_115_ASM3_release_bsp/system.h: + +../DE2_115_ASM3_release_bsp/linker.h: diff --git a/software/DE2_115_ASM3_release/obj/default/sys_prog.o b/software/DE2_115_ASM3_release/obj/default/sys_prog.o new file mode 100644 index 0000000..3d7b999 --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_prog.o Binary files differ diff --git a/software/DE2_115_ASM3_release/obj/default/sys_register.d b/software/DE2_115_ASM3_release/obj/default/sys_register.d new file mode 100644 index 0000000..42e349a --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_register.d @@ -0,0 +1,3 @@ +obj/default/sys_register.o: sys_register.c sys_register.h + +sys_register.h: diff --git a/software/DE2_115_ASM3_release/obj/default/sys_register.o b/software/DE2_115_ASM3_release/obj/default/sys_register.o new file mode 100644 index 0000000..9e9919c --- /dev/null +++ b/software/DE2_115_ASM3_release/obj/default/sys_register.o Binary files differ diff --git a/software/DE2_115_ASM3_release/readme.txt b/software/DE2_115_ASM3_release/readme.txt new file mode 100644 index 0000000..a949aa6 --- /dev/null +++ b/software/DE2_115_ASM3_release/readme.txt @@ -0,0 +1,26 @@ +Readme - Hello World Software Example + +DESCRIPTION: +Simple program that prints "Hello from Nios II" + +The memory footprint of this hosted application is intended to be small (under 100 kbytes) by default +using a standard reference deisgn. + +For an even smaller, reduced footprint version of this template, and an explanation of how +to reduce the memory footprint for a given application, see the +"small_hello_world" template. + + +PERIPHERALS USED: +This example exercises the following peripherals: +- STDOUT device (UART or JTAG UART) + +SOFTWARE SOURCE FILES: +This example includes the following software source files: +- hello_world.c: Everyone needs a Hello World program, right? + +BOARD/HOST REQUIREMENTS: +This example requires only a JTAG connection with a Nios Development board. If +the host communication settings are changed from JTAG UART (default) to use a +conventional UART, a serial cable between board DB-9 connector and the host is +required. diff --git a/software/DE2_115_ASM3_release/sys_debug.c b/software/DE2_115_ASM3_release/sys_debug.c new file mode 100644 index 0000000..190b908 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_debug.c @@ -0,0 +1,121 @@ +/* + * sys_debug.c + * + * Created on: 2016/12/02 + * Author: takayun + */ + +#include "sys_debug.h" +#include +#include "lcd_out.h" +#include + +void display_inst(struct InstRec inst, unsigned int pc) { + char inst_name[INST_NAME_ARRAY_LEN]; // ���ߖ� + char reg_name[REG_NAME_ARRAY_LEN]; // ���W�X�^�� + char buf[17]; + + // ���߂̖��O�̎擾 + convertInstName(inst_name, inst.inst); + // ���W�X�^�̖��O�̎擾 + convertRegName(reg_name, inst.regi); + + lcd_caret_reset(); + sprintf(buf, "PC:0x%02x -> %4s",pc,inst_name); + lcd_print(buf); + lcd_caret_reset2(); + sprintf(buf, "REG:%3s,MEM:0x%1x",reg_name,inst.memi); + lcd_print(buf); +} + +void display_mem(unsigned char memi, char memv) { + char buf[17]; + + lcd_caret_reset(); + sprintf(buf, "MEM:0x%1x",memi); + lcd_print(buf); + lcd_caret_reset2(); + sprintf(buf, "value:%d",memv); + lcd_print(buf); +} + +void convertRegName(char reg_name[REG_NAME_ARRAY_LEN], enum Register reg_code) { + switch(reg_code) { + case Szero: + sprintf(reg_name, STRING_REG_ZERO); + break; + case Spc: + sprintf(reg_name, STRING_REG_PC); + break; + case Ssp: + sprintf(reg_name, STRING_REG_SP); + break; + case Sgp0: + sprintf(reg_name, STRING_REG_GP0); + break; + case Sgp1: + sprintf(reg_name, STRING_REG_GP1); + break; + case Sacc: + sprintf(reg_name, STRING_REG_ACC); + break; + case Sflg: + sprintf(reg_name, STRING_REG_FLG); + break; + default: + sprintf(reg_name, "non"); + break; + } +} + + +void convertInstName(char inst_name[INST_NAME_ARRAY_LEN], unsigned char inst_code) { + switch(inst_code) { + case INST_END: + sprintf(inst_name, STRING_INST_END); + break; + case INST_JUMP: + sprintf(inst_name, STRING_INST_JUMP); + break; + case INST_OUTPUT: + sprintf(inst_name, STRING_INST_OUTPUT); + break; + case INST_LOAD: + sprintf(inst_name, STRING_INST_LOAD); + break; + case INST_STORE: + sprintf(inst_name, STRING_INST_STORE); + break; + case INST_DELAY: + sprintf(inst_name, STRING_INST_DELAY); + break; + case INST_ADD: + sprintf(inst_name, STRING_INST_ADD); + break; + case INST_SUB: + sprintf(inst_name, STRING_INST_SUB); + break; + case INST_COMP: + sprintf(inst_name, STRING_INST_COMP); + break; + case INST_JEQ: + sprintf(inst_name, STRING_INST_JEQ); + break; + case INST_JNE: + sprintf(inst_name, STRING_INST_JNE); + break; + case INST_JIEQ: + sprintf(inst_name, STRING_INST_JIEQ); + break; + case INST_JINE: + sprintf(inst_name, STRING_INST_JINE); + break; + default: + sprintf(inst_name, "NoOp"); + break; + } +} + +void delay10ms(unsigned int s) { + usleep(s*10000); +} diff --git a/software/DE2_115_ASM3_release/sys_debug.h b/software/DE2_115_ASM3_release/sys_debug.h new file mode 100644 index 0000000..bb1fb1c --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_debug.h @@ -0,0 +1,62 @@ +/* + * sys_debug.h + * + * Created on: 2016/12/02 + * Author: takayun + */ + +#ifndef SYS_DEBUG_H_ +#define SYS_DEBUG_H_ + +#include "inst_decoder.h" +#include "sys_register.h" + +/************************************************** + * Defines + **************************************************/ + +#define INST_NAME_ARRAY_LEN 5 + +#define STRING_INST_END "END" +#define STRING_INST_JUMP "JUMP" +#define STRING_INST_OUTPUT "OUT" +#define STRING_INST_LOAD "LOAD" +#define STRING_INST_STORE "STOR" +#define STRING_INST_DELAY "DELY" +#define STRING_INST_ADD "ADD" +#define STRING_INST_COMP "COMP" +#define STRING_INST_JEQ "JEQ" +#define STRING_INST_JNE "JNE" +#define STRING_INST_JIEQ "JIEQ" +#define STRING_INST_JINE "JINE" +#define STRING_INST_SUB "SUB" + + +#define REG_NAME_ARRAY_LEN 4 + +#define STRING_REG_ZERO "ZE" +#define STRING_REG_PC "PC" +#define STRING_REG_SP "SP" +#define STRING_REG_GP0 "GP0" +#define STRING_REG_GP1 "GP1" +#define STRING_REG_ACC "ACC" +#define STRING_REG_FLG "FLG" + +/************************************************** + * Variables + **************************************************/ + + +/************************************************** + * Functions + **************************************************/ + +void convertInstName(char inst_name[INST_NAME_ARRAY_LEN], unsigned char inst_code); +void convertRegName(char reg_name[REG_NAME_ARRAY_LEN], enum Register reg_code); + +void display_inst(struct InstRec inst, unsigned int pc); +void display_mem(unsigned char memi, char memv); + +void delay10ms(unsigned int s); + +#endif /* SYS_DEBUG_H_ */ diff --git a/software/DE2_115_ASM3_release/sys_except.c b/software/DE2_115_ASM3_release/sys_except.c new file mode 100644 index 0000000..82420a4 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_except.c @@ -0,0 +1,14 @@ +/* + * sys_except.c + * + * Created on: 2016/11/24 + * Author: takayun + */ +#include "system.h" +#include "hex_out.h" + +void panic() { + clear_block(HEX0_3); + print_block("err ", 4, HEX0_3); +} + diff --git a/software/DE2_115_ASM3_release/sys_except.h b/software/DE2_115_ASM3_release/sys_except.h new file mode 100644 index 0000000..fa6f420 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_except.h @@ -0,0 +1,13 @@ +/* + * sys_except.h + * + * Created on: 2016/11/24 + * Author: takayun + */ + +#ifndef SYSTEM_H_ +#define SYSTEM_H_ + +void panic(); + +#endif /* SYSTEM_H_ */ diff --git a/software/DE2_115_ASM3_release/sys_memory.c b/software/DE2_115_ASM3_release/sys_memory.c new file mode 100644 index 0000000..71b9d69 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_memory.c @@ -0,0 +1,59 @@ +/* + * sys_memory.c + * + * Created on: 2016/11/24 + * Author: takayun + */ +#include "system.h" +#include "sys_memory.h" +#include "sys_register.h" +#include "sys_except.h" + +/************************************************** + * Public + **************************************************/ + +// �����������̂ǂ̃�������(0 < global_current_memory < MEMS_COUNT) +unsigned int global_current_memory = 0; + +/************************************************** + * Private + **************************************************/ + +// �����������̕ϐ� +static char memory[MEMS_COUNT][MEM_SIZE]; + +static struct InstRec inst_memory[MEMS_COUNT][MEM_SIZE]; + + +/************************************************** + * Impl + **************************************************/ + +void memory_init() { + int i, j; + for (i = 0; i < MEMS_COUNT; i++) + for (j = 0; j < MEM_SIZE; j++) { + memory[i][j] = 0; + } +} + +void inst_memory_store(unsigned int mem_addr, struct InstRec inst_rec){ + inst_memory[global_current_memory][mem_addr] = inst_rec; +} +struct InstRec inst_memory_load(unsigned int mem_addr){ + return inst_memory[global_current_memory][mem_addr]; +} + +char memory_store(unsigned int mem_addr, enum Register reg) { + if (!(mem_addr < MEM_SIZE)) panic(); + memory[global_current_memory][mem_addr] = global_registers[reg]; + return memory[global_current_memory][mem_addr]; +} + +char memory_load(unsigned int mem_addr, enum Register reg) { + if (!(mem_addr < MEM_SIZE)) panic(); + global_registers[reg] = memory[global_current_memory][mem_addr]; + return global_registers[reg]; +} + diff --git a/software/DE2_115_ASM3_release/sys_memory.h b/software/DE2_115_ASM3_release/sys_memory.h new file mode 100644 index 0000000..f67c9a4 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_memory.h @@ -0,0 +1,67 @@ +/* + * sys_memory.h + * + * Created on: 2016/11/24 + * Author: takayun + */ + +#ifndef SYS_MEMORY_H_ +#define SYS_MEMORY_H_ + +#include "sys_register.h" +#include "inst_decoder.h" + +/************************************************** + * Defines + **************************************************/ + +// �������̐� +#define MEMS_COUNT 16 + +// 1�������̃T�C�Y +#define MEM_SIZE 16 + +/************************************************** + * Variables + **************************************************/ + +extern unsigned int global_current_memory; + +/************************************************** + * Functions + **************************************************/ + +/* Function: memory_init + * Sammary: + * ������������������(All 0) */ +void memory_init(); + +/* ���ߗp�������ɖ��߂̃X�g�A&���[�h */ + +/* Function: memory_store -> char + * Sammary: + * �������̎w��Ԓn�Ɏw�肵�����W�X�^����l���i�[���� + * Return: + * �������Ɋi�[���ꂽ�l */ +void inst_memory_store(unsigned int mem_addr, struct InstRec inst_rec); +struct InstRec inst_memory_load(unsigned int mem_addr); + + +/* ������-���W�X�^�Ԃ̑��� */ + +/* Function: memory_store -> char + * Sammary: + * �������̎w��Ԓn�Ɏw�肵�����W�X�^����l���i�[���� + * Return: + * �������Ɋi�[���ꂽ�l */ +char memory_store(unsigned int mem_addr, enum Register reg); + +/* Function: memory_store -> char + * Sammary: + * �w�肵�����W�X�^�Ƀ������̎w��Ԓn����l���i�[���� + * Return: + * ���W�X�^�Ɋi�[���ꂽ�l */ +char memory_load(unsigned int mem_addr, enum Register reg); + + +#endif /* SYS_MEMORY_H_ */ diff --git a/software/DE2_115_ASM3_release/sys_prog.c b/software/DE2_115_ASM3_release/sys_prog.c new file mode 100644 index 0000000..6530e74 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_prog.c @@ -0,0 +1,84 @@ +/* + * sys_prog.c + * + * Created on: 2016/12/15 + * Author: takayun + */ + +#include "sys_prog.h" +#include +#include "sys_debug.h" +#include "sys_register.h" +#include "sys_memory.h" +#include "hex_out.h" +#include "lcd_out.h" + +unsigned int inc_pc() { + if ((unsigned char)global_registers[Spc]+1 < MEM_SIZE*MEMS_COUNT - global_current_memory*MEM_SIZE ) { + global_registers[Spc] = (unsigned char)global_registers[Spc] + 1; + } else { + global_registers[Spc] = 0; + } + return 0; +} +unsigned int add_pc(unsigned int cnt) { + int i; + for (i = 0; i < cnt; i++) inc_pc(); + return 0; +} +unsigned int set_pc(unsigned int cnt) { + global_registers[Spc]=cnt; + return 0; +} +unsigned int get_pc() { + if ((unsigned char)global_registers[Spc] < MEM_SIZE*MEMS_COUNT - global_current_memory*MEM_SIZE ) { + return (unsigned int)((unsigned char)global_registers[Spc]); + } else { + return 0; + } +} + +enum RunMode run_proc(enum RunMode mode) { + volatile struct InstRec inst_rec; + + if (RUN_INIT == mode) { + lcd_caret_reset(); + lcd_print("Run...now"); + + global_registers[Spc] = 0; + clear_block(HEX0_3); clear_block(HEX4_5); clear_block(HEX6_7); + + // �v���O�������s���֑J�� + return RUN_PROC; + } + + if (RUN_PROC == mode) { + // ���߃t�F�b�` + inst_rec = inst_fetch(); + // ���߃f�R�[�h���s + inst_decode(inst_rec); + // pc�\�� + { + char buf[5]; + sprintf(buf, "%02x", (unsigned char)global_registers[Spc]); + print_block("pc", 2, HEX6_7); + print_block(buf, 2, HEX4_5); + } + + // �f�o�b�N�p + if ( global_registers[Ssw_run] ) delay10ms(100U); + + // �v���O�����I������ + if (inst_rec.inst != INST_END) return RUN_PROC; + return RUN_TERM; + } + + if (RUN_TERM == mode) { + lcd_caret_reset(); + lcd_print("Run...Exit"); + return RUN_STOP; + } + + // Default + return RUN_STOP; +} diff --git a/software/DE2_115_ASM3_release/sys_prog.h b/software/DE2_115_ASM3_release/sys_prog.h new file mode 100644 index 0000000..b112e4c --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_prog.h @@ -0,0 +1,34 @@ +/* + * sys_prog.h + * + * Created on: 2016/12/15 + * Author: takayun + */ + +#ifndef SYS_PROG_H_ +#define SYS_PROG_H_ + +/************************************************** + * Defines + **************************************************/ + +// �v���O�������s��� +enum RunMode { RUN_STOP, RUN_INIT, RUN_PROC, RUN_TERM }; + +/************************************************** + * Variables + **************************************************/ + +/************************************************** + * Functions + **************************************************/ + +// ���S��PC�̃J�E���g�A�b�v���s�� +unsigned int inc_pc(); +unsigned int add_pc(unsigned int); +unsigned int set_pc(unsigned int); +unsigned int get_pc(); + +enum RunMode run_proc(enum RunMode mode); + +#endif /* SYS_PROG_H_ */ diff --git a/software/DE2_115_ASM3_release/sys_register.c b/software/DE2_115_ASM3_release/sys_register.c new file mode 100644 index 0000000..26ba99b --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_register.c @@ -0,0 +1,17 @@ +/* + * sys_register.c + * + * Created on: 2016/11/24 + * Author: takayun + */ +#include "sys_register.h" + +char global_registers[REG_MAX_COUNT]; + +void registers_init() { + int i; + for (i = 0; i < REG_MAX_COUNT; i++) global_registers[i] = 0; +} + + + diff --git a/software/DE2_115_ASM3_release/sys_register.h b/software/DE2_115_ASM3_release/sys_register.h new file mode 100644 index 0000000..2603f26 --- /dev/null +++ b/software/DE2_115_ASM3_release/sys_register.h @@ -0,0 +1,54 @@ +/* + * sys_register.h + * + * Created on: 2016/11/24 + * Author: takayun + */ + +#ifndef SYS_REGISTER_H_ +#define SYS_REGISTER_H_ + +/************************************************** + * Defines + **************************************************/ + +// ���W�X�^�̒�` +enum Register { + /* �ʏ�̃��W�X�^ */ + Szero, //�[�����W�X�^ + Spc, //�v���O�����J�E���^ + Ssp, //�X�^�b�N�|�C���^ + Sgp0, //�ėp���W�X�^0 + Sgp1, //�ėp���W�X�^1 + Sacc, //�A�L�������[�^ + Sflg, //�t���O���W�X�^ + /* �X�C�b�`�ǂݏo���p���W�X�^ */ + Ssw_data, //�f�[�^(8bit) + Ssw_inst, //����(4bit) + Ssw_regi, //���W�X�^�ԍ�(4bit) + Ssw_memi, //�������Ԓn(4bit) + Ssw_psel, //�v���O�����Z���N�^(4bit) + Ssw_rw, //�ǂݏ������[�h(1bit) + Ssw_run, //���s���[�h(1bit) + /* 7�Z�O�p���W�X�^ */ + Sseg, + + /* �z��錾�p */ + REG_MAX_COUNT +}; + +/************************************************** + * Variables + **************************************************/ + +// ���W�X�^�p�̕ϐ� +extern char global_registers[REG_MAX_COUNT]; + +/************************************************** + * Functions + **************************************************/ + +void registers_init(); + + +#endif /* SYS_REGISTER_H_ */ diff --git a/software/DE2_115_ASM3_release_bsp/.cproject b/software/DE2_115_ASM3_release_bsp/.cproject new file mode 100644 index 0000000..180f8e0 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/.cproject @@ -0,0 +1,481 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/software/DE2_115_ASM3_release_bsp/.project b/software/DE2_115_ASM3_release_bsp/.project new file mode 100644 index 0000000..601fad7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/.project @@ -0,0 +1,85 @@ + + + DE2_115_ASM3_release_bsp + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM3_release_bsp} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIBspNature + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/alt_types.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/alt_types.h new file mode 100644 index 0000000..8eb438f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/alt_types.h @@ -0,0 +1,54 @@ +#ifndef __ALT_TYPES_H__ +#define __ALT_TYPES_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/* + * Don't declare these typedefs if this file is included by assembly source. + */ +#ifndef ALT_ASM_SRC +typedef signed char alt_8; +typedef unsigned char alt_u8; +typedef signed short alt_16; +typedef unsigned short alt_u16; +typedef signed long alt_32; +typedef unsigned long alt_u32; +typedef long long alt_64; +typedef unsigned long long alt_u64; +#endif + +#define ALT_INLINE __inline__ +#define ALT_ALWAYS_INLINE __attribute__ ((always_inline)) +#define ALT_WEAK __attribute__((weak)) + +#endif /* __ALT_TYPES_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/altera_nios2_qsys_irq.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/altera_nios2_qsys_irq.h new file mode 100644 index 0000000..910c91c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/altera_nios2_qsys_irq.h @@ -0,0 +1,80 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * Support for the Nios II internal interrupt controller. + */ + +#ifndef __ALT_NIOS2_QSYS_IRQ_H__ +#define __ALT_NIOS2_QSYS_IRQ_H__ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The macro ALTERA_NIOS2_IRQ_INSTANCE is used by the alt_irq_init() + * function in the auto-generated file alt_sys_init.c to create an + * instance of this interrupt controller device driver state if this + * module contains an interrupt controller. + * Only one instance of a Nios II is allowed so this macro is just empty. + */ + +#define ALTERA_NIOS2_QSYS_IRQ_INSTANCE(name, state) + +/* + * altera_nios2_irq_init() is called by the auto-generated function + * alt_irq_init() once for the Nios II if it contains an interrupt controller. + * The altera_nios2_irq_init() routine is called using the + * ALTERA_NIOS2_IRQ_INIT macro given below. + * + * This function initializes the internal interrupt controller + * so is not called if the Nios II contains an external interrupt + * controller port (because the internal interrupt controller + * is removed if this port is present). + */ + +extern void altera_nios2_qsys_irq_init( void ); + +/* + * The macro ALTERA_NIOS2_IRQ_INIT is used by the alt_irq_init() routine + * in the auto-generated file alt_sys_init.c to initialize an instance + * of the interrupt controller device driver state. + */ + +#define ALTERA_NIOS2_QSYS_IRQ_INIT(name, state) altera_nios2_qsys_irq_init() + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_NIOS2_QSYS_IRQ_H__ */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/io.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/io.h new file mode 100644 index 0000000..867e87d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/io.h @@ -0,0 +1,81 @@ +#ifndef __IO_H__ +#define __IO_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/* IO Header file for Nios II Toolchain */ + +#include "alt_types.h" +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +#ifndef SYSTEM_BUS_WIDTH +#error SYSTEM_BUS_WIDTH undefined +#endif + +/* Dynamic bus access functions */ + +#define __IO_CALC_ADDRESS_DYNAMIC(BASE, OFFSET) \ + ((void *)(((alt_u8*)BASE) + (OFFSET))) + +#define IORD_32DIRECT(BASE, OFFSET) \ + __builtin_ldwio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) +#define IORD_16DIRECT(BASE, OFFSET) \ + __builtin_ldhuio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) +#define IORD_8DIRECT(BASE, OFFSET) \ + __builtin_ldbuio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) + +#define IOWR_32DIRECT(BASE, OFFSET, DATA) \ + __builtin_stwio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)), (DATA)) +#define IOWR_16DIRECT(BASE, OFFSET, DATA) \ + __builtin_sthio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)), (DATA)) +#define IOWR_8DIRECT(BASE, OFFSET, DATA) \ + __builtin_stbio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)), (DATA)) + +/* Native bus access functions */ + +#define __IO_CALC_ADDRESS_NATIVE(BASE, REGNUM) \ + ((void *)(((alt_u8*)BASE) + ((REGNUM) * (SYSTEM_BUS_WIDTH/8)))) + +#define IORD(BASE, REGNUM) \ + __builtin_ldwio (__IO_CALC_ADDRESS_NATIVE ((BASE), (REGNUM))) +#define IOWR(BASE, REGNUM, DATA) \ + __builtin_stwio (__IO_CALC_ADDRESS_NATIVE ((BASE), (REGNUM)), (DATA)) + +#ifdef __cplusplus +} +#endif + +#endif /* __IO_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/nios2.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/nios2.h new file mode 100644 index 0000000..eec4e35 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/nios2.h @@ -0,0 +1,230 @@ +#ifndef __NIOS2_H__ +#define __NIOS2_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * This header provides processor specific macros for accessing the Nios2 + * control registers. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * Macros for accessing selected processor registers + */ + +#define NIOS2_READ_ET(et) \ + do { __asm ("mov %0, et" : "=r" (et) ); } while (0) + +#define NIOS2_WRITE_ET(et) \ + do { __asm volatile ("mov et, %z0" : : "rM" (et)); } while (0) + +#define NIOS2_READ_SP(sp) \ + do { __asm ("mov %0, sp" : "=r" (sp) ); } while (0) + +/* + * Macros for useful processor instructions + */ + +#define NIOS2_BREAK() \ + do { __asm volatile ("break"); } while (0) + +#define NIOS2_REPORT_STACK_OVERFLOW() \ + do { __asm volatile("break 3"); } while (0) + +/* + * Macros for accessing the control registers. + */ + +#define NIOS2_READ_STATUS(dest) \ + do { dest = __builtin_rdctl(0); } while (0) + +#define NIOS2_WRITE_STATUS(src) \ + do { __builtin_wrctl(0, src); } while (0) + +#define NIOS2_READ_ESTATUS(dest) \ + do { dest = __builtin_rdctl(1); } while (0) + +#define NIOS2_READ_BSTATUS(dest) \ + do { dest = __builtin_rdctl(2); } while (0) + +#define NIOS2_READ_IENABLE(dest) \ + do { dest = __builtin_rdctl(3); } while (0) + +#define NIOS2_WRITE_IENABLE(src) \ + do { __builtin_wrctl(3, src); } while (0) + +#define NIOS2_READ_IPENDING(dest) \ + do { dest = __builtin_rdctl(4); } while (0) + +#define NIOS2_READ_CPUID(dest) \ + do { dest = __builtin_rdctl(5); } while (0) + + +/* + * Macros for accessing extra exception registers. These + * are always enabled wit the MPU or MMU, and optionally + * with other advanced exception types/ + */ +#define NIOS2_READ_EXCEPTION(dest) \ + do { dest = __builtin_rdctl(7); } while (0) + +#define NIOS2_READ_BADADDR(dest) \ + do { dest = __builtin_rdctl(12); } while (0) + + +/* + * Macros for accessing control registers for MPU + * operation. These should not be used unless the + * MPU is enabled. + * + * The config register may be augmented for future + * enhancements. For now, only MPU support is provided. + */ +/* Config register */ +#define NIOS2_WRITE_CONFIG(src) \ + do { __builtin_wrctl(13, src); } while (0) + +#define NIOS2_READ_CONFIG(dest) \ + do { dest = __builtin_rdctl(13); } while (0) + +/* MPU Base Address Register */ +#define NIOS2_WRITE_MPUBASE(src) \ + do { __builtin_wrctl(14, src); } while (0) + +#define NIOS2_READ_MPUBASE(dest) \ + do { dest = __builtin_rdctl(14); } while (0) + +/* MPU Access Register */ +#define NIOS2_WRITE_MPUACC(src) \ + do { __builtin_wrctl(15, src); } while (0) + +#define NIOS2_READ_MPUACC(dest) \ + do { dest = __builtin_rdctl(15); } while (0) + + +/* + * Nios II control registers that are always present + */ +#define NIOS2_STATUS status +#define NIOS2_ESTATUS estatus +#define NIOS2_BSTATUS bstatus +#define NIOS2_IENABLE ienable +#define NIOS2_IPENDING ipending +#define NIOS2_CPUID cpuid + +/* + * STATUS, BSTATUS, ESTATUS, and SSTATUS fields. + * The presence of fields is a function of the Nios II configuration. + */ +#define NIOS2_STATUS_PIE_MSK (0x00000001) +#define NIOS2_STATUS_PIE_OFST (0) +#define NIOS2_STATUS_U_MSK (0x00000002) +#define NIOS2_STATUS_U_OFST (1) +#define NIOS2_STATUS_EH_MSK (0x00000004) +#define NIOS2_STATUS_EH_OFST (2) +#define NIOS2_STATUS_IH_MSK (0x00000008) +#define NIOS2_STATUS_IH_OFST (3) +#define NIOS2_STATUS_IL_MSK (0x000003f0) +#define NIOS2_STATUS_IL_OFST (4) +#define NIOS2_STATUS_CRS_MSK (0x0000fc00) +#define NIOS2_STATUS_CRS_OFST (10) +#define NIOS2_STATUS_PRS_MSK (0x003f0000) +#define NIOS2_STATUS_PRS_OFST (16) +#define NIOS2_STATUS_NMI_MSK (0x00400000) +#define NIOS2_STATUS_NMI_OFST (22) +#define NIOS2_STATUS_RSIE_MSK (0x00800000) +#define NIOS2_STATUS_RSIE_OFST (23) +#define NIOS2_STATUS_SRS_MSK (0x80000000) +#define NIOS2_STATUS_SRS_OFST (31) + +/* + * Bit masks & offsets available with extra exceptions support + */ + +/* Exception register */ +#define NIOS2_EXCEPTION_REG_CAUSE_MASK (0x0000007c) +#define NIOS2_EXCEPTION_REG_CAUSE_OFST (2) + +/* + * Bit masks & offsets for MPU support + * + * All bit-masks are expressed relative to the position + * of the data with a register. To read data that is LSB- + * aligned, the register read data should be masked, then + * right-shifted by the designated "OFST" macro value. The + * opposite should be used for register writes when starting + * with LSB-aligned data. + */ + +/* Config register */ +#define NIOS2_CONFIG_REG_PE_MASK (0x00000001) +#define NIOS2_CONFIG_REG_PE_OFST (0) +#define NIOS2_CONFIG_REG_ANI_MASK (0x00000002) +#define NIOS2_CONFIG_REG_ANI_OFST (1) + +/* MPU Base Address Register */ +#define NIOS2_MPUBASE_D_MASK (0x00000001) +#define NIOS2_MPUBASE_D_OFST (0) +#define NIOS2_MPUBASE_INDEX_MASK (0x0000003e) +#define NIOS2_MPUBASE_INDEX_OFST (1) +#define NIOS2_MPUBASE_BASE_ADDR_MASK (0xffffffc0) +#define NIOS2_MPUBASE_BASE_ADDR_OFST (6) + +/* MPU Access Register */ +#define NIOS2_MPUACC_LIMIT_MASK (0xffffffc0) +#define NIOS2_MPUACC_LIMIT_OFST (6) +#define NIOS2_MPUACC_MASK_MASK (0xffffffc0) +#define NIOS2_MPUACC_MASK_OFST (6) +#define NIOS2_MPUACC_C_MASK (0x00000020) +#define NIOS2_MPUACC_C_OFST (5) +#define NIOS2_MPUACC_PERM_MASK (0x0000001c) +#define NIOS2_MPUACC_PERM_OFST (2) +#define NIOS2_MPUACC_RD_MASK (0x00000002) +#define NIOS2_MPUACC_RD_OFST (1) +#define NIOS2_MPUACC_WR_MASK (0x00000001) +#define NIOS2_MPUACC_WR_OFST (0) + +/* + * Number of available IRQs in internal interrupt controller. + */ +#define NIOS2_NIRQ 32 + + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __NIOS2_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_flag.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_flag.h new file mode 100644 index 0000000..86493c2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_flag.h @@ -0,0 +1,98 @@ +#ifndef __ALT_FLAG_H__ +#define __ALT_FLAG_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * This header provides macro definitions that can be used to create and use + * uc/OS-II style event flags. These macros can be used in both a uC/OS-II based + * environment, and a single threaded HAL based environment. + * + * The motivation for these macros is to allow code to be developed which is + * thread safe under uC/OS-II, but incurs no additional overhead when used in a + * single threaded HAL environment. + * + * In the case of a single threaded HAL environment, they compile to + * "do nothing" directives, which ensures they do not contribute to the final + * executable. + * + * The following macros are available: + * + * ALT_FLAG_GRP - Create a flag group instance. + * ALT_EXTERN_FLAG_GRP - Create a reference to an external flag group instance. + * ALT_STATIC_FLAG_GRP - Create a static flag group instance. + * ALT_FLAG_CREATE - Initialise a flag group. + * ALT_FLAG_PEND - Pend on a flag group. + * ALT_FLAG_POST - Set a flag condition. + + * + * Input arguments and return codes are all consistant with the equivalent + * uC/OS-II function. + * + * It's important to be careful in the use of the macros: ALT_FLAG_GRP, + * ALT_EXTERN_FLAG_GRP, and ALT_STATIC_FLAG_GRP. In these three cases the + * semi-colon is included in the macro definition; so, for example, you should + * use: + * + * ALT_FLAG_GRP(mygroup) + * + * not: + * + * ALT_FLAG_GRP(mygroup); + * + * The inclusion of the semi-colon has been necessary to ensure the macros can + * compile with no warnings when used in a single threaded HAL environment. + * + */ + +#include "priv/alt_no_error.h" + +#define ALT_FLAG_GRP(group) +#define ALT_EXTERN_FLAG_GRP(group) +#define ALT_STATIC_FLAG_GRP(group) + +#define ALT_FLAG_CREATE(group, flags) alt_no_error () +#define ALT_FLAG_PEND(group, flags, wait_type, timeout) alt_no_error () +#define ALT_FLAG_POST(group, flags, opt) alt_no_error () + +#ifndef ALT_SINGLE_THREADED +#define ALT_SINGLE_THREADED +#endif + +#endif /* __ALT_FLAG_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_hooks.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_hooks.h new file mode 100644 index 0000000..ba1100e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_hooks.h @@ -0,0 +1,61 @@ +#ifndef __ALT_HOOKS_H__ +#define __ALT_HOOKS_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * This header provides "do-nothing" macro definitions for operating system + * hooks within the HAL. The O/S component can override these to provide it's + * own implementation. + */ + +#define ALT_OS_TIME_TICK() while(0) +#define ALT_OS_INIT() while(0) +#define ALT_OS_STOP() while(0) + +/* Call from assembly code */ +#define ALT_OS_INT_ENTER_ASM +#define ALT_OS_INT_EXIT_ASM + +/* Call from C code */ +#define ALT_OS_INT_ENTER() while(0) +#define ALT_OS_INT_EXIT() while(0) + + +#endif /* __ALT_HOOKS_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_sem.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_sem.h new file mode 100644 index 0000000..ed0f4a8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_sem.h @@ -0,0 +1,96 @@ +#ifndef __ALT_SEM_H__ +#define __ALT_SEM_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * This header provides macro definitions that can be used to create and use + * semaphores. These macros can be used in both a uC/OS-II based environment, + * and a single threaded HAL based environment. + * + * The motivation for these macros is to allow code to be developed which is + * thread safe under uC/OS-II, but incurs no additional overhead when used in a + * single threaded HAL environment. + * + * In the case of a single threaded HAL environment, they compile to + * "do nothing" directives, which ensures they do not contribute to the final + * executable. + * + * The following macros are available: + * + * ALT_SEM - Create a semaphore instance. + * ALT_EXTERN_SEM - Create a reference to an external semaphore instance. + * ALT_STATIC_SEM - Create a static semaphore instance. + * ALT_SEM_CREATE - Initialise a semaphore. + * ALT_SEM_PEND - Pend on a semaphore. + * ALT_SEM_POST - Increment a semaphore. + * + * Input arguments and return codes are all consistant with the equivalent + * uC/OS-II function. + * + * It's important to be careful in the use of the macros: ALT_SEM, + * ALT_EXTERN_SEM, and ALT_STATIC_SEM. In these three cases the semi-colon is + * included in the macro definition; so, for example, you should use: + * + * ALT_SEM(mysem) + * + * not: + * + * ALT_SEM(mysem); + * + * The inclusion of the semi-colon has been necessary to ensure the macros can + * compile with no warnings when used in a single threaded HAL environment. + * + */ + +#include "priv/alt_no_error.h" + +#define ALT_SEM(sem) +#define ALT_EXTERN_SEM(sem) +#define ALT_STATIC_SEM(sem) + +#define ALT_SEM_CREATE(sem, value) alt_no_error () +#define ALT_SEM_PEND(sem, timeout) alt_no_error () +#define ALT_SEM_POST(sem) alt_no_error () + +#ifndef ALT_SINGLE_THREADED +#define ALT_SINGLE_THREADED +#endif + +#endif /* __ALT_SEM_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_syscall.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_syscall.h new file mode 100644 index 0000000..2b1165a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/os/alt_syscall.h @@ -0,0 +1,75 @@ +#ifndef __ALT_SYSCALL_H__ +#define __ALT_SYSCALL_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * The macros defined in this file are used to provide the function names used + * for the HAL 'UNIX style' interface, e.g. read(), write() etc. + * + * Operating systems which are ported to the HAL can provide their own + * version of this file, which will be used in preference. This allows + * the operating system to provide it's own implementation of the top level + * system calls, while retaining the HAL functions under a different name, + * for example, alt_read(), alt_write() etc. + */ + +#define ALT_CLOSE close +#define ALT_ENVIRON environ +#define ALT_EXECVE execve +#define ALT_EXIT _exit +#define ALT_FCNTL fcntl +#define ALT_FORK fork +#define ALT_FSTAT fstat +#define ALT_GETPID getpid +#define ALT_GETTIMEOFDAY gettimeofday +#define ALT_IOCTL ioctl +#define ALT_ISATTY isatty +#define ALT_KILL kill +#define ALT_LINK link +#define ALT_LSEEK lseek +#define ALT_OPEN open +#define ALT_READ read +#define ALT_RENAME _rename +#define ALT_SBRK sbrk +#define ALT_SETTIMEOFDAY settimeofday +#define ALT_STAT stat +#define ALT_UNLINK unlink +#define ALT_USLEEP usleep +#define ALT_WAIT wait +#define ALT_WRITE write +#define ALT_TIMES times + +/* + * + */ + +#endif /* __ALT_SYSCALL_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_alarm.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_alarm.h new file mode 100644 index 0000000..ae687bb --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_alarm.h @@ -0,0 +1,101 @@ +#ifndef __ALT_PRIV_ALARM_H__ +#define __ALT_PRIV_ALARM_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "alt_types.h" + +/* + * This header provides the internal defenitions required by the public + * interface alt_alarm.h. These variables and structures are not guaranteed to + * exist in future implementations of the HAL. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * "alt_alarm_s" is a structure type used to maintain lists of alarm callback + * functions. + */ + +struct alt_alarm_s +{ + alt_llist llist; /* linked list */ + alt_u32 time; /* time in system ticks of the callback */ + alt_u32 (*callback) (void* context); /* callback function. The return + * value is the period for the next callback; where + * zero indicates that the alarm should be removed + * from the list. + */ + alt_u8 rollover; /* set when desired alarm time + current time causes + overflow, to prevent premature alarm */ + void* context; /* Argument for the callback */ +}; + +/* + * "_alt_tick_rate" is a global variable used to store the system clock rate + * in ticks per second. This is initialised to zero, which coresponds to there + * being no system clock available. + * + * It is then set to it's final value by the system clock driver through a call + * to alt_sysclk_init(). + */ + +extern alt_u32 _alt_tick_rate; + +/* + * "_alt_nticks" is a global variable which records the elapsed number of + * system clock ticks since the last call to settimeofday() or since reset if + * settimeofday() has not been called. + */ + +extern volatile alt_u32 _alt_nticks; + +/* The list of registered alarms. */ + +extern alt_llist alt_alarm_list; + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_PRIV_ALARM_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_busy_sleep.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_busy_sleep.h new file mode 100644 index 0000000..a165e93 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_busy_sleep.h @@ -0,0 +1,35 @@ +#ifndef __ALT_BUSY_SLEEP_H +#define __ALT_BUSY_SLEEP_H + +/* + * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to + * deal in the Software without restriction, including without limitation the + * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or + * sell copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + */ + +/* + * The function alt_busy_sleep provides a busy loop implementation of usleep. + * This is used to provide usleep for the standalone HAL, or when the timer is + * unavailable in uC/OS-II. + */ + +extern unsigned int alt_busy_sleep (unsigned int us); + +#endif /* __ALT_BUSY_SLEEP_H */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_dev_llist.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_dev_llist.h new file mode 100644 index 0000000..0ab7a28 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_dev_llist.h @@ -0,0 +1,77 @@ +#ifndef __ALT_DEV_LLIST_H__ +#define __ALT_DEV_LLIST_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "sys/alt_llist.h" +#include "alt_types.h" + +/* + * This header provides the internal defenitions required to control file + * access. These variables and functions are not guaranteed to exist in + * future implementations of the HAL. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The alt_dev_llist is an internal structure used to form a common base + * class for all device types. The use of this structure allows common code + * to be used to manipulate the various device lists. + */ + +typedef struct { + alt_llist llist; + const char* name; +} alt_dev_llist; + +/* + * + */ + +extern int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list); + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_DEV_LLIST_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_exception_handler_registry.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_exception_handler_registry.h new file mode 100644 index 0000000..4502ea7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_exception_handler_registry.h @@ -0,0 +1,39 @@ +#ifndef __ALT_EXCEPTION_HANDLER_REGISTRY_H__ +#define __ALT_EXCEPTION_HANDLER_REGISTRY_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ +#include "sys/alt_exceptions.h" + +/* Function pointer to exception callback routine */ +extern alt_exception_result (*alt_instruction_exception_handler) + (alt_exception_cause, alt_u32, alt_u32); + +#endif /* __ALT_EXCEPTION_HANDLER_REGISTRY_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_file.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_file.h new file mode 100644 index 0000000..94007a6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_file.h @@ -0,0 +1,179 @@ +#ifndef __ALT_FILE_H__ +#define __ALT_FILE_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "sys/alt_dev.h" +#include "sys/alt_llist.h" +#include "os/alt_sem.h" + +#include "alt_types.h" + +/* + * This header provides the internal defenitions required to control file + * access. These variables and functions are not guaranteed to exist in + * future implementations of the HAL. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The function alt_find_dev() is used to search the device list "list" to + * locate a device named "name". If a match is found, then a pointer to the + * device is returned, otherwise NULL is returned. + */ + +extern alt_dev* alt_find_dev (const char* name, alt_llist* list); + +/* + * alt_find_file() is used to search the list of registered file systems to + * find the filesystem that the file named "name" belongs to. If a match is + * found, then a pointer to the filesystems alt_dev structure is returned, + * otherwise NULL is returned. + * + * Note that a match does not indicate that the file exists, only that a + * filesystem exists that is registered for a partition that could contain + * the file. The filesystems open() function would need to be called in order + * to determine if the file exists. + */ + +extern alt_dev* alt_find_file (const char* name); + +/* + * alt_get_fd() is used to allocate a file descriptor for the device or + * filesystem "dev". A negative return value indicates an error, otherwise the + * return value is the index of the file descriptor within the file descriptor + * pool. + */ + +extern int alt_get_fd (alt_dev* dev); + +/* + * alt_release_fd() is called to free the file descriptor with index "fd". + */ + +extern void alt_release_fd (int fd); + +/* + * alt_fd_lock() is called by ioctl() to mark the file descriptor "fd" as + * being open for exclusive access. Subsequent calls to open() for the device + * associated with "fd" will fail. A device is unlocked by either calling + * close() for "fd", or by an alternate call to ioctl() (see ioctl.c for + * details). + */ + +extern int alt_fd_lock (alt_fd* fd); + +/* + * alt_fd_unlock() is called by ioctl() to unlock a descriptor previously + * locked by a call to alt_fd_lock(). + */ + +extern int alt_fd_unlock (alt_fd* fd); + +/* + * "alt_fd_list" is the pool of file descriptors. + */ + +extern alt_fd alt_fd_list[]; + +/* + * flags used by alt_fd. + * + * ALT_FD_EXCL is used to mark a file descriptor as locked for exclusive + * access, i.e. further calls to open() for the associated device should + * fail. + * + * ALT_FD_DEV marks a dile descriptor as belonging to a device as oposed to a + * filesystem. + */ + +#define ALT_FD_EXCL 0x80000000 +#define ALT_FD_DEV 0x40000000 + +#define ALT_FD_FLAGS_MASK (ALT_FD_EXCL | ALT_FD_DEV) + +/* + * "alt_dev_list" is the head of the linked list of registered devices. + */ + +extern alt_llist alt_dev_list; + +/* + * "alt_fs_list" is the head of the linked list of registered filesystems. + */ + +extern alt_llist alt_fs_list; + +/* + * "alt_fd_list_lock" is a semaphore used to ensure that access to the pool + * of file descriptors is thread safe. + */ + +ALT_EXTERN_SEM(alt_fd_list_lock) + +/* + * "alt_max_fd" is a 'high water mark'. It indicates the highest file + * descriptor allocated. Use of this can save searching the entire pool + * for active file descriptors, which helps avoid contention on access + * to the file descriptor pool. + */ + +extern alt_32 alt_max_fd; + +/* + * alt_io_redirect() is called at startup to redirect stdout, stdin, and + * stderr to the devices named in the input arguments. By default these streams + * are directed at /dev/null, and are then redirected using this function once + * all of the devices have been registered within the system. + */ + +extern void alt_io_redirect(const char* stdout_dev, + const char* stdin_dev, + const char* stderr_dev); + + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_FILE_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_iic_isr_register.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_iic_isr_register.h new file mode 100644 index 0000000..6c53c86 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_iic_isr_register.h @@ -0,0 +1,39 @@ +#ifndef __ALT_IIC_ISR_REGISTER_H_ +#define __ALT_IIC_ISR_REGISTER_H_ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ +#include "alt_types.h" +#include "sys/alt_irq.h" + +extern int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, + void *isr_context, void *flags); + +#endif /* __ALT_IIC_ISR_REGISTER_H_ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_irq_table.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_irq_table.h new file mode 100644 index 0000000..5b4a787 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_irq_table.h @@ -0,0 +1,59 @@ +#ifndef __ALT_IRQ_TABLE_H__ +#define __ALT_IRQ_TABLE_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * Definition of a table describing each interrupt handler. The index into + * the array is the interrupt id associated with the handler. + * + * When an interrupt occurs, the associated handler is called with + * the argument stored in the context member. + * + * The table is physically created in alt_irq_handler.c + */ +extern struct ALT_IRQ_HANDLER +{ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + void (*handler)(void*); +#else + void (*handler)(void*, alt_u32); +#endif + void *context; +} alt_irq[ALT_NIRQ]; + +#endif diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_legacy_irq.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_legacy_irq.h new file mode 100644 index 0000000..0e19af2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_legacy_irq.h @@ -0,0 +1,158 @@ +#ifndef __ALT_LEGACY_IRQ_H__ +#define __ALT_LEGACY_IRQ_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * This file provides prototypes and inline implementations of certain routines + * used by the legacy interrupt API. Do not include this in your driver or + * application source files, use "sys/alt_irq.h" instead to access the proper + * public API. + */ + +#include +#include "system.h" + +#ifndef NIOS2_EIC_PRESENT + +#include "nios2.h" +#include "alt_types.h" + +#include "sys/alt_irq.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * alt_irq_register() can be used to register an interrupt handler. If the + * function is succesful, then the requested interrupt will be enabled upon + * return. + */ +extern int alt_irq_register (alt_u32 id, + void* context, + alt_isr_func handler); + +/* + * alt_irq_disable() disables the individual interrupt indicated by "id". + */ +static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) +{ + alt_irq_context status; + extern volatile alt_u32 alt_irq_active; + + status = alt_irq_disable_all (); + + alt_irq_active &= ~(1 << id); + NIOS2_WRITE_IENABLE (alt_irq_active); + + alt_irq_enable_all(status); + + return 0; +} + +/* + * alt_irq_enable() enables the individual interrupt indicated by "id". + */ +static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) +{ + alt_irq_context status; + extern volatile alt_u32 alt_irq_active; + + status = alt_irq_disable_all (); + + alt_irq_active |= (1 << id); + NIOS2_WRITE_IENABLE (alt_irq_active); + + alt_irq_enable_all(status); + + return 0; +} + +#ifndef ALT_EXCEPTION_STACK +/* + * alt_irq_initerruptable() should only be called from within an ISR. It is used + * to allow higer priority interrupts to interrupt the current ISR. The input + * argument, "priority", is the priority, i.e. interrupt number of the current + * interrupt. + * + * If this function is called, then the ISR is required to make a call to + * alt_irq_non_interruptible() before returning. The input argument to + * alt_irq_non_interruptible() is the return value from alt_irq_interruptible(). + * + * Care should be taken when using this pair of functions, since they increasing + * the system overhead associated with interrupt handling. + * + * If you are using an exception stack then nested interrupts won't work, so + * these functions are not available in that case. + */ +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_interruptible (alt_u32 priority) +{ + extern volatile alt_u32 alt_priority_mask; + extern volatile alt_u32 alt_irq_active; + + alt_u32 old_priority; + + old_priority = alt_priority_mask; + alt_priority_mask = (1 << priority) - 1; + + NIOS2_WRITE_IENABLE (alt_irq_active & alt_priority_mask); + + NIOS2_WRITE_STATUS (1); + + return old_priority; +} + +/* + * See Comments above for alt_irq_interruptible() for an explanation of the use of this + * function. + */ +static ALT_INLINE void ALT_ALWAYS_INLINE alt_irq_non_interruptible (alt_u32 mask) +{ + extern volatile alt_u32 alt_priority_mask; + extern volatile alt_u32 alt_irq_active; + + NIOS2_WRITE_STATUS (0); + + alt_priority_mask = mask; + + NIOS2_WRITE_IENABLE (mask & alt_irq_active); +} +#endif /* ALT_EXCEPTION_STACK */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* NIOS2_EIC_PRESENT */ + +#endif /* __ALT_LEGACY_IRQ_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_no_error.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_no_error.h new file mode 100644 index 0000000..06a036c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/alt_no_error.h @@ -0,0 +1,77 @@ +#ifndef __ALT_NO_ERROR_H__ +#define __ALT_NO_ERROR_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "alt_types.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * alt_no_error() is a dummy function used by alt_sem.h and alt_flag.h. It + * substitutes for functions that have a return code by creating a function + * that always returns zero. + * + * This may seem a little obscure, but what happens is that the compiler can + * then optomise away the call to this function, and any code written which + * handles the error path (i.e. non zero return values). + * + * This allows code to be written which correctly use the uC/OS-II semaphore + * and flag utilities, without the use of those utilities impacting on + * excutables built for a single threaded HAL environment. + * + * This function is considered to be part of the internal implementation of + * the HAL, and should not be called directly by application code or device + * drivers. It is not guaranteed to be preserved in future versions of the + * HAL. + */ + +static ALT_INLINE int ALT_ALWAYS_INLINE alt_no_error (void) +{ + return 0; +} + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_NO_ERROR_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/nios2_gmon_data.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/nios2_gmon_data.h new file mode 100644 index 0000000..4bc058d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/priv/nios2_gmon_data.h @@ -0,0 +1,47 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ +#ifndef NIOS2_GMON_DATA_H +#define NIOS2_GMON_DATA_H + +#define GMON_DATA_SIG 0 +#define GMON_DATA_WORDS 1 +#define GMON_DATA_PROFILE_DATA 2 +#define GMON_DATA_PROFILE_LOWPC 3 +#define GMON_DATA_PROFILE_HIGHPC 4 +#define GMON_DATA_PROFILE_BUCKET 5 +#define GMON_DATA_PROFILE_RATE 6 +#define GMON_DATA_MCOUNT_START 7 +#define GMON_DATA_MCOUNT_LIMIT 8 + +#define GMON_DATA_SIZE 9 + +extern unsigned int alt_gmon_data[GMON_DATA_SIZE]; + +#endif diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_alarm.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_alarm.h new file mode 100644 index 0000000..9093080 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_alarm.h @@ -0,0 +1,126 @@ +#ifndef __ALT_ALARM_H__ +#define __ALT_ALARM_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "alt_llist.h" +#include "alt_types.h" + +#include "priv/alt_alarm.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * "alt_alarm" is a structure type used by applications to register an alarm + * callback function. An instance of this type must be passed as an input + * argument to alt_alarm_start(). The user is not responsible for initialising + * the contents of the instance. This is done by alt_alarm_start(). + */ + +typedef struct alt_alarm_s alt_alarm; + +/* + * alt_alarm_start() can be called by an application/driver in order to register + * a function for periodic callback at the system clock frequency. Be aware that + * this callback is likely to occur in interrupt context. + */ + +extern int alt_alarm_start (alt_alarm* the_alarm, + alt_u32 nticks, + alt_u32 (*callback) (void* context), + void* context); + +/* + * alt_alarm_stop() is used to unregister a callback. Alternatively the callback + * can return zero to unregister. + */ + +extern void alt_alarm_stop (alt_alarm* the_alarm); + +/* + * Obtain the system clock rate in ticks/s. + */ + +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) +{ + return _alt_tick_rate; +} + +/* + * alt_sysclk_init() is intended to be only used by the system clock driver + * in order to initialise the value of the clock frequency. + */ + +static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) +{ + if (! _alt_tick_rate) + { + _alt_tick_rate = nticks; + return 0; + } + else + { + return -1; + } +} + +/* + * alt_nticks() returns the elapsed number of system clock ticks since reset. + */ + +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) +{ + return _alt_nticks; +} + +/* + * alt_tick() should only be called by the system clock driver. This is used + * to notify the system that the system timer period has expired. + */ + +extern void alt_tick (void); + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_ALARM_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_cache.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_cache.h new file mode 100644 index 0000000..44d976c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_cache.h @@ -0,0 +1,117 @@ +#ifndef __ALT_CACHE_H__ +#define __ALT_CACHE_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003, 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include + +#include "alt_types.h" + +/* + * alt_cache.h defines the processor specific functions for manipulating the + * cache. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * alt_icache_flush() is called to flush the instruction cache for a memory + * region of length "len" bytes, starting at address "start". + */ + +extern void alt_icache_flush (void* start, alt_u32 len); + +/* + * alt_dcache_flush() is called to flush the data cache for a memory + * region of length "len" bytes, starting at address "start". + * Any dirty lines in the data cache are written back to memory. + */ + +extern void alt_dcache_flush (void* start, alt_u32 len); + +/* + * alt_dcache_flush() is called to flush the data cache for a memory + * region of length "len" bytes, starting at address "start". + * Any dirty lines in the data cache are NOT written back to memory. + */ + +extern void alt_dcache_flush_no_writeback (void* start, alt_u32 len); + +/* + * Flush the entire instruction cache. + */ + +extern void alt_icache_flush_all (void); + +/* + * Flush the entire data cache. + */ + +extern void alt_dcache_flush_all (void); + +/* + * Allocate a block of uncached memory. + */ + +extern volatile void* alt_uncached_malloc (size_t size); + +/* + * Free a block of uncached memory. + */ + +extern void alt_uncached_free (volatile void* ptr); + +/* + * Convert a pointer to a block of cached memory, into a block of + * uncached memory. + */ + +extern volatile void* alt_remap_uncached (void* ptr, alt_u32 len); + +/* + * Convert a pointer to a block of uncached memory, into a block of + * cached memory. + */ + +extern void* alt_remap_cached (volatile void* ptr, alt_u32 len); + +/* + * + */ + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_CACHE_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_debug.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_debug.h new file mode 100644 index 0000000..af509d8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_debug.h @@ -0,0 +1,45 @@ +#ifndef __ALT_DEBUG_H__ +#define __ALT_DEBUG_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * The ALT_DEVUG_ASSERT macro can be used to generate a debugger break + * from within software. The break is generated if "condition" evaluates to + * false. + */ + +#define ALT_DEBUG_ASSERT(condition) if (!condition) \ +{ \ + __asm__ volatile ("break"); \ +} + +#endif /* __ALT_DEBUG_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dev.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dev.h new file mode 100644 index 0000000..d96327e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dev.h @@ -0,0 +1,115 @@ +#ifndef __ALT_DEV_H__ +#define __ALT_DEV_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "system.h" +#include "sys/alt_llist.h" +#include "priv/alt_dev_llist.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The value ALT_IRQ_NOT_CONNECTED is used to represent an unconnected + * interrupt line. It cannot evaluate to a valid interrupt number. + */ + +#define ALT_IRQ_NOT_CONNECTED (-1) + +typedef struct alt_dev_s alt_dev; + +struct stat; + +/* + * The file descriptor structure definition. + */ + +typedef struct alt_fd_s +{ + alt_dev* dev; + alt_u8* priv; + int fd_flags; +} alt_fd; + +/* + * The device structure definition. + */ + +struct alt_dev_s { + alt_llist llist; /* for internal use */ + const char* name; + int (*open) (alt_fd* fd, const char* name, int flags, int mode); + int (*close) (alt_fd* fd); + int (*read) (alt_fd* fd, char* ptr, int len); + int (*write) (alt_fd* fd, const char* ptr, int len); + int (*lseek) (alt_fd* fd, int ptr, int dir); + int (*fstat) (alt_fd* fd, struct stat* buf); + int (*ioctl) (alt_fd* fd, int req, void* arg); +}; + +/* + * Functions used to register device for access through the C standard + * library. + * + * The only difference between alt_dev_reg() and alt_fs_reg() is the + * interpretation that open() places on the device name. In the case of + * alt_dev_reg the device is assumed to be a particular character device, + * and so there must be an exact match in the name for open to succeed. + * In the case of alt_fs_reg() the name of the device is treated as the + * mount point for a directory, and so any call to open() where the name + * is the root of the device filename will succeed. + */ + +extern int alt_fs_reg (alt_dev* dev); + +static ALT_INLINE int alt_dev_reg (alt_dev* dev) +{ + extern alt_llist alt_dev_list; + + return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); +} + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_DEV_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dma.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dma.h new file mode 100644 index 0000000..88dcda0 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dma.h @@ -0,0 +1,226 @@ +#ifndef __ALT_DMA_H__ +#define __ALT_DMA_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "sys/alt_dma_dev.h" +#include "alt_types.h" + +#include + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * This header contains the application side interface for accessing DMA + * resources. See alt_dma_dev.h for the dma device driver interface. + * + * The interface model treats a DMA transaction as being composed of two + * halves (read and write). + * + * The application can supply data for transmit using an "alt_dma_txchan" + * descriptor. Alternatively an "alt_dma_rxchan" descriptor can be used to + * receive data. + */ + +/* + * alt_dma_txchan_open() is used to obtain an "alt_dma_txchan" descriptor for + * a DMA transmit device. The name is the name of the associated physical + * device (e.g. "/dev/dma_0"). + * + * The return value will be NULL on failure, and non-NULL otherwise. + */ + +extern alt_dma_txchan alt_dma_txchan_open (const char* name); + +/* + * alt_dma_txchan_close() is provided so that an application can notify the + * system that it has finished with a given DMA transmit channel. This is only + * provided for completness. + */ + +static ALT_INLINE int alt_dma_txchan_close (alt_dma_txchan dma) +{ + return 0; +} + +/* + * alt_dma_txchan_send() posts a transmit request to a DMA transmit channel. + * The input arguments are: + * + * dma: the channel to use. + * from: a pointer to the start of the data to send. + * length: the length of the data to send in bytes. + * done: callback function that will be called once the data has been sent. + * handle: opaque value passed to "done". + * + * The return value will be negative if the request cannot be posted, and + * zero otherwise. + */ + +static ALT_INLINE int alt_dma_txchan_send (alt_dma_txchan dma, + const void* from, + alt_u32 length, + alt_txchan_done* done, + void* handle) +{ + return dma ? dma->dma_send (dma, + from, + length, + done, + handle) : -ENODEV; +} + +/* + * alt_dma_txchan_space() returns the number of tranmit requests that can be + * posted to the specified DMA transmit channel. + * + * A negative value indicates that the value could not be determined. + */ + +static ALT_INLINE int alt_dma_txchan_space (alt_dma_txchan dma) +{ + return dma ? dma->space (dma) : -ENODEV; +} + +/* + * alt_dma_txchan_ioctl() can be used to perform device specific I/O + * operations on the indicated DMA transmit channel. For example some drivers + * support options to control the width of the transfer operations. See + * alt_dma_dev.h for the list of generic requests. + * + * A negative return value indicates failure, otherwise the interpretation + * of the return value is request specific. + */ + +static ALT_INLINE int alt_dma_txchan_ioctl (alt_dma_txchan dma, + int req, + void* arg) +{ + return dma ? dma->ioctl (dma, req, arg) : -ENODEV; +} + +/* + * alt_dma_rxchan_open() is used to obtain an "alt_dma_rxchan" descriptor for + * a DMA receive channel. The name is the name of the associated physical + * device (e.g. "/dev/dma_0"). + * + * The return value will be NULL on failure, and non-NULL otherwise. + */ + +extern alt_dma_rxchan alt_dma_rxchan_open (const char* dev); + +/* + * alt_dma_rxchan_close() is provided so that an application can notify the + * system that it has finished with a given DMA receive channel. This is only + * provided for completness. + */ + +static ALT_INLINE int alt_dma_rxchan_close (alt_dma_rxchan dma) +{ + return 0; +} + +/* + * + */ + +/* + * alt_dma_rxchan_prepare() posts a receive request to a DMA receive channel. + * + * The input arguments are: + * + * dma: the channel to use. + * data: a pointer to the location that data is to be received to. + * len: the maximum length of the data to receive. + * done: callback function that will be called once the data has been + * received. + * handle: opaque value passed to "done". + * + * The return value will be negative if the request cannot be posted, and + * zero otherwise. + */ + +static ALT_INLINE int alt_dma_rxchan_prepare (alt_dma_rxchan dma, + void* data, + alt_u32 len, + alt_rxchan_done* done, + void* handle) +{ + return dma ? dma->prepare (dma, data, len, done, handle) : -ENODEV; +} + +/* + * alt_dma_rxchan_ioctl() can be used to perform device specific I/O + * operations on the indicated DMA receive channel. For example some drivers + * support options to control the width of the transfer operations. See + * alt_dma_dev.h for the list of generic requests. + * + * A negative return value indicates failure, otherwise the interpretation + * of the return value is request specific. + */ + +static ALT_INLINE int alt_dma_rxchan_ioctl (alt_dma_rxchan dma, + int req, + void* arg) +{ + return dma ? dma->ioctl (dma, req, arg) : -ENODEV; +} + +/* + * alt_dma_rxchan_depth() returns the depth of the receive FIFO used to store + * receive requests. + */ + +static ALT_INLINE alt_u32 alt_dma_rxchan_depth(alt_dma_rxchan dma) +{ + return dma->depth; +} + +/* + * + */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_DMA_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dma_dev.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dma_dev.h new file mode 100644 index 0000000..65063bd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_dma_dev.h @@ -0,0 +1,200 @@ +#ifndef __ALT_DMA_DEV_H__ +#define __ALT_DMA_DEV_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "priv/alt_dev_llist.h" + +#include "alt_types.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * This header contains the device driver interface for accessing DMA + * resources. See alt_dma.h for the DMA application side interface. + * + * The interface model treats a DMA transaction as being composed of two + * halves (read and write). + * + * An "alt_dma_txchan_dev" is used to describe the device associated with a + * DMA transmit channel. An "alt_dma_rxchan_dev" is used to describe the + * device associated with a DMA receive channel. + */ + +/* + * List of generic ioctl requests that may be supported by a DMA device. + * + * ALT_DMA_RX_ONLY_ON: This causes a DMA channel to operate in a mode + * where only the receiver is under software control. + * The other side reads continously from a single + * location. The address to read is the argument to + * this request. + * ALT_DMA_RX_ONLY_OFF: Return to the default mode where both the receive + * and transmit sides of the DMA can be under software + * control. + * ALT_DMA_TX_ONLY_ON: This causes a DMA channel to operate in a mode + * where only the transmitter is under software control. + * The other side writes continously to a single + * location. The address to write to is the argument to + * this request. + * ALT_DMA_TX_ONLY_OFF: Return to the default mode where both the receive + * and transmit sides of the DMA can be under software + * control. + * ALT_DMA_SET_MODE_8: Transfer data in units of 8 bits. + * ALT_DMA_SET_MODE_16: Transfer data in units of 16 bits. + * ALT_DMA_SET_MODE_32: Transfer data in units of 32 bits. + * ALT_DMA_SET_MODE_64: Transfer data in units of 64 bits. + * ALT_DMA_SET_MODE_128: Transfer data in units of 128 bits. + * ALT_DMA_GET_MODE: Get the current transfer mode. + * + * The use of the macros: ALT_DMA_TX_STREAM_ON, ALT_DMA_TX_STREAM_OFF + * ALT_DMA_RX_STREAM_OFF and ALT_DMA_RX_STREAM_ON are depreciated. You should + * instead use the macros: ALT_DMA_RX_ONLY_ON, ALT_DMA_RX_ONLY_OFF, + * ALT_DMA_TX_ONLY_ON and ALT_DMA_TX_ONLY_OFF. + */ + +#define ALT_DMA_TX_STREAM_ON (0x1) +#define ALT_DMA_TX_STREAM_OFF (0x2) +#define ALT_DMA_RX_STREAM_ON (0x3) +#define ALT_DMA_RX_STREAM_OFF (0x4) +#define ALT_DMA_SET_MODE_8 (0x5) +#define ALT_DMA_SET_MODE_16 (0x6) +#define ALT_DMA_SET_MODE_32 (0x7) +#define ALT_DMA_SET_MODE_64 (0x8) +#define ALT_DMA_SET_MODE_128 (0x9) +#define ALT_DMA_GET_MODE (0xa) + +#define ALT_DMA_RX_ONLY_ON ALT_DMA_TX_STREAM_ON +#define ALT_DMA_RX_ONLY_OFF ALT_DMA_TX_STREAM_OFF +#define ALT_DMA_TX_ONLY_ON ALT_DMA_RX_STREAM_ON +#define ALT_DMA_TX_ONLY_OFF ALT_DMA_RX_STREAM_OFF + +/* + * + */ + +typedef struct alt_dma_txchan_dev_s alt_dma_txchan_dev; +typedef struct alt_dma_rxchan_dev_s alt_dma_rxchan_dev; + +typedef alt_dma_txchan_dev* alt_dma_txchan; +typedef alt_dma_rxchan_dev* alt_dma_rxchan; + +typedef void (alt_txchan_done)(void* handle); +typedef void (alt_rxchan_done)(void* handle, void* data); + +/* + * devices that provide a DMA transmit channel are required to provide an + * instance of the "alt_dma_txchan_dev" structure. + */ + +struct alt_dma_txchan_dev_s { + alt_llist llist; /* for internal use */ + const char* name; /* name of the device instance + * (e.g. "/dev/dma_0"). + */ + int (*space) (alt_dma_txchan dma); /* returns the maximum number of + * transmit requests that can be posted + */ + int (*dma_send) (alt_dma_txchan dma, + const void* from, + alt_u32 len, + alt_txchan_done* done, + void* handle); /* post a transmit request */ + int (*ioctl) (alt_dma_txchan dma, int req, void* arg); /* perform device + * specific I/O control. + */ +}; + +/* + * devices that provide a DMA receive channel are required to provide an + * instance of the "alt_dma_rxchan_dev" structure. + */ + +struct alt_dma_rxchan_dev_s { + alt_llist list; /* for internal use */ + const char* name; /* name of the device instance + * (e.g. "/dev/dma_0"). + */ + alt_u32 depth; /* maximum number of receive requests that + * can be posted. + */ + int (*prepare) (alt_dma_rxchan dma, + void* data, + alt_u32 len, + alt_rxchan_done* done, + void* handle); /* post a receive request */ + int (*ioctl) (alt_dma_rxchan dma, int req, void* arg); /* perform device + * specific I/O control. + */ +}; + +/* + * Register a DMA transmit channel with the system. + */ + +static ALT_INLINE int alt_dma_txchan_reg (alt_dma_txchan_dev* dev) +{ + extern alt_llist alt_dma_txchan_list; + + return alt_dev_llist_insert((alt_dev_llist*) dev, &alt_dma_txchan_list); +} + +/* + * Register a DMA receive channel with the system. + */ + +static ALT_INLINE int alt_dma_rxchan_reg (alt_dma_rxchan_dev* dev) +{ + extern alt_llist alt_dma_rxchan_list; + + return alt_dev_llist_insert((alt_dev_llist*) dev, &alt_dma_rxchan_list); +} + +/* + * + */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_DMA_DEV_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_driver.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_driver.h new file mode 100644 index 0000000..ca7aea1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_driver.h @@ -0,0 +1,168 @@ +#ifndef __ALT_DRIVER_H__ +#define __ALT_DRIVER_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/* + * Macros used to access a driver without HAL file descriptors. + */ + +/* + * ALT_MODULE_CLASS + * + * This macro returns the module class name for the specified module instance. + * It uses information in the system.h file. + * Neither the instance name or class name are quoted (so that they can + * be used with other pre-processor macros). + * + * Example: + * Assume the design has an instance of an altera_avalon_uart called uart1. + * Calling ALT_MODULE_CLASS(uart1) returns altera_avalon_uart. + */ + +#define ALT_MODULE_CLASS(instance) ALT_MODULE_CLASS_ ## instance + + +/* + * ALT_DRIVER_FUNC_NAME + * + * --> instance Instance name. + * --> func Function name. + * + * This macro returns the device driver function name of the specified + * module instance for the specified function name. + * + * Example: + * Assume the design has an instance of an altera_avalon_uart called uart1. + * Calling ALT_DRIVER_FUNC_NAME(uart1, write) returns + * altera_avalon_uart_write. + */ + +#define ALT_DRIVER_FUNC_NAME(instance, func) \ + ALT_DRIVER_FUNC_NAME1(ALT_MODULE_CLASS(instance), func) +#define ALT_DRIVER_FUNC_NAME1(module_class, func) \ + ALT_DRIVER_FUNC_NAME2(module_class, func) +#define ALT_DRIVER_FUNC_NAME2(module_class, func) \ + module_class ## _ ## func + +/* + * ALT_DRIVER_STATE_STRUCT + * + * --> instance Instance name. + * + * This macro returns the device driver state type name of the specified + * module instance. + * + * Example: + * Assume the design has an instance of an altera_avalon_uart called uart1. + * Calling ALT_DRIVER_STATE_STRUCT(uart1) returns: + * struct altera_avalon_uart_state_s + * + * Note that the ALT_DRIVER_FUNC_NAME macro is used even though "state" isn't + * really a function but it does match the required naming convention. + */ +#define ALT_DRIVER_STATE_STRUCT(instance) \ + struct ALT_DRIVER_FUNC_NAME(instance, state_s) + +/* + * ALT_DRIVER_STATE + * + * --> instance Instance name. + * + * This macro returns the device driver state name of the specified + * module instance. + * + * Example: + * Assume the design has an instance of an altera_avalon_uart called uart1. + * Calling ALT_DRIVER_STATE(uart1) returns uart1. + */ +#define ALT_DRIVER_STATE(instance) instance + +/* + * ALT_DRIVER_WRITE + * + * --> instance Instance name. + * --> buffer Write buffer. + * --> len Length of write buffer data. + * --> flags Control flags (e.g. O_NONBLOCK) + * + * This macro calls the "write" function of the specified driver instance. + */ + +#define ALT_DRIVER_WRITE_EXTERNS(instance) \ + extern ALT_DRIVER_STATE_STRUCT(instance) ALT_DRIVER_STATE(instance); \ + extern int ALT_DRIVER_FUNC_NAME(instance, write) \ + (ALT_DRIVER_STATE_STRUCT(instance) *, const char *, int, int); + +#define ALT_DRIVER_WRITE(instance, buffer, len, flags) \ + ALT_DRIVER_FUNC_NAME(instance, write)(&ALT_DRIVER_STATE(instance), buffer, len, flags) + + +/* + * ALT_DRIVER_READ + * + * --> instance Instance name. + * <-- buffer Read buffer. + * --> len Length of read buffer. + * --> flags Control flags (e.g. O_NONBLOCK) + * + * This macro calls the "read" function of the specified driver instance. + */ + +#define ALT_DRIVER_READ_EXTERNS(instance) \ + extern ALT_DRIVER_STATE_STRUCT(instance) ALT_DRIVER_STATE(instance); \ + extern int ALT_DRIVER_FUNC_NAME(instance, read) \ + (ALT_DRIVER_STATE_STRUCT(instance) *, const char *, int, int); + +#define ALT_DRIVER_READ(instance, buffer, len, flags) \ + ALT_DRIVER_FUNC_NAME(instance, read)(&ALT_DRIVER_STATE(instance), buffer, len, flags) + +/* + * ALT_DRIVER_IOCTL + * + * --> instance Instance name. + * --> req ioctl request (e.g. TIOCSTIMEOUT) + * --> arg Optional argument (void*) + * + * This macro calls the "ioctl" function of the specified driver instance + */ + +#define ALT_DRIVER_IOCTL_EXTERNS(instance) \ + extern ALT_DRIVER_STATE_STRUCT(instance) ALT_DRIVER_STATE(instance); \ + extern int ALT_DRIVER_FUNC_NAME(instance, ioctl) \ + (ALT_DRIVER_STATE_STRUCT(instance) *, int, void*); + +#define ALT_DRIVER_IOCTL(instance, req, arg) \ + ALT_DRIVER_FUNC_NAME(instance, ioctl)(&ALT_DRIVER_STATE(instance), req, arg) + +#endif /* __ALT_DRIVER_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_errno.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_errno.h new file mode 100644 index 0000000..23e3096 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_errno.h @@ -0,0 +1,87 @@ +#ifndef __ALT_ERRNO_H__ +#define __ALT_ERRNO_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * errno is defined in so that it uses the thread local version + * stored in the location pointed to by "_impure_ptr". This means that the + * accesses to errno within the HAL library can cause the entirety of + * of the structure pointed to by "_impure_ptr" to be added to the + * users application. This can be undesirable in very small footprint systems. + * + * To avoid this happening, the HAL uses the macro ALT_ERRNO, defined below, + * to access errno, rather than accessing it directly. This macro will only + * use the thread local version if some other code has already caused it to be + * included into the system, otherwise it will use the global errno value. + * + * This causes a slight increases in code size where errno is accessed, but + * can lead to significant overall benefits in very small systems. The + * increase is inconsequential when compared to the size of the structure + * pointed to by _impure_ptr. + * + * Note that this macro accesses __errno() using an externally declared + * function pointer (alt_errno). This is done so that the function call uses the + * subroutine call instruction via a register rather than an immediate address. + * This is important in the case that the code has been linked for a high + * address, but __errno() is not being used. In this case the weak linkage + * would have resulted in the instruction: "call 0" which would fail to link. + */ + +extern int* (*alt_errno) (void); + +/* Must define this so that values such as EBADFD are defined in errno.h. */ +#define __LINUX_ERRNO_EXTENSIONS__ + +#include + +#include "alt_types.h" + +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + return ((alt_errno) ? alt_errno() : &errno); +} + +#define ALT_ERRNO *alt_get_errno() + +#endif /* __ALT_ERRNO_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_exceptions.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_exceptions.h new file mode 100644 index 0000000..b6b82e2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_exceptions.h @@ -0,0 +1,127 @@ +#ifndef __ALT_EXCEPTIONS_H__ +#define __ALT_EXCEPTIONS_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ +#include "alt_types.h" +#include "system.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * This file defines instruction-generated exception handling and registry + * API, exception type enumeration, and handler return value enumeration for + * Nios II. + */ + +/* + * The following enumeration describes the value in the CPU EXCEPTION + * register CAUSE bit field. Not all exception types will cause the + * processor to go to the exception vector; these are provided for + * reference. + */ +enum alt_exception_cause_e { + /* Exeption causes that will cause jump to exception vector */ + NIOS2_EXCEPTION_INTERRUPT = 2, + NIOS2_EXCEPTION_TRAP_INST = 3, + NIOS2_EXCEPTION_UNIMPLEMENTED_INST = 4, + NIOS2_EXCEPTION_ILLEGAL_INST = 5, + NIOS2_EXCEPTION_MISALIGNED_DATA_ADDR = 6, + NIOS2_EXCEPTION_MISALIGNED_TARGET_PC = 7, + NIOS2_EXCEPTION_DIVISION_ERROR = 8, + NIOS2_EXCEPTION_SUPERVISOR_ONLY_INST_ADDR = 9, + NIOS2_EXCEPTION_SUPERVISOR_ONLY_INST = 10, + NIOS2_EXCEPTION_SUPERVISOR_ONLY_DATA_ADDR = 11, + NIOS2_EXCEPTION_TLB_MISS = 12, + NIOS2_EXCEPTION_TLB_EXECUTE_PERM_VIOLATION = 13, + NIOS2_EXCEPTION_MPU_INST_REGION_VIOLATION = 16, + + /* Exception causes that will NOT cause a jump to exception vector */ + NIOS2_EXCEPTION_RESET = 0, + NIOS2_EXCEPTION_CPU_ONLY_RESET_REQUEST = 1, + NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION = 14, + NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION = 15, + NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION = 17, + /* + * This value is passed to an exception handler's cause argument if + * "extra exceptions" information (EXECPTION) register is not + * present in the processor hardware configuration. + */ + NIOS2_EXCEPTION_CAUSE_NOT_PRESENT = -1 +}; +typedef enum alt_exception_cause_e alt_exception_cause; + +/* + * These define valid return values for a user-defined instruction-generated + * exception handler. The handler should return one of these to indicate + * whether to re-issue the instruction that triggered the exception, or to + * skip it. + */ +enum alt_exception_result_e { + NIOS2_EXCEPTION_RETURN_REISSUE_INST = 0, + NIOS2_EXCEPTION_RETURN_SKIP_INST = 1 +}; +typedef enum alt_exception_result_e alt_exception_result; + +/* + * alt_instruction_exception_register() can be used to register an exception + * handler for instruction-generated exceptions that are not handled by the + * built-in exception handler (i.e. for interrupts). + * + * The registry API is optionally enabled through the "Enable + * Instruction-related Exception API" HAL BSP setting, which will + * define the macro below. + */ +#ifdef ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API +void alt_instruction_exception_register ( + alt_exception_result (*exception_handler)( + alt_exception_cause cause, + alt_u32 exception_pc, + alt_u32 bad_addr) ); +#endif /*ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API */ + +/* + * alt_exception_cause_generated_bad_addr() indicates whether a particular + * exception cause value was from an exception-type that generated a valid + * address in the BADADDR register. The contents of BADADDR is passed to + * a user-registered exception handler in all cases, whether valid or not. + * This routine should be called to validate the bad_addr argument to + * your exception handler. + */ +int alt_exception_cause_generated_bad_addr(alt_exception_cause cause); + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_EXCEPTIONS_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash.h new file mode 100644 index 0000000..7e903a8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash.h @@ -0,0 +1,166 @@ +#ifndef __ALT_FLASH_H__ +#define __ALT_FLASH_H__ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/****************************************************************************** +* * +* Alt_flash.h - User interface for flash code * +* * +* Use this interface to avoid being exposed to the internals of the device * +* driver architecture. If you chose to use the flash driver internal * +* structures we don't guarantee not to change them * +* * +* Author PRR * +* * +******************************************************************************/ + + + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +#include "alt_types.h" +#include "alt_flash_types.h" +#include "alt_flash_dev.h" +#include "sys/alt_cache.h" + +alt_flash_fd* alt_flash_open_dev(const char* name); +void alt_flash_close_dev(alt_flash_fd* fd ); + +/* + * alt_write_flash + * + * Program a buffer into flash. + * + * This routine erases all the affected erase blocks (if necessary) + * and then programs the data. However it does not read the data out first + * and preserve and none overwritten data, because this would require very + * large buffers on the target. If you need + * that functionality use the functions below. + */ +static __inline__ int __attribute__ ((always_inline)) alt_write_flash( + alt_flash_fd* fd, + int offset, + const void* src_addr, + int length ) +{ + return fd->write( fd, offset, src_addr, length ); +} + +/* + * alt_read_flash + * + * Read a block of flash for most flashes this is just memcpy + * it's here for completeness in case we need it for some serial flash device + * + */ +static __inline__ int __attribute__ ((always_inline)) alt_read_flash( + alt_flash_fd* fd, int offset, + void* dest_addr, int length ) +{ + return fd->read( fd, offset, dest_addr, length ); +} + +/* + * alt_get_flash_info + * + * Return the information on the flash sectors. + * + */ +static __inline__ int __attribute__ ((always_inline)) alt_get_flash_info( + alt_flash_fd* fd, flash_region** info, + int* number_of_regions) +{ + return fd->get_info( fd, info, number_of_regions); +} + +/* + * alt_erase_flash_block + * + * Erase a particular erase block, pass in the offset to the start of + * the block and it's size + */ +static __inline__ int __attribute__ ((always_inline)) alt_erase_flash_block( + alt_flash_fd* fd, int offset, int length) +{ + int ret_code; + ret_code = fd->erase_block( fd, offset ); + + if(!ret_code) + alt_dcache_flush((alt_u8*)fd->base_addr + offset, length); + + return ret_code; +} + +/* + * alt_write_flash_block + * + * Write a particular flash block, block_offset is the offset + * (from the base of flash) to start of the block + * data_offset is the offset (from the base of flash) + * where you wish to start programming + * + * NB this function DOES NOT check that you are only writing a single + * block of data as that would slow down this function. + * + * Use alt_write_flash if you want that level of error checking. + */ + +static __inline__ int __attribute__ ((always_inline)) alt_write_flash_block( + alt_flash_fd* fd, int block_offset, + int data_offset, + const void *data, int length) +{ + + int ret_code; + ret_code = fd->write_block( fd, block_offset, data_offset, data, length ); + + if(!ret_code) + alt_dcache_flush((alt_u8*)fd->base_addr + data_offset, length); + + return ret_code; +} + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_FLASH_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash_dev.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash_dev.h new file mode 100644 index 0000000..1c5692e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash_dev.h @@ -0,0 +1,98 @@ +#ifndef __ALT_FLASH_DEV_H__ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/****************************************************************************** +* * +* Alt_flash_dev.h - Generic Flash device interfaces * +* * +* Author PRR * +* * +******************************************************************************/ +#define __ALT_FLASH_DEV_H__ + +#include "alt_flash_types.h" +#include "sys/alt_llist.h" +#include "priv/alt_dev_llist.h" + +#include "alt_types.h" + +typedef struct alt_flash_dev alt_flash_dev; +typedef alt_flash_dev alt_flash_fd; + +static ALT_INLINE int alt_flash_device_register( alt_flash_fd* fd) +{ + extern alt_llist alt_flash_dev_list; + + return alt_dev_llist_insert ((alt_dev_llist*) fd, &alt_flash_dev_list); +} + +typedef alt_flash_dev* (*alt_flash_open)(alt_flash_dev* flash, + const char* name ); +typedef int (*alt_flash_close)(alt_flash_dev* flash_info); + +typedef int (*alt_flash_write)( alt_flash_dev* flash, int offset, + const void* src_addr, int length ); + +typedef int (*alt_flash_get_flash_info)( alt_flash_dev* flash, flash_region** info, + int* number_of_regions); +typedef int (*alt_flash_write_block)( alt_flash_dev* flash, int block_offset, + int data_offset, const void* data, + int length); +typedef int (*alt_flash_erase_block)( alt_flash_dev* flash, int offset); +typedef int (*alt_flash_read)(alt_flash_dev* flash, int offset, + void* dest_addr, int length ); + +struct alt_flash_dev +{ + alt_llist llist; + const char* name; + alt_flash_open open; + alt_flash_close close; + alt_flash_write write; + alt_flash_read read; + alt_flash_get_flash_info get_info; + alt_flash_erase_block erase_block; + alt_flash_write_block write_block; + void* base_addr; + int length; + int number_of_regions; + flash_region region_info[ALT_MAX_NUMBER_OF_FLASH_REGIONS]; +}; + +#endif /* __ALT_FLASH_DEV_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash_types.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash_types.h new file mode 100644 index 0000000..10f1f01 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_flash_types.h @@ -0,0 +1,64 @@ +#ifndef __ALT_FLASH_TYPES_H__ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/****************************************************************************** +* * +* Alt_flash_types.h - Some generic types and defines used by the flash code * +* * +* Author PRR * +* * +******************************************************************************/ +#define __ALT_FLASH_TYPES_H__ + +#ifndef ALT_MAX_NUMBER_OF_FLASH_REGIONS +#define ALT_MAX_NUMBER_OF_FLASH_REGIONS 8 +#endif /* ALT_MAX_NUMBER_OF_FLASH_REGIONS */ + +/* + * Description of a single Erase region + */ +typedef struct flash_region +{ + int offset; + int region_size; + int number_of_blocks; + int block_size; +}flash_region; + +#endif /* __ALT_FLASH_TYPES_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_irq.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_irq.h new file mode 100644 index 0000000..96c010d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_irq.h @@ -0,0 +1,245 @@ +#ifndef __ALT_IRQ_H__ +#define __ALT_IRQ_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * alt_irq.h is the Nios II specific implementation of the interrupt controller + * interface. + * + * Nios II includes optional support for an external interrupt controller. + * When an external controller is present, the "Enhanced" interrupt API + * must be used to manage individual interrupts. The enhanced API also + * supports the processor's internal interrupt controller. Certain API + * members are accessible from either the "legacy" or "enhanced" interrpt + * API. + * + * Regardless of which API is in use, this file should be included by + * application code and device drivers that register ISRs or manage interrpts. + */ +#include + +#include "nios2.h" +#include "alt_types.h" +#include "system.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * Macros used by alt_irq_enabled + */ +#define ALT_IRQ_ENABLED 1 +#define ALT_IRQ_DISABLED 0 + +/* + * Number of available interrupts in internal interrupt controller. + */ +#define ALT_NIRQ NIOS2_NIRQ + +/* + * Used by alt_irq_disable_all() and alt_irq_enable_all(). + */ +typedef int alt_irq_context; + +/* ISR Prototype */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +typedef void (*alt_isr_func)(void* isr_context); +#else +typedef void (*alt_isr_func)(void* isr_context, alt_u32 id); +#endif + +/* + * The following protypes and routines are supported by both + * the enhanced and legacy interrupt APIs + */ + +/* + * alt_irq_enabled can be called to determine if the processor's global + * interrupt enable is asserted. The return value is zero if interrupts + * are disabled, and non-zero otherwise. + * + * Whether the internal or external interrupt controller is present, + * individual interrupts may still be disabled. Use the other API to query + * a specific interrupt. + */ +static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enabled (void) +{ + int status; + + NIOS2_READ_STATUS (status); + + return status & NIOS2_STATUS_PIE_MSK; +} + +/* + * alt_irq_disable_all() + * + * This routine inhibits all interrupts by negating the status register PIE + * bit. It returns the previous contents of the CPU status register (IRQ + * context) which can be used to restore the status register PIE bit to its + * state before this routine was called. + */ +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + + return context; +} + +/* + * alt_irq_enable_all() + * + * Enable all interrupts that were previously disabled by alt_irq_disable_all() + * + * This routine accepts a context to restore the CPU status register PIE bit + * to the state prior to a call to alt_irq_disable_all(). + + * In the case of nested calls to alt_irq_disable_all()/alt_irq_enable_all(), + * this means that alt_irq_enable_all() does not necessarily re-enable + * interrupts. + * + * This routine will perform a read-modify-write sequence to restore only + * status.PIE if the processor is configured with options that add additional + * writeable status register bits. These include the MMU, MPU, the enhanced + * interrupt controller port, and shadow registers. Otherwise, as a performance + * enhancement, status is overwritten with the prior context. + */ +static ALT_INLINE void ALT_ALWAYS_INLINE + alt_irq_enable_all (alt_irq_context context) +{ +#if (NIOS2_NUM_OF_SHADOW_REG_SETS > 0) || (defined NIOS2_EIC_PRESENT) || \ + (defined NIOS2_MMU_PRESENT) || (defined NIOS2_MPU_PRESENT) + alt_irq_context status; + + NIOS2_READ_STATUS (status); + + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); +#endif +} + +/* + * The function alt_irq_init() is defined within the auto-generated file + * alt_sys_init.c. This function calls the initilization macros for all + * interrupt controllers in the system at config time, before any other + * non-interrupt controller driver is initialized. + * + * The "base" parameter is ignored and only present for backwards-compatibility. + * It is recommended that NULL is passed in for the "base" parameter. + */ +extern void alt_irq_init (const void* base); + +/* + * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. + */ +static ALT_INLINE void ALT_ALWAYS_INLINE + alt_irq_cpu_enable_interrupts () +{ + NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK +#if defined(NIOS2_EIC_PRESENT) && (NIOS2_NUM_OF_SHADOW_REG_SETS > 0) + | NIOS2_STATUS_RSIE_MSK +#endif + ); +} + + +/* + * Prototypes for the enhanced interrupt API. + */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +/* + * alt_ic_isr_register() can be used to register an interrupt handler. If the + * function is succesful, then the requested interrupt will be enabled upon + * return. + */ +extern int alt_ic_isr_register(alt_u32 ic_id, + alt_u32 irq, + alt_isr_func isr, + void *isr_context, + void *flags); + +/* + * alt_ic_irq_enable() and alt_ic_irq_disable() enable/disable a specific + * interrupt by using IRQ port and interrupt controller instance. + */ +int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq); +int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq); + + /* + * alt_ic_irq_enabled() indicates whether a specific interrupt, as + * specified by IRQ port and interrupt controller instance is enabled. + */ +alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq); + +#else +/* + * Prototypes for the legacy interrupt API. + */ +#include "priv/alt_legacy_irq.h" +#endif + + +/* + * alt_irq_pending() returns a bit list of the current pending interrupts. + * This is used by alt_irq_handler() to determine which registered interrupt + * handlers should be called. + * + * This routine is only available for the Nios II internal interrupt + * controller. + */ +#ifndef NIOS2_EIC_PRESENT +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) +{ + alt_u32 active; + + NIOS2_READ_IPENDING (active); + + return active; +} +#endif + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_IRQ_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_irq_entry.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_irq_entry.h new file mode 100644 index 0000000..549811c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_irq_entry.h @@ -0,0 +1,39 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * This file pulls in the IRQ entry assembler and C code, which is only + * required if there are any interruptes in the system. + */ + +__asm__( "\n\t.globl alt_irq_entry" ); + +__asm__( "\n\t.globl alt_irq_handler" ); + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_license_reminder_ucosii.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_license_reminder_ucosii.h new file mode 100644 index 0000000..1d1f16f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_license_reminder_ucosii.h @@ -0,0 +1,77 @@ +#ifndef __ALT_LICENSE_REMINDER_UCOSII_H__ +#define __ALT_LICENSE_REMINDER_UCOSII_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include + +#define ALT_LICENSE_REMINDER_UCOSII_STRING \ + "============== Software License Reminder ===============\n" \ + "\n" \ + "uC/OS-II is provided in source form for FREE evaluation,\n" \ + "for educational use, or for peaceful research. If you\n" \ + "plan on using uC/OS-II in a commercial product you need\n" \ + "to contact Micrium to properly license its use in your\n" \ + "product. Micrium provides ALL the source code on the\n" \ + "Altera distribution for your convenience and to help you\n" \ + "experience uC/OS-II. The fact that the source is provided\n" \ + "does NOT mean that you can use it without paying a\n" \ + "licensing fee. Please help us continue to provide the\n" \ + "Embedded community with the finest software available.\n" \ + "Your honesty is greatly appreciated.\n" \ + "\n" \ + "Please contact:\n" \ + "\n" \ + "M I C R I U M\n" \ + "949 Crestview Circle\n" \ + "Weston, FL 33327-1848\n" \ + "U.S.A.\n" \ + "\n" \ + "Phone : +1 954 217 2036\n" \ + "FAX : +1 954 217 2037\n" \ + "WEB : www.micrium.com\n" \ + "E-mail: Sales@Micrium.com\n" \ + "\n" \ + "========================================================\n" + +#define alt_license_reminder_ucosii() puts(ALT_LICENSE_REMINDER_UCOSII_STRING) + + +#endif /* __ALT_LICENSE_REMINDER_UCOSII_H__ */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_llist.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_llist.h new file mode 100644 index 0000000..84cb051 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_llist.h @@ -0,0 +1,123 @@ +#ifndef __ALT_LIST_H__ +#define __ALT_LIST_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "alt_types.h" + +/* + * alt_llist.h defines structures and functions for use in manipulating linked + * lists. A list is considered to be constructed from a chain of objects of + * type alt_llist, with one object being defined to be the head element. + * + * A list is considered to be empty if it only contains the head element. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * alt_llist is the structure used to represent an element within a linked + * list. + */ + +typedef struct alt_llist_s alt_llist; + +struct alt_llist_s { + alt_llist* next; /* Pointer to the next element in the list. */ + alt_llist* previous; /* Pointer to the previous element in the list. */ +}; + +/* + * ALT_LLIST_HEAD is a macro that can be used to create the head of a new + * linked list. This is named "head". The head element is initialised to + * represent an empty list. + */ + +#define ALT_LLIST_HEAD(head) alt_llist head = {&head, &head} + +/* + * ALT_LLIST_ENTRY is a macro used to define an uninitialised linked list + * entry. This is used to reserve space in structure initialisation for + * structures that inherit form alt_llist. + */ + +#define ALT_LLIST_ENTRY {0, 0} + +/* + * alt_llist_insert() insert adds the linked list entry "entry" as the + * first entry in the linked list "list". "list" is the list head element. + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, + alt_llist* entry) +{ + entry->previous = list; + entry->next = list->next; + + list->next->previous = entry; + list->next = entry; +} + +/* + * alt_llist_remove() is called to remove an element from a linked list. The + * input argument is the element to remove. + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) +{ + entry->next->previous = entry->previous; + entry->previous->next = entry->next; + + /* + * Set the entry to point to itself, so that any further calls to + * alt_llist_remove() are harmless. + */ + + entry->previous = entry; + entry->next = entry; +} + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_LLIST_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_load.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_load.h new file mode 100644 index 0000000..e4c4c46 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_load.h @@ -0,0 +1,78 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "alt_types.h" + +/* + * This macro is used to load code/data from its load address to its + * execution address for a given section. The section name is the input + * argument. Note that a leading '.' is assumed in the name. For example + * to load the section .onchip_ram, use: + * + * ALT_LOAD_SECTION_BY_NAME(onchip_ram); + * + * This requires that the apropriate linker symbols have been generated + * for the section in question. This will be the case if you are using the + * default linker script. + */ + +#define ALT_LOAD_SECTION_BY_NAME(name) \ + { \ + extern void _alt_partition_##name##_start; \ + extern void _alt_partition_##name##_end; \ + extern void _alt_partition_##name##_load_addr; \ + \ + alt_load_section(&_alt_partition_##name##_load_addr, \ + &_alt_partition_##name##_start, \ + &_alt_partition_##name##_end); \ + } + +/* + * Function used to load an individual section from flash to RAM. + * + * There is an implicit assumption here that the linker script will ensure + * that all sections are word aligned. + * + */ + +static void ALT_INLINE alt_load_section (alt_u32* from, + alt_u32* to, + alt_u32* end) +{ + if (to != from) + { + while( to != end ) + { + *to++ = *from++; + } + } +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_log_printf.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_log_printf.h new file mode 100644 index 0000000..9890091 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_log_printf.h @@ -0,0 +1,349 @@ +/* alt_log_printf.h + * + * ALT_LOG is designed to provide extra logging/debugging messages from HAL + * through a different port than stdout. It is enabled by the ALT_LOG_ENABLE + * define, which needs to supplied at compile time. When logging is turned off, + * code size is unaffected. Thus, this should be transparent to the user + * when it is not actively turned on, and should not affect projects in any way. + * + * There are macros sprinkled within different components, such as the jtag uart + * and timer, in the HAL code. They are always named ALT_LOG_, and can be + * safely ignored if ALT_LOG is turned off. + * + * To turn on ALT_LOG, ALT_LOG_ENABLE must be defined, and ALT_LOG_PORT_TYPE and + * ALT_LOG_PORT_BASE must be set in system.h. This is done through editing + * .ptf, by editing the alt_log_port_type & alt_log_port_base settings. + * See the documentation html file for examples. + * + * When it is turned on, it will output extra HAL messages to a port specified + * in system.h. This can be a UART or JTAG UART port. By default it will + * output boot messages, detailing every step of the boot process. + * + * Extra logging is designed to be enabled by flags, which are defined in + * alt_log_printf.c. The default value is that all flags are off, so only the + * boot up logging messages show up. ALT_LOG_FLAGS can be set to enable certain + * groupings of flags, and that grouping is done in this file. Each flag can + * also be overridden with a -D at compile time. + * + * This header file includes the necessary prototypes for using the alt_log + * functions. It also contains all the macros that are used to remove the code + * from alt log is turned off. Also, the macros in other HAL files are defined + * here at the bottom. These macros all call some C function that is in + * alt_log_printf.c. + * + * The logging has functions for printing in C (ALT_LOG_PRINTF) and in assembly + * (ALT_LOG_PUTS). This was needed because the assembly printing occurs before + * the device is initialized. The assembly function corrupts register R4-R7, + * which are not used in the normal boot process. For this reason, do not call + * the assembly function in C. + * + * author: gkwan + */ + + +#ifndef __ALT_LOG_PRINTF_H__ +#define __ALT_LOG_PRINTF_H__ + +#include + +/* Global switch to turn on logging functions */ +#ifdef ALT_LOG_ENABLE + + /* ALT_LOG_PORT_TYPE values as defined in system.h. They are defined as + * numbers here first becasue the C preprocessor does not handle string + * comparisons. */ + #define ALTERA_AVALON_JTAG_UART 1 + #define ALTERA_AVALON_UART 0 + + /* If this .h file is included by an assembly file, skip over include files + * that won't compile in assembly. */ + #ifndef ALT_ASM_SRC + #include + #include "sys/alt_alarm.h" + #include "sys/alt_dev.h" + #ifdef __ALTERA_AVALON_JTAG_UART + #include "altera_avalon_jtag_uart.h" + #endif + #endif /* ALT_ASM_SRC */ + + /* These are included for the port register offsets and masks, needed + * to write to the port. Only include if the port type is set correctly, + * otherwise error. If alt_log is turned on and the port to output to is + * incorrect or does not exist, then should exit. */ + #if ALT_LOG_PORT_TYPE == ALTERA_AVALON_JTAG_UART + #ifdef __ALTERA_AVALON_JTAG_UART + #include + #else + #error ALT_LOG: JTAG_UART port chosen, but no JTAG_UART in system. + #endif + #elif ALT_LOG_PORT_TYPE == ALTERA_AVALON_UART + #ifdef __ALTERA_AVALON_UART + #include + #else + #error ALT_LOG: UART Port chosen, but no UART in system. + #endif + #else + #error ALT_LOG: alt_log_port_type declaration invalid! + #endif + + /* ALT_LOG_ENABLE turns on the basic printing function */ + #define ALT_LOG_PRINTF(...) do {alt_log_printf_proc(__VA_ARGS__);} while (0) + + /* Assembly macro for printing in assembly, calls tx_log_str + * which is in alt_log_macro.S. + * If alt_log_boot_on_flag is 0, skips the printing */ + #define ALT_LOG_PUTS(str) movhi r4, %hiadj(alt_log_boot_on_flag) ; \ + addi r4, r4, %lo(alt_log_boot_on_flag) ; \ + ldwio r5, 0(r4) ; \ + beq r0, r5, 0f ; \ + movhi r4, %hiadj(str) ; \ + addi r4, r4, %lo(str) ; \ + call tx_log_str ; \ + 0: + + /* These defines are here to faciliate the use of one output function + * (alt_log_txchar) to print to both the JTAG UART or the UART. Depending + * on the port type, the status register, read mask, and output register + * are set to the appropriate value for the port. */ + #if ALT_LOG_PORT_TYPE == ALTERA_AVALON_JTAG_UART + #define ALT_LOG_PRINT_REG_RD IORD_ALTERA_AVALON_JTAG_UART_CONTROL + #define ALT_LOG_PRINT_MSK ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK + #define ALT_LOG_PRINT_TXDATA_WR IOWR_ALTERA_AVALON_JTAG_UART_DATA + #define ALT_LOG_PRINT_REG_OFFSET (ALTERA_AVALON_JTAG_UART_CONTROL_REG*0x4) + #define ALT_LOG_PRINT_TXDATA_REG_OFFSET (ALTERA_AVALON_JTAG_UART_DATA_REG*0x4) + #elif ALT_LOG_PORT_TYPE == ALTERA_AVALON_UART + #define ALT_LOG_PRINT_REG_RD IORD_ALTERA_AVALON_UART_STATUS + #define ALT_LOG_PRINT_MSK ALTERA_AVALON_UART_STATUS_TRDY_MSK + #define ALT_LOG_PRINT_TXDATA_WR IOWR_ALTERA_AVALON_UART_TXDATA + #define ALT_LOG_PRINT_REG_OFFSET (ALTERA_AVALON_UART_STATUS_REG*0x4) + #define ALT_LOG_PRINT_TXDATA_REG_OFFSET (ALTERA_AVALON_UART_TXDATA_REG*0x4) + #endif /* ALT_LOG_PORT */ + + /* Grouping of flags via ALT_LOG_FLAGS. Each specific flag can be set via + * -D at compile time, or else they'll be set to a default value according + * to ALT_LOG_FLAGS. ALT_LOG_FLAGS = 0 or not set is the default, where + * only the boot messages will be printed. As ALT_LOG_FLAGS increase, they + * increase in intrusiveness to the program, and will affect performance. + * + * Flag Level 1 - turns on system clock and JTAG UART startup status + * 2 - turns on write echo and JTAG_UART alarm (periodic report) + * 3 - turns on JTAG UART ISR logging - will slow performance + * significantly. + * -1 - All logging output is off, but if ALT_LOG_ENABLE is + * defined all logging function is built and code size + * remains constant + * + * Flag settings - 1 = on, 0 = off. */ + + /* This flag turns on "boot" messages for printing. This includes messages + * during crt0.S, then alt_main, and finally alt_exit. */ + #ifndef ALT_LOG_BOOT_ON_FLAG_SETTING + #if ALT_LOG_FLAGS == 1 + #define ALT_LOG_BOOT_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 2 + #define ALT_LOG_BOOT_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 3 + #define ALT_LOG_BOOT_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == -1 /* silent mode */ + #define ALT_LOG_BOOT_ON_FLAG_SETTING 0x0 + #else /* default setting */ + #define ALT_LOG_BOOT_ON_FLAG_SETTING 0x1 + #endif + #endif /* ALT_LOG_BOOT_ON_FLAG_SETTING */ + + #ifndef ALT_LOG_SYS_CLK_ON_FLAG_SETTING + #if ALT_LOG_FLAGS == 1 + #define ALT_LOG_SYS_CLK_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 2 + #define ALT_LOG_SYS_CLK_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 3 + #define ALT_LOG_SYS_CLK_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == -1 /* silent mode */ + #define ALT_LOG_SYS_CLK_ON_FLAG_SETTING 0x0 + #else /* default setting */ + #define ALT_LOG_SYS_CLK_ON_FLAG_SETTING 0x0 + #endif + #endif /* ALT_LOG_SYS_CLK_ON_FLAG_SETTING */ + + #ifndef ALT_LOG_WRITE_ON_FLAG_SETTING + #if ALT_LOG_FLAGS == 1 + #define ALT_LOG_WRITE_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 2 + #define ALT_LOG_WRITE_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 3 + #define ALT_LOG_WRITE_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == -1 /* silent mode */ + #define ALT_LOG_WRITE_ON_FLAG_SETTING 0x0 + #else /* default setting */ + #define ALT_LOG_WRITE_ON_FLAG_SETTING 0x0 + #endif + #endif /* ALT_LOG_WRITE_ON_FLAG_SETTING */ + + #ifndef ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING + #ifndef __ALTERA_AVALON_JTAG_UART + #define ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 1 + #define ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 2 + #define ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 3 + #define ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == -1 /* silent mode */ + #define ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING 0x0 + #else /* default setting */ + #define ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING 0x0 + #endif + #endif /* ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING */ + + #ifndef ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING + #ifndef __ALTERA_AVALON_JTAG_UART + #define ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 1 + #define ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 2 + #define ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == 3 + #define ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == -1 /* silent mode */ + #define ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING 0x0 + #else /* default setting */ + #define ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING 0x0 + #endif + #endif /* ALT_LOG_JTAG_UART_STARTUP_INFO_FLAG_SETTING */ + + #ifndef ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING + #ifndef __ALTERA_AVALON_JTAG_UART + #define ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 1 + #define ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 2 + #define ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING 0x0 + #elif ALT_LOG_FLAGS == 3 + #define ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING 0x1 + #elif ALT_LOG_FLAGS == -1 /* silent mode */ + #define ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING 0x0 + #else /* default setting */ + #define ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING 0x0 + #endif + #endif /* ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING */ + +#ifndef ALT_ASM_SRC + /* Function Prototypes */ + void alt_log_txchar(int c,char *uartBase); + void alt_log_private_printf(const char *fmt,int base,va_list args); + void alt_log_repchar(char c,int r,int base); + int alt_log_printf_proc(const char *fmt, ... ); + void alt_log_system_clock(); + #ifdef __ALTERA_AVALON_JTAG_UART + alt_u32 altera_avalon_jtag_uart_report_log(void * context); + void alt_log_jtag_uart_startup_info(altera_avalon_jtag_uart_state* dev, int base); + void alt_log_jtag_uart_print_control_reg(altera_avalon_jtag_uart_state* dev, \ + int base, const char* header); + void alt_log_jtag_uart_isr_proc(int base, altera_avalon_jtag_uart_state* dev); + #endif + void alt_log_write(const void *ptr, size_t len); + + /* extern all global variables */ + extern volatile alt_u32 alt_log_boot_on_flag; + extern volatile alt_u8 alt_log_write_on_flag; + extern volatile alt_u8 alt_log_sys_clk_on_flag; + extern volatile alt_u8 alt_log_jtag_uart_alarm_on_flag; + extern volatile alt_u8 alt_log_jtag_uart_isr_on_flag; + extern volatile alt_u8 alt_log_jtag_uart_startup_info_on_flag; + extern volatile int alt_log_sys_clk_count; + extern volatile int alt_system_clock_in_sec; + extern alt_alarm alt_log_jtag_uart_alarm_1; +#endif /* ALT_ASM_SRC */ + + + /* Below are the MACRO defines used in various HAL files. They check + * if their specific flag is turned on; if it is, then it executes its + * code. + * + * To keep this file reasonable, most of these macros calls functions, + * which are defined in alt_log_printf.c. Look there for implementation + * details. */ + + /* Boot Messages Logging */ + #define ALT_LOG_PRINT_BOOT(...) \ + do { if (alt_log_boot_on_flag==1) {ALT_LOG_PRINTF(__VA_ARGS__);} \ + } while (0) + + /* JTAG UART Logging */ + /* number of ticks before alarm runs logging function */ + #ifndef ALT_LOG_JTAG_UART_TICKS_DIVISOR + #define ALT_LOG_JTAG_UART_TICKS_DIVISOR 10 + #endif + #ifndef ALT_LOG_JTAG_UART_TICKS + #define ALT_LOG_JTAG_UART_TICKS \ + (alt_ticks_per_second()/ALT_LOG_JTAG_UART_TICKS_DIVISOR) + #endif + + /* if there's a JTAG UART defined, then enable these macros */ + #ifdef __ALTERA_AVALON_JTAG_UART + + /* Macro in altera_avalon_jtag_uart.c, to register the alarm function. + * Also, the startup register info is also printed here, as this is + * called within the device driver initialization. */ + #define ALT_LOG_JTAG_UART_ALARM_REGISTER(dev, base) \ + do { if (alt_log_jtag_uart_alarm_on_flag==1) { \ + alt_alarm_start(&alt_log_jtag_uart_alarm_1, \ + ALT_LOG_JTAG_UART_TICKS, &altera_avalon_jtag_uart_report_log,\ + dev);} \ + if (alt_log_jtag_uart_startup_info_on_flag==1) {\ + alt_log_jtag_uart_startup_info(dev, base);} \ + } while (0) + + /* JTAG UART IRQ Logging (when buffer is empty) + * Inserted in the ISR in altera_avalon_jtag_uart.c */ + #define ALT_LOG_JTAG_UART_ISR_FUNCTION(base, dev) \ + do { alt_log_jtag_uart_isr_proc(base, dev); } while (0) + /* else, define macros to nothing. Or else the jtag_uart specific types + * will throw compiler errors */ + #else + #define ALT_LOG_JTAG_UART_ALARM_REGISTER(dev, base) + #define ALT_LOG_JTAG_UART_ISR_FUNCTION(base, dev) + #endif + + /* System clock logging + * How often (in seconds) the system clock logging prints. + * The default value is every 1 second */ + #ifndef ALT_LOG_SYS_CLK_INTERVAL_MULTIPLIER + #define ALT_LOG_SYS_CLK_INTERVAL_MULTIPLIER 1 + #endif + #ifndef ALT_LOG_SYS_CLK_INTERVAL + #define ALT_LOG_SYS_CLK_INTERVAL \ + (alt_ticks_per_second()*ALT_LOG_SYS_CLK_INTERVAL_MULTIPLIER) + #endif + + /* System clock logging - prints a message every interval (set above) + * to show that the system clock is alive. + * This macro is used in altera_avalon_timer_sc.c */ + #define ALT_LOG_SYS_CLK_HEARTBEAT() \ + do { alt_log_system_clock(); } while (0) + + /* alt_write_logging - echos a message every time write() is called, + * displays the first ALT_LOG_WRITE_ECHO_LEN characters. + * This macro is used in alt_write.c */ + #ifndef ALT_LOG_WRITE_ECHO_LEN + #define ALT_LOG_WRITE_ECHO_LEN 15 + #endif + + #define ALT_LOG_WRITE_FUNCTION(ptr,len) \ + do { alt_log_write(ptr,len); } while (0) + +#else /* ALT_LOG_ENABLE not defined */ + + /* logging is off, set all relevant macros to null */ + #define ALT_LOG_PRINT_BOOT(...) + #define ALT_LOG_PRINTF(...) + #define ALT_LOG_JTAG_UART_ISR_FUNCTION(base, dev) + #define ALT_LOG_JTAG_UART_ALARM_REGISTER(dev, base) + #define ALT_LOG_SYS_CLK_HEARTBEAT() + #define ALT_LOG_PUTS(str) + #define ALT_LOG_WRITE_FUNCTION(ptr,len) + +#endif /* ALT_LOG_ENABLE */ + +#endif /* __ALT_LOG_PRINTF_H__ */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_set_args.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_set_args.h new file mode 100644 index 0000000..a9372c5 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_set_args.h @@ -0,0 +1,71 @@ +#ifndef __ALT_SET_ARGS_H__ +#define __ALT_SET_ARGS_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The function alt_set_args() is provided in order to define the input + * arguments to main(). If this function is not called before main() then the + * argument list passed to main() will be empty. + * + * It is expected that this function will only be used by the ihost/iclient + * utility. + */ + +static inline void alt_set_args (int argc, char** argv, char** envp) +{ + extern int alt_argc; + extern char** alt_argv; + extern char** alt_envp; + + alt_argc = argc; + alt_argv = argv; + alt_envp = envp; +} + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_SET_ARGS_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sim.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sim.h new file mode 100644 index 0000000..63afad9 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sim.h @@ -0,0 +1,91 @@ +#ifndef __ALT_SIM_H__ +#define __ALT_SIM_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ +#include "system.h" +#include "alt_types.h" + +/* + * Instructions that might mean something special to a simulator. + * These have no special effect on real hardware (they are just nops). + */ +#define ALT_SIM_FAIL() \ + do { __asm volatile ("cmpltui r0, r0, 0xabc1"); } while (0) + +#define ALT_SIM_PASS() \ + do { __asm volatile ("cmpltui r0, r0, 0xabc2"); } while (0) + +#define ALT_SIM_IN_TOP_OF_HOT_LOOP() \ + do { __asm volatile ("cmpltui r0, r0, 0xabc3"); } while (0) + +/* + * Routine called on exit. + */ +static ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) +{ + int r2 = exit_code; + +#if defined(NIOS2_HAS_DEBUG_STUB) && (defined(ALT_BREAK_ON_EXIT) || defined(ALT_PROVIDE_GMON)) + + int r3 = (1 << 2); + +#ifdef ALT_PROVIDE_GMON + extern unsigned int alt_gmon_data[]; + int r4 = (int)alt_gmon_data; + r3 |= (1 << 4); +#define ALT_GMON_DATA ,"D04"(r4) +#else +#define ALT_GMON_DATA +#endif /* ALT_PROVIDE_GMON */ + + if (r2) { + ALT_SIM_FAIL(); + } else { + ALT_SIM_PASS(); + } + + __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ + + __asm__ volatile ("break 2" : : "D02"(r2), "D03"(r3) ALT_GMON_DATA ); + +#else /* !DEBUG_STUB */ + if (r2) { + ALT_SIM_FAIL(); + } else { + ALT_SIM_PASS(); + } +#endif /* DEBUG_STUB */ +} + +#define ALT_SIM_HALT(exit_code) \ + alt_sim_halt(exit_code) + +#endif /* __ALT_SIM_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_stack.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_stack.h new file mode 100644 index 0000000..ebcad7a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_stack.h @@ -0,0 +1,126 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALT_STACK_H__ +#define __ALT_STACK_H__ + +/* + * alt_stack.h is the nios2 specific implementation of functions used by the + * stack overflow code. + */ + +#include "nios2.h" + +#include "alt_types.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + + +extern char * alt_stack_limit_value; + +#ifdef ALT_EXCEPTION_STACK +extern char __alt_exception_stack_pointer[]; /* set by the linker */ +#endif /* ALT_EXCEPTION_STACK */ + + +/* + * alt_stack_limit can be called to determine the current value of the stack + * limit register. + */ + +static ALT_INLINE char * ALT_ALWAYS_INLINE alt_stack_limit (void) +{ + char * limit; + NIOS2_READ_ET(limit); + + return limit; +} + +/* + * alt_stack_pointer can be called to determine the current value of the stack + * pointer register. + */ + +static ALT_INLINE char * ALT_ALWAYS_INLINE alt_stack_pointer (void) +{ + char * pointer; + NIOS2_READ_SP(pointer); + + return pointer; +} + + +#ifdef ALT_EXCEPTION_STACK + +/* + * alt_exception_stack_pointer returns the normal stack pointer from + * where it is stored on the exception stack (uppermost 4 bytes). This + * is really only useful during exception processing, and is only + * available if a separate exception stack has been configured. + */ + +static ALT_INLINE char * ALT_ALWAYS_INLINE alt_exception_stack_pointer (void) +{ + return (char *) *(alt_u32 *)(__alt_exception_stack_pointer - sizeof(alt_u32)); +} + +#endif /* ALT_EXCEPTION_STACK */ + + +/* + * alt_set_stack_limit can be called to update the current value of the stack + * limit register. + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_set_stack_limit (char * limit) +{ + alt_stack_limit_value = limit; + NIOS2_WRITE_ET(limit); +} + +/* + * alt_report_stack_overflow reports that a stack overflow happened. + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_report_stack_overflow (void) +{ + NIOS2_REPORT_STACK_OVERFLOW(); +} + + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_STACK_H__ */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_stdio.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_stdio.h new file mode 100644 index 0000000..7ae05d4 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_stdio.h @@ -0,0 +1,62 @@ +#ifndef __ALT_STDIO_H__ +#define __ALT_STDIO_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * Definitions for ALT stdio routines. + */ + +#include + + +#ifdef __cplusplus +extern "C" { +#endif + +int alt_getchar(); +int alt_putchar(int c); +int alt_putstr(const char* str); +void alt_printf(const char *fmt, ...); + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_STDIO_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sys_init.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sys_init.h new file mode 100644 index 0000000..3b18059 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sys_init.h @@ -0,0 +1,62 @@ +#ifndef __ALT_SYS_INIT_H__ +#define __ALT_SYS_INIT_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The function alt_sys_init() is defined within the auto-generated file: + * alt_sys_init.c. This function calls the initilisation macros for all + * devices, file systems, and software components within the system. + * + * The list of initilisation macros to use is constructed using the PTF and + * STF files associated with the system. + */ + +extern void alt_sys_init (void); + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_SYS_INIT_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sys_wrappers.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sys_wrappers.h new file mode 100644 index 0000000..eea552d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_sys_wrappers.h @@ -0,0 +1,100 @@ +#ifndef __ALT_SYS_WRAPPERS_H__ +#define __ALT_SYS_WRAPPERS_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * This file provides the prototypes for the HAL 'UNIX style functions. The + * names of these functions are defined in alt_syscall.h. THese are defined to + * be the standard names when running the standalone HAL, e.g. open(), close() + * etc., but the names may be redefined as a part of an operating system port + * in order to avoid name clashes. + */ + +#include "os/alt_syscall.h" + +#include +#include +#include +#include +#include +#include + +extern int ALT_CLOSE (int __fd); +extern int ALT_EXECVE (const char *__path, + char * const __argv[], + char * const __envp[]); +extern void ALT_EXIT (int __status); +extern int ALT_FSTAT (int file, struct stat *st); +extern int ALT_FCNTL (int file, int cmd, ...); +extern pid_t ALT_FORK (void); +extern pid_t ALT_GETPID (void); + +#if defined (__GNUC__) && __GNUC__ >= 4 +extern int ALT_GETTIMEOFDAY (struct timeval *ptimeval, + void *ptimezone); +#else +extern int ALT_GETTIMEOFDAY (struct timeval *ptimeval, + struct timezone *ptimezone); +#endif + +extern int ALT_IOCTL (int file, int req, void* arg); +extern int ALT_ISATTY (int file); +extern int ALT_KILL (int pid, int sig); +extern int ALT_LINK (const char *existing, const char *new); +extern off_t ALT_LSEEK (int file, off_t ptr, int dir); +extern int ALT_OPEN (const char* file, int flags, ...); +extern int ALT_READ (int file, void *ptr, size_t len); +extern int ALT_RENAME (char *existing, char *new); +extern void* ALT_SBRK (ptrdiff_t incr); +extern int ALT_SETTIMEOFDAY (const struct timeval *t, + const struct timezone *tz); +extern int ALT_STAT (const char *file, struct stat *st); +extern clock_t ALT_TIMES (struct tms *buf); +extern int ALT_UNLINK (const char *name); + +#if defined (__GNUC__) && __GNUC__ >= 4 +int ALT_USLEEP (useconds_t us); +#else +unsigned int ALT_USLEEP (unsigned int us); +#endif + +extern int ALT_WAIT (int *status); +extern int ALT_WRITE (int file, const void *ptr, size_t len); + + +extern char** ALT_ENVIRON; + +/* + * + */ + +#endif /* __ALT_SYS_WRAPPERS_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_timestamp.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_timestamp.h new file mode 100644 index 0000000..ec704ba --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_timestamp.h @@ -0,0 +1,60 @@ +#ifndef __ALT_TIMESTAMP_H__ +#define __ALT_TIMESTAMP_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#include "alt_types.h" +#include "altera_avalon_timer.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +extern int alt_timestamp_start (void); + +extern alt_timestamp_type alt_timestamp (void); + +extern alt_u32 alt_timestamp_freq (void); + +#ifdef __cplusplus +} +#endif + +#endif /* __ALT_TIMESTAMP_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_warning.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_warning.h new file mode 100644 index 0000000..01318bd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/alt_warning.h @@ -0,0 +1,75 @@ +#ifndef __WARNING_H__ +#define __WARNING_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* + * alt_warning.h provides macro definitions that can be used to generate link + * time warnings. + */ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The symbol "__alt_invalid" is used to force a link error. There should be + * no corresponding implementation of this function. + */ + +extern void __alt_invalid (void); + +#define ALT_LINK_WARNING(symbol, msg) \ + __asm__(".ifndef __evoke_link_warning_" #symbol \ + "\n\t .section .gnu.warning." #symbol \ + "\n__evoke_link_warning_" #symbol ":\n\t .string \x22" msg "\x22 \n\t .previous" \ + "\n .endif"); + +/* A canned warning for sysdeps/stub functions. */ + +#define ALT_STUB_WARNING(name) \ + ALT_LINK_WARNING (name, \ + "warning: " #name " is not implemented and will always fail") + +#define ALT_OBSOLETE_FUNCTION_WARNING(name) \ + ALT_LINK_WARNING (name, \ + "warning: " #name " is a deprecated function") + +#define ALT_LINK_ERROR(msg) \ + ALT_LINK_WARNING (__alt_invalid, msg); \ + __alt_invalid() + +#ifdef __cplusplus +} +#endif + +#endif /* __WARNING_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/ioctl.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/ioctl.h new file mode 100644 index 0000000..453283b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/ioctl.h @@ -0,0 +1,90 @@ +#ifndef __IOCTL_H__ +#define __IOCTL_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The ioctl() system call be used to initiate a variety of control operations + * on a file descriptor. For the most part this simply translates to a call to + * the ioctl() function of the associated device driver (TIOCEXCL and + * TIOCNXCL are notable exceptions - see ioctl.c for details). + * + * The interpretation of the ioctl requests are therefore device specific. + * + * This function is equivalent to the standard Posix ioctl() call. + */ + +extern int ioctl (int fd, int req, void* arg); + +/* + * list of ioctl calls handled by the system ioctl implementation. + */ + +#define TIOCEXCL 0x740d /* exclusive use of the device */ +#define TIOCNXCL 0x740e /* allow multiple use of the device */ + +/* + * ioctl calls which can be handled by device drivers. + */ + +#define TIOCOUTQ 0x7472 /* get output queue size */ +#define TIOCMGET 0x741d /* get termios flags */ +#define TIOCMSET 0x741a /* set termios flags */ + +/* + * ioctl calls specific to JTAG UART. + */ + +#define TIOCSTIMEOUT 0x6a01 /* Set Timeout before assuming no host present */ +#define TIOCGCONNECTED 0x6a02 /* Get indication of whether host is connected */ + +/* + * + */ + +#ifdef __cplusplus +} +#endif + +#endif /* __IOCTL_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/termios.h b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/termios.h new file mode 100644 index 0000000..d271387 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/inc/sys/termios.h @@ -0,0 +1,181 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT. * +* * +******************************************************************************/ + +/* + * This is the termios.h file provided with newlib. The only modification has + * been to the baud rate macro definitions, and an increase in the size of the + * termios structure to accomodate this. + */ + + +#ifndef _SYS_TERMIOS_H +# define _SYS_TERMIOS_H + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +# define _XCGETA (('x'<<8)|1) +# define _XCSETA (('x'<<8)|2) +# define _XCSETAW (('x'<<8)|3) +# define _XCSETAF (('x'<<8)|4) +# define _TCSBRK (('T'<<8)|5) +# define _TCFLSH (('T'<<8)|7) +# define _TCXONC (('T'<<8)|6) + +# define TCOOFF 0 +# define TCOON 1 +# define TCIOFF 2 +# define TCION 3 + +# define TCIFLUSH 0 +# define TCOFLUSH 1 +# define TCIOFLUSH 2 + +# define NCCS 13 + +# define TCSAFLUSH _XCSETAF +# define TCSANOW _XCSETA +# define TCSADRAIN _XCSETAW +# define TCSADFLUSH _XCSETAF + +# define IGNBRK 000001 +# define BRKINT 000002 +# define IGNPAR 000004 +# define INPCK 000020 +# define ISTRIP 000040 +# define INLCR 000100 +# define IGNCR 000200 +# define ICRNL 000400 +# define IXON 002000 +# define IXOFF 010000 + +# define OPOST 000001 +# define OCRNL 000004 +# define ONLCR 000010 +# define ONOCR 000020 +# define TAB3 014000 + +# define CLOCAL 004000 +# define CREAD 000200 +# define CSIZE 000060 +# define CS5 0 +# define CS6 020 +# define CS7 040 +# define CS8 060 +# define CSTOPB 000100 +# define HUPCL 002000 +# define PARENB 000400 +# define PAODD 001000 + +#define CCTS_OFLOW 010000 +#define CRTS_IFLOW 020000 +#define CRTSCTS (CCTS_OFLOW | CRTS_IFLOW) + +# define ECHO 0000010 +# define ECHOE 0000020 +# define ECHOK 0000040 +# define ECHONL 0000100 +# define ICANON 0000002 +# define IEXTEN 0000400 /* anybody know *what* this does?! */ +# define ISIG 0000001 +# define NOFLSH 0000200 +# define TOSTOP 0001000 + +# define VEOF 4 /* also VMIN -- thanks, AT&T */ +# define VEOL 5 /* also VTIME -- thanks again */ +# define VERASE 2 +# define VINTR 0 +# define VKILL 3 +# define VMIN 4 /* also VEOF */ +# define VQUIT 1 +# define VSUSP 10 +# define VTIME 5 /* also VEOL */ +# define VSTART 11 +# define VSTOP 12 + +# define B0 0 +# define B50 50 +# define B75 75 +# define B110 110 +# define B134 134 +# define B150 150 +# define B200 200 +# define B300 300 +# define B600 600 +# define B1200 1200 +# define B1800 1800 +# define B2400 2400 +# define B4800 4800 +# define B9600 9600 +# define B19200 19200 +# define B38400 38400 +# define B57600 57600 +# define B115200 115200 + +typedef unsigned char cc_t; +typedef unsigned short tcflag_t; +typedef unsigned long speed_t; + +struct termios { + tcflag_t c_iflag; + tcflag_t c_oflag; + tcflag_t c_cflag; + tcflag_t c_lflag; + char c_line; + cc_t c_cc[NCCS]; + speed_t c_ispeed; + speed_t c_ospeed; +}; + +# ifndef _NO_MACROS + +# define cfgetospeed(tp) ((tp)->c_ospeed) +# define cfgetispeed(tp) ((tp)->c_ispeed) +# define cfsetospeed(tp,s) (((tp)->c_ospeed = (s)), 0) +# define cfsetispeed(tp,s) (((tp)->c_ispeed = (s)), 0) +# define tcdrain(fd) _ioctl (fd, _TCSBRK, 1) +# endif /* _NO_MACROS */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SYS_TERMIOS_H */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_alarm_start.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_alarm_start.c new file mode 100644 index 0000000..2bd672f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_alarm_start.c @@ -0,0 +1,112 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/alt_alarm.h" +#include "sys/alt_irq.h" + +/* + * alt_alarm_start is called to register an alarm with the system. The + * "alarm" structure passed as an input argument does not need to be + * initialised by the user. This is done within this function. + * + * The remaining input arguments are: + * + * nticks - The time to elapse until the alarm executes. This is specified in + * system clock ticks. + * callback - The function to run when the indicated time has elapsed. + * context - An opaque value, passed to the callback function. +* + * Care should be taken when defining the callback function since it is + * likely to execute in interrupt context. In particular, this mean that + * library calls like printf() should not be made, since they can result in + * deadlock. + * + * The interval to be used for the next callback is the return + * value from the callback function. A return value of zero indicates that the + * alarm should be unregistered. + * + * alt_alarm_start() will fail if the timer facility has not been enabled + * (i.e. there is no system clock). Failure is indicated by a negative return + * value. + */ + +int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, + alt_u32 (*callback) (void* context), + void* context) +{ + alt_irq_context irq_context; + alt_u32 current_nticks = 0; + + if (alt_ticks_per_second ()) + { + if (alarm) + { + alarm->callback = callback; + alarm->context = context; + + irq_context = alt_irq_disable_all (); + + current_nticks = alt_nticks(); + + alarm->time = nticks + current_nticks + 1; + + /* + * If the desired alarm time causes a roll-over, set the rollover + * flag. This will prevent the subsequent tick event from causing + * an alarm too early. + */ + if(alarm->time < current_nticks) + { + alarm->rollover = 1; + } + else + { + alarm->rollover = 0; + } + + alt_llist_insert (&alt_alarm_list, &alarm->llist); + alt_irq_enable_all (irq_context); + + return 0; + } + else + { + return -EINVAL; + } + } + else + { + return -ENOTSUP; + } +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_busy_sleep.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_busy_sleep.c new file mode 100644 index 0000000..1b910a5 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_busy_sleep.c @@ -0,0 +1,133 @@ +/* + * Copyright (c) 2003-2004 Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to + * deal in the Software without restriction, including without limitation the + * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or + * sell copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + * + * ------------ + * + * Altera does not recommend, suggest or require that this reference design + * file be used in conjunction or combination with any other product. + * + * alt_busy_sleep.c - Microsecond delay routine which uses a calibrated busy + * loop to perform the delay. This is used to implement + * usleep for both uC/OS-II and the standalone HAL. + * + * Author PRR + * + * Calibrated delay with no timer required + * + * The ASM instructions in the routine are equivalent to + * + * for (i=0;i +#include + +#include "system.h" +#include "alt_types.h" + +#include "priv/alt_busy_sleep.h" + +unsigned int alt_busy_sleep (unsigned int us) +{ +/* + * Only delay if ALT_SIM_OPTIMIZE is not defined; i.e., if software + * is built targetting ModelSim RTL simulation, the delay will be + * skipped to speed up simulation. + */ +#ifndef ALT_SIM_OPTIMIZE + int i; + int big_loops; + alt_u32 cycles_per_loop; + + if (!strcmp(NIOS2_CPU_IMPLEMENTATION,"tiny")) + { + cycles_per_loop = 9; + } + else + { + cycles_per_loop = 3; + } + + + big_loops = us / (INT_MAX/ + (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); + + if (big_loops) + { + for(i=0;i + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +#ifdef ALT_USE_DIRECT_DRIVERS + +int ALT_CLOSE (int fildes) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(close); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +/* + * close() is called by an application to release a file descriptor. If the + * associated file system/device has a close() callback function registered + * then this called. The file descriptor is then marked as free. + * + * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h + */ + +int ALT_CLOSE (int fildes) +{ + alt_fd* fd; + int rval; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; + + if (fd) + { + /* + * If the associated file system/device has a close function, call it so + * that any necessary cleanup code can run. + */ + + rval = (fd->dev->close) ? fd->dev->close(fd) : 0; + + /* Free the file descriptor structure and return. */ + + alt_release_fd (fildes); + if (rval < 0) + { + ALT_ERRNO = -rval; + return -1; + } + return 0; + } + else + { + ALT_ERRNO = EBADFD; + return -1; + } +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush.c new file mode 100644 index 0000000..8afe062 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush.c @@ -0,0 +1,97 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "nios2.h" +#include "system.h" + +#include "alt_types.h" +#include "sys/alt_cache.h" + +/* + * Nios II version 1.2 and newer supports the "flush by address" instruction, in + * addition to the "flush by line" instruction provided by older versions of + * the core. This newer instruction is used by preference when it is + * available. + */ + +#ifdef NIOS2_FLUSHDA_SUPPORTED +#define ALT_FLUSH_DATA(i) __asm__ volatile ("flushda (%0)" :: "r" (i)); +#else +#define ALT_FLUSH_DATA(i) __asm__ volatile ("flushd (%0)" :: "r" (i)); +#endif /* NIOS2_FLUSHDA_SUPPORTED */ + +/* + * alt_dcache_flush() is called to flush the data cache for a memory + * region of length "len" bytes, starting at address "start". + * + * Any dirty lines in the data cache are written back to memory. + */ + +void alt_dcache_flush (void* start, alt_u32 len) +{ +#if NIOS2_DCACHE_SIZE > 0 + + char* i; + char* end; + + /* + * This is the most we would ever need to flush. + * + * SPR 196942, 2006.01.13: The cache flush loop below will use the + * 'flushda' instruction if its available; in that case each line + * must be flushed individually, and thus 'len' cannot be trimmed. + */ + #ifndef NIOS2_FLUSHDA_SUPPORTED + if (len > NIOS2_DCACHE_SIZE) + { + len = NIOS2_DCACHE_SIZE; + } + #endif + + end = ((char*) start) + len; + + for (i = start; i < end; i+= NIOS2_DCACHE_LINE_SIZE) + { + ALT_FLUSH_DATA(i); + } + + /* + * For an unaligned flush request, we've got one more line left. + * Note that this is dependent on NIOS2_DCACHE_LINE_SIZE to be a + * multiple of 2 (which it always is). + */ + + if (((alt_u32) start) & (NIOS2_DCACHE_LINE_SIZE - 1)) + { + ALT_FLUSH_DATA(i); + } + +#endif /* NIOS2_DCACHE_SIZE > 0 */ +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush_all.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush_all.c new file mode 100644 index 0000000..80735b7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush_all.c @@ -0,0 +1,51 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "nios2.h" +#include "system.h" + +#include "alt_types.h" +#include "sys/alt_cache.h" + +/* + * alt_dcache_flush_all() is called to flush the entire data cache. + */ + +void alt_dcache_flush_all (void) +{ +#if NIOS2_DCACHE_SIZE > 0 + char* i; + + for (i = (char*) 0; i < (char*) NIOS2_DCACHE_SIZE; i+= NIOS2_DCACHE_LINE_SIZE) + { + __asm__ volatile ("flushd (%0)" :: "r" (i)); + } +#endif /* NIOS2_DCACHE_SIZE > 0 */ +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush_no_writeback.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush_no_writeback.c new file mode 100644 index 0000000..3b277d2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dcache_flush_no_writeback.c @@ -0,0 +1,88 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "nios2.h" +#include "system.h" + +#include "alt_types.h" +#include "sys/alt_cache.h" + +/* + * The INITDA instruction was added to Nios II in the 8.0 release. + * + * The INITDA instruction has one of the following possible behaviors + * depending on the processor configuration: + * 1) Flushes a line by address but does NOT write back dirty data. + * Occurs when a data cache is present that supports INITDA. + * The macro NIOS2_INITDA_SUPPORTED is defined in system.h. + * 2) Takes an unimplemented instruction exception. + * Occurs when a data cache is present that doesn't support INITDA. + * 3) Performs no operation + * Occurs when there is no data cache present. + * The macro NIOS2_DCACHE_SIZE is 0 in system.h. + */ + +#define ALT_FLUSH_DATA_NO_WRITEBACK(i) \ + __asm__ volatile ("initda (%0)" :: "r" (i)); + +/* + * alt_dcache_flush_no_writeback() is called to flush the data cache for a + * memory region of length "len" bytes, starting at address "start". + * + * Any dirty lines in the data cache are NOT written back to memory. + * Make sure you really want this behavior. If you aren't 100% sure, + * use the alt_dcache_flush() routine instead. + */ + +void alt_dcache_flush_no_writeback (void* start, alt_u32 len) +{ +#if defined(NIOS2_INITDA_SUPPORTED) + + char* i; + char* end = ((char*) start) + len; + + for (i = start; i < end; i+= NIOS2_DCACHE_LINE_SIZE) + { + ALT_FLUSH_DATA_NO_WRITEBACK(i); + } + + /* + * For an unaligned flush request, we've got one more line left. + * Note that this is dependent on NIOS2_DCACHE_LINE_SIZE to be a + * multiple of 2 (which it always is). + */ + + if (((alt_u32) start) & (NIOS2_DCACHE_LINE_SIZE - 1)) + { + ALT_FLUSH_DATA_NO_WRITEBACK(i); + } + +#endif /* NIOS2_INITDA_SUPPORTED */ +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dev.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dev.c new file mode 100644 index 0000000..92f3f2a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dev.c @@ -0,0 +1,149 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include +#include +#include + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + +#include "alt_types.h" + +#include "system.h" + +/* + * This file contains the data constructs used to control access to device and + * filesytems. + */ + +/* + * "alt_fs_list" is the head of a linked list of registered filesystems. It is + * initialised as an empty list. New entries can be added using the + * alt_fs_reg() function. + */ + +ALT_LLIST_HEAD(alt_fs_list); + + +/* + * "alt_dev_list" is the head of a linked list of registered devices. It is + * configured at startup to include a single device, "alt_dev_null". This + * device is discussed below. + */ + +extern alt_dev alt_dev_null; /* forward declaration */ + +alt_llist alt_dev_list = {&alt_dev_null.llist, &alt_dev_null.llist}; + +/* + * alt_dev_null_write() is the implementation of the write() function used + * by the alt_dev_null device. It simple discards all data passed to it, and + * indicates that the data has been successfully transmitted. + */ + +static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) +{ + return len; +} + +/* + * "alt_dev_null" is used to allow output to be redirected to nowhere. It is + * the only device registered before the call to alt_sys_init(). At startup + * stin, stdout & stderr are all directed towards this device so that library + * calls like printf() will be safe but inefectual. + */ + +alt_dev alt_dev_null = { + { + &alt_dev_list, + &alt_dev_list + }, + "/dev/null", + NULL, /* open */ + NULL, /* close */ + NULL, /* write */ + alt_dev_null_write, /* write */ + NULL, /* lseek */ + NULL, /* fstat */ + NULL /* ioctl */ + }; + +/* + * "alt_fd_list_lock" is a semaphore used to control access to the file + * descriptor list. This is used to ensure that access to the list is thread + * safe. + */ + +ALT_SEM(alt_fd_list_lock) + +/* + * "alt_max_fd" is used to make access to the file descriptor list more + * efficent. It is set to be the value of the highest allocated file + * descriptor. This saves having to search the entire pool of unallocated + * file descriptors when looking for a match. + */ + +alt_32 alt_max_fd = -1; + +/* + * "alt_fd_list" is the file descriptor pool. The first three entries in the + * array are configured as standard in, standard out, and standard error. These + * are all initialised so that accesses are directed to the alt_dev_null + * device. The remaining file descriptors are initialised as unallocated. + * + * The maximum number of file descriptors within the system is specified by the + * user defined macro "ALT_MAX_FD". This is defined in "system.h", which is + * auto-genereated using the projects PTF and STF files. + */ + +alt_fd alt_fd_list[ALT_MAX_FD] = + { + { + &alt_dev_null, /* standard in */ + 0, + 0 + }, + { + &alt_dev_null, /* standard out */ + 0, + 0 + }, + { + &alt_dev_null, /* standard error */ + 0, + 0 + } + /* all other elements are set to zero */ + }; diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dev_llist_insert.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dev_llist_insert.c new file mode 100644 index 0000000..5e8a952 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dev_llist_insert.c @@ -0,0 +1,59 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "priv/alt_dev_llist.h" +#include "sys/alt_errno.h" + +/* + * + */ + +int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) +{ + /* + * check that the device exists, and that it has a valid name. + */ + + if (!dev || !dev->name) + { + ALT_ERRNO = EINVAL; + return -EINVAL; + } + + /* + * register the device. + */ + + alt_llist_insert(list, &dev->llist); + + return 0; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dma_rxchan_open.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dma_rxchan_open.c new file mode 100644 index 0000000..5d461d9 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dma_rxchan_open.c @@ -0,0 +1,63 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_dma.h" +#include "sys/alt_errno.h" +#include "priv/alt_file.h" + +/* + * The list of registered DMA receive channels. + */ + +ALT_LLIST_HEAD(alt_dma_rxchan_list); + +/* + * alt_dma_txchan_open() is used to obtain an "alt_dma_txchan" descriptor for + * a DMA transmit device. The name is the name of the associated physical + * device (e.g. "/dev/dma_0"). + * + * The return value will be NULL on failure, and non-NULL otherwise. + */ + +alt_dma_rxchan alt_dma_rxchan_open (const char* name) +{ + alt_dma_rxchan dev; + + dev = (alt_dma_rxchan) alt_find_dev (name, &alt_dma_rxchan_list); + + if (!dev) + { + ALT_ERRNO = ENODEV; + } + + return dev; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dma_txchan_open.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dma_txchan_open.c new file mode 100644 index 0000000..99f9181 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_dma_txchan_open.c @@ -0,0 +1,63 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_dma.h" +#include "sys/alt_errno.h" +#include "priv/alt_file.h" + +/* + * The list of registered receive channels. + */ + +ALT_LLIST_HEAD(alt_dma_txchan_list); + +/* + * alt_dma_txchan_open() is used to obtain an "alt_dma_txchan" descriptor for + * a DMA transmit device. The name is the name of the associated physical + * device (e.g. "/dev/dma_0"). + * + * The return value will be NULL on failure, and non-NULL otherwise. + */ + +alt_dma_txchan alt_dma_txchan_open (const char* name) +{ + alt_dma_txchan dev; + + dev = (alt_dma_txchan) alt_find_dev (name, &alt_dma_txchan_list); + + if (!dev) + { + ALT_ERRNO = ENODEV; + } + + return dev; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_do_ctors.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_do_ctors.c new file mode 100644 index 0000000..be1c134 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_do_ctors.c @@ -0,0 +1,64 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT IT DIRECTLY. * +* * +* Overriding HAL Functions * +* * +* To provide your own implementation of a HAL function, include the file in * +* your Nios II IDE application project. When building the executable, the * +* Nios II IDE finds your function first, and uses it in place of the HAL * +* version. * +* * +******************************************************************************/ + +/* + * + */ + +typedef void (*constructor) (void); +extern constructor __CTOR_LIST__[]; +extern constructor __CTOR_END__[]; + +/* + * Run the C++ static constructors. + */ + +void _do_ctors(void) +{ + constructor* ctor; + + for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) + (*ctor) (); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_do_dtors.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_do_dtors.c new file mode 100644 index 0000000..b61166a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_do_dtors.c @@ -0,0 +1,64 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* THIS IS A LIBRARY READ-ONLY SOURCE FILE. DO NOT EDIT IT DIRECTLY. * +* * +* Overriding HAL Functions * +* * +* To provide your own implementation of a HAL function, include the file in * +* your Nios II IDE application project. When building the executable, the * +* Nios II IDE finds your function first, and uses it in place of the HAL * +* version. * +* * +******************************************************************************/ + +/* + * + */ + +typedef void (*destructor) (void); +extern destructor __DTOR_LIST__[]; +extern destructor __DTOR_END__[]; + +/* + * Run the C++ static destructors. + */ + +void _do_dtors(void) +{ + destructor* dtor; + + for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) + (*dtor) (); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_env_lock.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_env_lock.c new file mode 100644 index 0000000..0c5d522 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_env_lock.c @@ -0,0 +1,53 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +/* + * These are the empty env lock/unlock stubs required by newlib. These are + * used to make accesses to environment variables thread safe. The default HAL + * configuration is single threaded, so there is nothing to do here. Note that + * this requires that environment variables are never manipulated by an interrupt + * service routine. + */ + +void __env_lock ( struct _reent *_r ) +{ +} + +/* + * + */ + +void __env_unlock ( struct _reent *_r ) +{ +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_environ.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_environ.c new file mode 100644 index 0000000..780635a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_environ.c @@ -0,0 +1,42 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "os/alt_syscall.h" + +/* + * These are the environment variables passed to the C code. By default there + * are no variables registered. An application can manipulate this list using + * getenv() and setenv(). + */ + +char *__env[1] = { 0 }; +char **ALT_ENVIRON = __env; diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_errno.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_errno.c new file mode 100644 index 0000000..4c5ca3e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_errno.c @@ -0,0 +1,44 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/* + * This file defines the alt_errno global variable. See comments in + * alt_errno.h for the use of this variable. + */ + + +#include "sys/alt_errno.h" +#include "alt_types.h" + +extern int ALT_WEAK *__errno (void); + +int* (*alt_errno) (void) = __errno; diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_entry.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_entry.S new file mode 100644 index 0000000..486465b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_entry.S @@ -0,0 +1,360 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2008 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "system.h" + +/* + * This is the exception entry point code, which saves all the caller saved + * registers and then handles the appropriate exception. It should be pulled + * in using a .globl from all the exception handler routines. This scheme is + * used so that if an interrupt is never registered, then this code will not + * appear in the generated executable, thereby improving code footprint. + * + * If an external interrpt controller (EIC) is present, it will supply an + * interrupt vector address to the processor when an interrupt occurs. For + * The Altera Vectored Interrupt Controller (VIC) driver will establish a + * vector table and the processor will jump directly to the appropriate + * table entry, funnel routine, and then user ISR. This will bypass this code + * in entirety. This code might still be linked into a system with an EIC, + * but would then be used only for non-interrupt exceptions. + */ + + /* + * Explicitly allow the use of r1 (the assembler temporary register) + * within this code. This register is normally reserved for the use of + * the assembler. + */ + .set noat + + /* + * The top and bottom of the exception stack + */ +#ifdef ALT_EXCEPTION_STACK + + .globl __alt_exception_stack_pointer + +#ifdef ALT_STACK_CHECK + + .globl __alt_exception_stack_limit + + /* + * We need to store the value of the stack limit after interrupt somewhere. + */ + .globl alt_exception_old_stack_limit + +#endif +#endif + + .section .exceptions.entry.label, "xa" + + .globl alt_exception + .type alt_exception, @function +alt_exception: + + .section .exceptions.entry, "xa" + +#ifdef ALT_EXCEPTION_STACK + +#ifdef ALT_STACK_CHECK + stw et, %gprel(alt_exception_old_stack_limit)(gp) +#endif + + movhi et, %hiadj(__alt_exception_stack_pointer - 80) + addi et, et, %lo(__alt_exception_stack_pointer - 80) + stw sp, 76(et) + mov sp, et + +#ifdef ALT_STACK_CHECK + movhi et, %hiadj(__alt_exception_stack_limit) + addi et, et, %lo(__alt_exception_stack_limit) + stw et, %gprel(alt_stack_limit_value)(gp) +#endif + +#else + /* + * Process an exception. For all exceptions we must preserve all + * caller saved registers on the stack (See the Nios2 ABI + * documentation for details). + */ + + addi sp, sp, -76 + +#ifdef ALT_STACK_CHECK + + bltu sp, et, .Lstack_overflow + +#endif + +#endif + + stw ra, 0(sp) + + /* + * Leave a gap in the stack frame at 4(sp) for the muldiv handler to + * store zero into. + */ + + stw r1, 8(sp) + stw r2, 12(sp) + stw r3, 16(sp) + stw r4, 20(sp) + stw r5, 24(sp) + stw r6, 28(sp) + stw r7, 32(sp) + + rdctl r5, estatus + + stw r8, 36(sp) + stw r9, 40(sp) + stw r10, 44(sp) + stw r11, 48(sp) + stw r12, 52(sp) + stw r13, 56(sp) + stw r14, 60(sp) + stw r15, 64(sp) + + /* + * ea-4 contains the address of the instruction being executed + * when the exception occured. For interrupt exceptions, we will + * will be re-issue the isntruction. Store it in 72(sp) + */ + stw r5, 68(sp) /* estatus */ + addi r15, ea, -4 /* instruction that caused exception */ + stw r15, 72(sp) + + /* + * The interrupt testing code (.exceptions.irqtest) will be + * linked here. If the Internal Interrupt Controller (IIC) is + * present (an EIC is not present), the presense of an interrupt + * is determined by examining CPU control registers or an interrupt + * custom instruction, if present. + * + * If the IIC is used and an interrupt is active, the code linked + * here will call the HAL IRQ handler (alt_irq_handler()) which + * successively calls registered interrupt handler(s) until no + * interrupts remain pending. It then jumps to .exceptions.exit. If + * there is no interrupt then it continues to .exception.notirq, below. + */ + + .section .exceptions.notirq, "xa" + + /* + * Prepare to service unimplemtned instructions or traps, + * each of which is optionally inked into section .exceptions.soft, + * which will preceed .exceptions.unknown below. + * + * Unlike interrupts, we want to skip the exception-causing instructon + * upon completion, so we write ea (address of instruction *after* + * the one where the exception occured) into 72(sp). The actual + * instruction that caused the exception is written in r2, which these + * handlers will utilize. + */ + stw ea, 72(sp) /* Don't re-issue */ + ldw r2, -4(ea) /* Instruction that caused exception */ + + /* + * Other exception handling code, if enabled, will be linked here. + * This includes unimplemted (multiply/divide) instruction support + * (a BSP generaton option), and a trap handler (that would typically + * be augmented with user-specific code). These are not linked in by + * default. + */ + + /* + * In the context of linker sections, "unknown" are all exceptions + * not handled by the built-in handlers above (interupt, and trap or + * unimplemented instruction decoding, if enabled). + * + * Advanced exception types can be serviced by registering a handler. + * To do so, enable the "Enable Instruction-related Exception API" HAL + * BSP setting. If this setting is disabled, this handler code will + * either break (if the debug core is present) or enter an infinite + * loop because we don't how how to handle the exception. + */ + .section .exceptions.unknown +#ifdef ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API + /* + * The C-based HAL routine alt_instruction_exception_entry() will + * attempt to service the exception by calling a user-registered + * exception handler using alt_instruction_exception_register(). + * If no handler was registered it will either break (if the + * debugger is present) or go into an infinite loop since the + * handling behavior is undefined; in that case we will not return here. + */ + + /* Load exception-causing address as first argument (r4) */ + addi r4, ea, -4 + + /* Call the instruction-exception entry */ + call alt_instruction_exception_entry + + /* + * If alt_instruction_exception_entry() returned, the exception was + * serviced by a user-registered routine. Its return code (now in r2) + * indicates whether to re-issue or skip the exception-causing + * instruction + * + * Return code was 0: Skip. The instruction after the exception is + * already stored in 72(sp). + */ + bne r2, r0, .Lexception_exit + + /* + * Otherwise, modify 72(sp) to re-issue the instruction that caused the + * exception. + */ + addi r15, ea, -4 /* instruction that caused exception */ + stw r15, 72(sp) + +#else /* ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API disabled */ + + /* + * We got here because an instruction-related exception occured, but the + * handler API was not compiled in. We do not presume to know how to + * handle it. If the debugger is present, break, otherwise hang. + * + * If you get here then one of the following could have happened: + * + * - An instruction-generated exception occured, and the processor + * does not have the extra exceptions feature enabled, or you + * have not registered a handler using + * alt_instruction_exception_register() + * + * Some examples of instruction-generated exceptions and why they + * might occur: + * + * - Your program could have been compiled for a full-featured + * Nios II core, but it is running on a smaller core, and + * instruction emulation has been disabled by defining + * ALT_NO_INSTRUCTION_EMULATION. + * + * You can work around the problem by re-enabling instruction + * emulation, or you can figure out why your program is being + * compiled for a system other than the one that it is running on. + * + * - Your program has executed a trap instruction, but has not + * implemented a handler for this instruction. + * + * - Your program has executed an illegal instruction (one which is + * not defined in the instruction set). + * + * - Your processor includes an MMU or MPU, and you have enabled it + * before registering an exception handler to service exceptions it + * generates. + * + * The problem could also be hardware related: + * - If your hardware is broken and is generating spurious interrupts + * (a peripheral which negates its interrupt output before its + * interrupt handler has been executed will cause spurious + * interrupts) + */ +#ifdef NIOS2_HAS_DEBUG_STUB + /* + * Either tell the user now (if there is a debugger attached) or go into + * the debug monitor which will loop until a debugger is attached. + */ + break +#else + /* + * If there is no debug stub then a BREAK will probably cause a reboot. + * An infinate loop will probably be more useful. + */ +0: + br 0b +#endif /* NIOS2_HAS_DEBUG_STUB */ + +#endif /* ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API */ + + .section .exceptions.exit.label +.Lexception_exit: + + .section .exceptions.exit, "xa" + + /* + * Restore the saved registers, so that all general purpose registers + * have been restored to their state at the time the interrupt occured. + */ + + ldw r5, 68(sp) + ldw ea, 72(sp) /* This becomes the PC once eret is executed */ + ldw ra, 0(sp) + + wrctl estatus, r5 + + ldw r1, 8(sp) + ldw r2, 12(sp) + ldw r3, 16(sp) + ldw r4, 20(sp) + ldw r5, 24(sp) + ldw r6, 28(sp) + ldw r7, 32(sp) + +#ifdef ALT_EXCEPTION_STACK +#ifdef ALT_STACK_CHECK + ldw et, %gprel(alt_exception_old_stack_limit)(gp) +#endif +#endif + + ldw r8, 36(sp) + ldw r9, 40(sp) + ldw r10, 44(sp) + ldw r11, 48(sp) + ldw r12, 52(sp) + ldw r13, 56(sp) + ldw r14, 60(sp) + ldw r15, 64(sp) + +#ifdef ALT_EXCEPTION_STACK + +#ifdef ALT_STACK_CHECK + stw et, %gprel(alt_stack_limit_value)(gp) + stw zero, %gprel(alt_exception_old_stack_limit)(gp) +#endif + + ldw sp, 76(sp) + +#else + addi sp, sp, 76 + +#endif + + /* + * Return to the interrupted instruction. + */ + + eret + +#ifdef ALT_STACK_CHECK + +.Lstack_overflow: + break 3 + +#endif + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_muldiv.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_muldiv.S new file mode 100644 index 0000000..6a794a3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_muldiv.S @@ -0,0 +1,583 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + + /* + * This is the software multiply/divide handler for Nios2. + */ + + /* + * Provide a label which can be used to pull this file in. + */ + + .section .exceptions.start + .globl alt_exception_muldiv +alt_exception_muldiv: + + /* + * Pull in the entry/exit code. + */ + .globl alt_exception + + + .section .exceptions.soft, "xa" + + + /* INSTRUCTION EMULATION + * --------------------- + * + * Nios II processors generate exceptions for unimplemented instructions. + * The routines below emulate these instructions. Depending on the + * processor core, the only instructions that might need to be emulated + * are div, divu, mul, muli, mulxss, mulxsu, and mulxuu. + * + * The emulations match the instructions, except for the following + * limitations: + * + * 1) The emulation routines do not emulate the use of the exception + * temporary register (et) as a source operand because the exception + * handler already has modified it. + * + * 2) The routines do not emulate the use of the stack pointer (sp) or the + * exception return address register (ea) as a destination because + * modifying these registers crashes the exception handler or the + * interrupted routine. + * + * 3) To save code size, the routines do not emulate the use of the + * breakpoint registers (ba and bt) as operands. + * + * Detailed Design + * --------------- + * + * The emulation routines expect the contents of integer registers r0-r31 + * to be on the stack at addresses sp, 4(sp), 8(sp), ... 124(sp). The + * routines retrieve source operands from the stack and modify the + * destination register's value on the stack prior to the end of the + * exception handler. Then all registers except the destination register + * are restored to their previous values. + * + * The instruction that causes the exception is found at address -4(ea). + * The instruction's OP and OPX fields identify the operation to be + * performed. + * + * One instruction, muli, is an I-type instruction that is identified by + * an OP field of 0x24. + * + * muli AAAAA,BBBBB,IIIIIIIIIIIIIIII,-0x24- + * 27 22 6 0 <-- LSB of field + * + * The remaining emulated instructions are R-type and have an OP field + * of 0x3a. Their OPX fields identify them. + * + * R-type AAAAA,BBBBB,CCCCC,XXXXXX,NNNNN,-0x3a- + * 27 22 17 11 6 0 <-- LSB of field + * + * + */ + + + /* + * Split the instruction into its fields. We need 4*A, 4*B, and 4*C as + * offsets to the stack pointer for access to the stored register values. + */ + /* r2 = AAAAA,BBBBB,IIIIIIIIIIIIIIII,PPPPPP */ + roli r3, r2, 7 /* r3 = BBB,IIIIIIIIIIIIIIII,PPPPPP,AAAAA,BB */ + roli r4, r3, 3 /* r4 = IIIIIIIIIIIIIIII,PPPPPP,AAAAA,BBBBB */ + roli r6, r4, 2 /* r6 = IIIIIIIIIIIIII,PPPPPP,AAAAA,BBBBB,II */ + srai r4, r4, 16 /* r4 = (sign-extended) IMM16 */ + xori r6, r6, 0x42 /* r6 = CCC,XXXXXX,NNNNN,PPPPPP,AAAAA,bBBBB,cC */ + roli r7, r6, 5 /* r7 = XXXX,NNNNN,PPPPPP,AAAAA,bBBBB,cCCCC,XX */ + andi r5, r2, 0x3f /* r5 = 00000000000000000000000000,PPPPPP */ + xori r3, r3, 0x40 + andi r3, r3, 0x7c /* r3 = 0000000000000000000000000,aAAAA,00 */ + andi r6, r6, 0x7c /* r6 = 0000000000000000000000000,bBBBB,00 */ + andi r7, r7, 0x7c /* r7 = 0000000000000000000000000,cCCCC,00 */ + + /* Now either + * r5 = OP + * r3 = 4*(A^16) + * r4 = IMM16 (sign extended) + * r6 = 4*(B^16) + * r7 = 4*(C^16) + * or + * r5 = OP + */ + + + /* + * Save everything on the stack to make it easy for the emulation routines + * to retrieve the source register operands. The exception entry code has + * already saved some of this so we don't need to do it all again. + */ + + addi sp, sp, -60 + stw zero, 64(sp) /* Save zero on stack to avoid special case for r0. */ + /* Register at and r2-r15 have already been saved. */ + + stw r16, 0(sp) + stw r17, 4(sp) + stw r18, 8(sp) + stw r19, 12(sp) + stw r20, 16(sp) + stw r21, 20(sp) + stw r22, 24(sp) + stw r23, 28(sp) + /* et @ 32 - Has already been changed.*/ + /* bt @ 36 - Usually isn't an operand. */ + stw gp, 40(sp) + stw sp, 44(sp) + stw fp, 48(sp) + /* ea @ 52 - Don't bother to save - it's already been changed */ + /* ba @ 56 - Breakpoint register usually isn't an operand */ + /* ra @ 60 - Has already been saved */ + + + /* + * Prepare for either multiplication or division loop. + * They both loop 32 times. + */ + movi r14, 32 + + + /* + * Get the operands. + * + * It is necessary to check for muli because it uses an I-type instruction + * format, while the other instructions are have an R-type format. + */ + add r3, r3, sp /* r3 = address of A-operand. */ + ldw r3, 0(r3) /* r3 = A-operand. */ + movi r15, 0x24 /* muli opcode (I-type instruction format) */ + beq r5, r15, .Lmul_immed /* muli doesn't use the B register as a source */ + + add r6, r6, sp /* r6 = address of B-operand. */ + ldw r6, 0(r6) /* r6 = B-operand. */ + /* r4 = SSSSSSSSSSSSSSSS,-----IMM16------ */ + /* IMM16 not needed, align OPX portion */ + /* r4 = SSSSSSSSSSSSSSSS,CCCCC,-OPX--,00000 */ + srli r4, r4, 5 /* r4 = 00000,SSSSSSSSSSSSSSSS,CCCCC,-OPX-- */ + andi r4, r4, 0x3f /* r4 = 00000000000000000000000000,-OPX-- */ + + /* Now + * r5 = OP + * r3 = src1 + * r6 = src2 + * r4 = OPX (no longer can be muli) + * r7 = 4*(C^16) + * r14 = loop counter + */ + + /* ILLEGAL-INSTRUCTION EXCEPTION + * ----------------------------- + * + * This code is for Nios II cores that generate exceptions when attempting + * to execute illegal instructions. Nios II cores that support an + * illegal-instruction exception are identified by the presence of the + * macro definition NIOS2_HAS_ILLEGAL_INSTRUCTION_EXCEPTION in system.h . + * + * Remember that illegal instructions are different than unimplemented + * instructions. Illegal instructions are instruction encodings that + * have not been defined by the Nios II ISA. Unimplemented instructions + * are legal instructions that must be emulated by some Nios II cores. + * + * If we get here, all instructions except multiplies and divides + * are illegal. + * + * This code assumes that OP is not muli (because muli was tested above). + * All other multiplies and divides are legal. Anything else is illegal. + */ + + movi r8, 0x3a /* OP for R-type mul* and div* */ + bne r5, r8, .Lnot_muldiv + + /* r15 already is 0x24 */ /* OPX of divu */ + beq r4, r15, .Ldivide + + movi r15,0x27 /* OPX of mul */ + beq r4, r15, .Lmultiply + + movi r15,0x07 /* OPX of mulxuu */ + beq r4, r15, .Lmultiply + + movi r15,0x17 /* OPX of mulxsu */ + beq r4, r15, .Lmultiply + + movi r15,0x1f /* OPX of mulxss */ + beq r4, r15, .Lmultiply + + movi r15,0x25 /* OPX of div */ + bne r4, r15, .Lnot_muldiv + + + /* DIVISION + * + * Divide an unsigned dividend by an unsigned divisor using + * a shift-and-subtract algorithm. The example below shows + * 43 div 7 = 6 for 8-bit integers. This classic algorithm uses a + * single register to store both the dividend and the quotient, + * allowing both values to be shifted with a single instruction. + * + * remainder dividend:quotient + * --------- ----------------- + * initialize 00000000 00101011: + * shift 00000000 0101011:_ + * remainder >= divisor? no 00000000 0101011:0 + * shift 00000000 101011:0_ + * remainder >= divisor? no 00000000 101011:00 + * shift 00000001 01011:00_ + * remainder >= divisor? no 00000001 01011:000 + * shift 00000010 1011:000_ + * remainder >= divisor? no 00000010 1011:0000 + * shift 00000101 011:0000_ + * remainder >= divisor? no 00000101 011:00000 + * shift 00001010 11:00000_ + * remainder >= divisor? yes 00001010 11:000001 + * remainder -= divisor - 00000111 + * ---------- + * 00000011 11:000001 + * shift 00000111 1:000001_ + * remainder >= divisor? yes 00000111 1:0000011 + * remainder -= divisor - 00000111 + * ---------- + * 00000000 1:0000011 + * shift 00000001 :0000011_ + * remainder >= divisor? no 00000001 :00000110 + * + * The quotient is 00000110. + */ + +.Ldivide: + /* + * Prepare for division by assuming the result + * is unsigned, and storing its "sign" as 0. + */ + movi r17, 0 + + + /* Which division opcode? */ + xori r15, r4, 0x25 /* OPX of div */ + bne r15, zero, .Lunsigned_division + + + /* + * OPX is div. Determine and store the sign of the quotient. + * Then take the absolute value of both operands. + */ + xor r17, r3, r6 /* MSB contains sign of quotient */ + bge r3, zero, 0f + sub r3, zero, r3 /* -r3 */ +0: + bge r6, zero, 0f + sub r6, zero, r6 /* -r6 */ +0: + + +.Lunsigned_division: + /* Initialize the unsigned-division loop. */ + movi r13, 0 /* remainder = 0 */ + + /* Now + * r3 = dividend : quotient + * r4 = 0x25 for div, 0x24 for divu + * r6 = divisor + * r13 = remainder + * r14 = loop counter (already initialized to 32) + * r17 = MSB contains sign of quotient + */ + + + /* + * for (count = 32; count > 0; --count) + * { + */ +.Ldivide_loop: + + /* + * Division: + * + * (remainder:dividend:quotient) <<= 1; + */ + slli r13, r13, 1 + cmplt r15, r3, zero /* r15 = MSB of r3 */ + or r13, r13, r15 + slli r3, r3, 1 + + + /* + * if (remainder >= divisor) + * { + * set LSB of quotient + * remainder -= divisor; + * } + */ + bltu r13, r6, .Ldiv_skip + ori r3, r3, 1 + sub r13, r13, r6 +.Ldiv_skip: + + /* + * } + */ + subi r14, r14, 1 + bne r14, zero, .Ldivide_loop + + mov r9, r3 + + + /* Now + * r9 = quotient + * r4 = 0x25 for div, 0x24 for divu + * r7 = 4*(C^16) + * r17 = MSB contains sign of quotient + */ + + + /* + * Conditionally negate signed quotient. If quotient is unsigned, + * the sign already is initialized to 0. + */ + bge r17, zero, .Lstore_result + sub r9, zero, r9 /* -r9 */ + + br .Lstore_result + + + + + /* MULTIPLICATION + * + * A "product" is the number that one gets by summing a "multiplicand" + * several times. The "multiplier" specifies the number of copies of the + * multiplicand that are summed. + * + * Actual multiplication algorithms don't use repeated addition, however. + * Shift-and-add algorithms get the same answer as repeated addition, and + * they are faster. To compute the lower half of a product (pppp below) + * one shifts the product left before adding in each of the partial products + * (a * mmmm) through (d * mmmm). + * + * To compute the upper half of a product (PPPP below), one adds in the + * partial products (d * mmmm) through (a * mmmm), each time following the + * add by a right shift of the product. + * + * mmmm + * * abcd + * ------ + * #### = d * mmmm + * #### = c * mmmm + * #### = b * mmmm + * #### = a * mmmm + * -------- + * PPPPpppp + * + * The example above shows 4 partial products. Computing actual Nios II + * products requires 32 partials. + * + * It is possible to compute the result of mulxsu from the result of mulxuu + * because the only difference between the results of these two opcodes is + * the value of the partial product associated with the sign bit of rA. + * + * mulxsu = mulxuu - ((rA < 0) ? rB : 0); + * + * It is possible to compute the result of mulxss from the result of mulxsu + * because the only difference between the results of these two opcodes is + * the value of the partial product associated with the sign bit of rB. + * + * mulxss = mulxsu - ((rB < 0) ? rA : 0); + * + */ + +.Lmul_immed: + /* Opcode is muli. Change it into mul for remainder of algorithm. */ + mov r7, r6 /* Field B is dest register, not field C. */ + mov r6, r4 /* Field IMM16 is src2, not field B. */ + movi r4, 0x27 /* OPX of mul is 0x27 */ + +.Lmultiply: + /* Initialize the multiplication loop. */ + movi r9, 0 /* mul_product = 0 */ + movi r10, 0 /* mulxuu_product = 0 */ + mov r11, r6 /* save original multiplier for mulxsu and mulxss */ + mov r12, r6 /* mulxuu_multiplier (will be shifted) */ + movi r16, 1 /* used to create "rori B,A,1" from "ror B,A,r16" */ + + /* Now + * r3 = multiplicand + * r6 = mul_multiplier + * r7 = 4 * dest_register (used later as offset to sp) + * r9 = mul_product + * r10 = mulxuu_product + * r11 = original multiplier + * r12 = mulxuu_multiplier + * r14 = loop counter (already initialized) + * r15 = temp + * r16 = 1 + */ + + + /* + * for (count = 32; count > 0; --count) + * { + */ +.Lmultiply_loop: + + /* + * mul_product <<= 1; + * lsb = multiplier & 1; + */ + slli r9, r9, 1 + andi r15, r12, 1 + + /* + * if (lsb == 1) + * { + * mulxuu_product += multiplicand; + * } + */ + beq r15, zero, .Lmulx_skip + add r10, r10, r3 + cmpltu r15, r10, r3 /* Save the carry from the MSB of mulxuu_product. */ + ror r15, r15, r16 /* r15 = 0x80000000 on carry, or else 0x00000000 */ +.Lmulx_skip: + + /* + * if (MSB of mul_multiplier == 1) + * { + * mul_product += multiplicand; + * } + */ + bge r6, zero, .Lmul_skip + add r9, r9, r3 +.Lmul_skip: + + /* + * mulxuu_product >>= 1; logical shift + * mul_multiplier <<= 1; done with MSB + * mulx_multiplier >>= 1; done with LSB + */ + srli r10, r10, 1 + or r10, r10, r15 /* OR in the saved carry bit. */ + slli r6, r6, 1 + srli r12, r12, 1 + + + /* + * } + */ + subi r14, r14, 1 + bne r14, zero, .Lmultiply_loop + + + /* + * Multiply emulation loop done. + */ + + /* Now + * r3 = multiplicand + * r4 = OPX + * r7 = 4 * dest_register (used later as offset to sp) + * r9 = mul_product + * r10 = mulxuu_product + * r11 = original multiplier + * r15 = temp + */ + + + /* + * Select/compute the result based on OPX. + */ + + + /* OPX == mul? Then store. */ + xori r15, r4, 0x27 + beq r15, zero, .Lstore_result + + /* It's one of the mulx.. opcodes. Move over the result. */ + mov r9, r10 + + /* OPX == mulxuu? Then store. */ + xori r15, r4, 0x07 + beq r15, zero, .Lstore_result + + /* Compute mulxsu + * + * mulxsu = mulxuu - ((rA < 0) ? rB : 0); + */ + bge r3, zero, .Lmulxsu_skip + sub r9, r9, r11 +.Lmulxsu_skip: + + /* OPX == mulxsu? Then store. */ + xori r15, r4, 0x17 + beq r15, zero, .Lstore_result + + /* Compute mulxss + * + * mulxss = mulxsu - ((rB < 0) ? rA : 0); + */ + bge r11, zero, .Lmulxss_skip + sub r9, r9, r3 +.Lmulxss_skip: + /* At this point, assume that OPX is mulxss, so store */ + + +.Lstore_result: + add r7, r7, sp + stw r9, 0(r7) + + ldw r16, 0(sp) + ldw r17, 4(sp) + ldw r18, 8(sp) + ldw r19, 12(sp) + ldw r20, 16(sp) + ldw r21, 20(sp) + ldw r22, 24(sp) + ldw r23, 28(sp) + + /* bt @ 32 - Breakpoint register usually isn't an operand. */ + /* et @ 36 - Don't corrupt et. */ + /* gp @ 40 - Don't corrupt gp. */ + /* sp @ 44 - Don't corrupt sp. */ + ldw fp, 48(sp) + /* ea @ 52 - Don't corrupt ea. */ + /* ba @ 56 - Breakpoint register usually isn't an operand. */ + + addi sp, sp, 60 + + br .Lexception_exit + + +.Lnot_muldiv: + + addi sp, sp, 60 + + + .section .exceptions.exit.label +.Lexception_exit: + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_trap.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_trap.S new file mode 100644 index 0000000..bd9a9f5 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exception_trap.S @@ -0,0 +1,81 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + + /* + * This is the trap exception handler for Nios2. + */ + + /* + * Provide a label which can be used to pull this file in. + */ + + .section .exceptions.start + .globl alt_exception_trap +alt_exception_trap: + + /* + * Pull in the entry/exit code. + */ + .globl alt_exception + + .section .exceptions.soft, "xa" + +.Ltrap_handler: + + /* + * Did a trap instruction cause the exception? + * + * The instruction which the exception occurred on has been loaded + * into r2 by code in alt_exception_entry.S + * + */ + + movhi r3,0x003b /* upper half of trap opcode */ + ori r3,r3,0x683a /* lower half of trap opcode */ + bne r2,r3,.Lnot_trap + + /* + * There is no trap handler defined here, and so executing a trap + * instruction causes a software break. If you provide a trap handler, + * then you must replace the break instruction below with your handler. + * Your handler must preserve ea and the usual callee saved registers. + */ + + break + + br .Lexception_exit + +.Lnot_trap: + + + .section .exceptions.exit.label +.Lexception_exit: + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_execve.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_execve.c new file mode 100644 index 0000000..51bfcc4 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_execve.c @@ -0,0 +1,55 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "os/alt_syscall.h" + +/* + * execve() is used by newlib to launch new processes. This is unsupported in + * the HAL environment. However a "do-nothing" implementation is still + * provied for newlib compatability. + * + * ALT_EXECVE is mapped onto the execve() system call in alt_syscall.h + */ + +int ALT_EXECVE (char *name, char ** argv, char** env) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(execve); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exit.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exit.c new file mode 100644 index 0000000..46cbe18 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_exit.c @@ -0,0 +1,71 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_irq.h" +#include "sys/alt_sim.h" +#include "os/alt_hooks.h" +#include "os/alt_syscall.h" + +#include "alt_types.h" +#include "sys/alt_log_printf.h" +/* + * _exit() is called by exit() in order to terminate the current process. + * Typically this is called when main() completes. It should never return. + * Since there is nowhere to go once this process completes, this + * implementation simply blocks forever. + * + * Note that interrupts are not disabled so that execution outside of this + * thread is allowed to continue. + * + * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h + */ + +void ALT_EXIT (int exit_code) +{ + /* ALT_LOG - please see HAL/inc/alt_log_printf.h for details */ + ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); + ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); + /* Stop all other threads */ + + ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); + ALT_OS_STOP(); + + /* Provide notification to the simulator that we've stopped */ + + ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_SIM_HALT().\r\n"); + ALT_SIM_HALT(exit_code); + + /* spin forever, since there's no where to go back to */ + + ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); + while (1); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fcntl.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fcntl.c new file mode 100644 index 0000000..382fa43 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fcntl.c @@ -0,0 +1,101 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include +#include + +#include "sys/alt_errno.h" +#include "priv/alt_file.h" +#include "alt_types.h" +#include "os/alt_syscall.h" + +#define ALT_FCNTL_FLAGS_MASK ((alt_u32) (O_APPEND | O_NONBLOCK)) + +/* + * fcntl() is a limited implementation of the standard fcntl() system call. + * It can be used to change the state of the flags associated with an open + * file descriptor. Normally these flags are set during the call to + * open(). It is anticipated that the main use of this function will be to + * change the state of a device from blocking to non-blocking (where this is + * supported). + * + * The input argument "fd" is the file descriptor to be manipulated. "cmd" + * is the command to execute. This can be either F_GETFL (return the + * current value of the flags) or F_SETFL (set the value of the flags). + * + * If "cmd" is F_SETFL then the argument "arg" is the new value of flags, + * otherwise "arg" is ignored. Only the flags: O_APPEND and O_NONBLOCK + * can be updated by a call to fcntl(). All other flags remain + * unchanged. + * + * ALT_FCNTL is mapped onto the fcntl() system call in alt_syscall.h + */ + +int ALT_FCNTL (int file, int cmd, ...) +{ + alt_fd* fd; + long flags; + va_list argp; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + switch (cmd) + { + case F_GETFL: + return fd->fd_flags & ~((alt_u32) ALT_FD_FLAGS_MASK); + case F_SETFL: + va_start(argp, cmd); + flags = va_arg(argp, long); + fd->fd_flags &= ~ALT_FCNTL_FLAGS_MASK; + fd->fd_flags |= (flags & ALT_FCNTL_FLAGS_MASK); + va_end(argp); + return 0; + default: + ALT_ERRNO = EINVAL; + return -1; + } + } + + ALT_ERRNO = EBADFD; + return -1; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fd_lock.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fd_lock.c new file mode 100644 index 0000000..162295a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fd_lock.c @@ -0,0 +1,75 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "priv/alt_file.h" + +/* + * alt_fd_lock() is called as a consequence of an ioctl call to gain exclusive + * access to a device, i.e.: + * + * ioctl (fd, TIOCEXCL, NULL); + * + * If there are no other open file descriptors which reference the same + * device, then alt_fd_lock() will grant the lock. Further calls to open() + * for this device will fail until the lock is released. + * + * This is done by calling close() for this file descriptor, or by calling: + * + * ioctl (fd, TIOCNXCL, NULL); + * + * The return value is zero for success, or negative in the case of failure. + */ + +int alt_fd_lock (alt_fd* fd) +{ + int i; + int rc = 0; + + ALT_SEM_PEND(alt_fd_list_lock, 0); + + for (i = 0; i < alt_max_fd; i++) + { + if ((&alt_fd_list[i] != fd) && (alt_fd_list[i].dev == fd->dev)) + { + rc = -EACCES; + goto alt_fd_lock_exit; + } + } + fd->fd_flags |= ALT_FD_EXCL; + + alt_fd_lock_exit: + + ALT_SEM_POST(alt_fd_list_lock); + return rc; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fd_unlock.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fd_unlock.c new file mode 100644 index 0000000..5f50386 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fd_unlock.c @@ -0,0 +1,56 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "priv/alt_file.h" + +/* + * alt_fd_unlock() is the inverse of alt_fd_lock(). It is called as a + * consequence of a TIOCNXCL ioctl request, e.g: + * + * ioctl (fd, TIOCNXCL, NULL); + * + * It enables multiple file descriptors to exist for the same device. This + * is normally the case, but it may have been disabled by a previous call to + * alt_fd_lock(). + * + * Return zero on sucess, and a negative value on failure. + * + * The current implementation always succeeds. + */ + +int alt_fd_unlock (alt_fd* fd) +{ + fd->fd_flags &= ~ALT_FD_EXCL; + return 0; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_find_dev.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_find_dev.c new file mode 100644 index 0000000..964f63f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_find_dev.c @@ -0,0 +1,88 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + +#include "alt_types.h" + +/* + * alt_find_dev() is used by open() in order to locate a previously registered + * device with the name "name". The input argument "llist" is a pointer to the + * head of the device list to search. + * + * The return value is a pointer to the matching device, or NULL if there is + * no match. + * + * "name" must be an exact match for the devices registered name for a match to + * be found. + */ + +alt_dev* alt_find_dev(const char* name, alt_llist* llist) +{ + alt_dev* next = (alt_dev*) llist->next; + alt_32 len; + + len = strlen(name) + 1; + + /* + * Check each list entry in turn, until a match is found, or we reach the + * end of the list (i.e. next winds up pointing back to the list head). + */ + + while (next != (alt_dev*) llist) + { + + /* + * memcmp() is used here rather than strcmp() in order to reduce the size + * of the executable. + */ + + if (!memcmp (next->name, name, len)) + { + /* match found */ + + return next; + } + next = (alt_dev*) next->llist.next; + } + + /* No match found */ + + return NULL; +} + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_find_file.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_find_file.c new file mode 100644 index 0000000..ae30e93 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_find_file.c @@ -0,0 +1,89 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + +#include "alt_types.h" + +/* + * alt_find_file() is used by open() in order to locate a previously registered + * filesystem that owns that mount point that contains the file named "name". + * + * The return value is a pointer to the matching filesystem, or NULL if there is + * no match. + * + * A match is considered to have been found if the filesystem name followed by + * either '/' or '\0' is the prefix of the filename. For example the filename: + * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". + */ + +alt_dev* alt_find_file (const char* name) +{ + alt_dev* next = (alt_dev*) alt_fs_list.next; + + alt_32 len; + + /* + * Check each list entry in turn, until a match is found, or we reach the + * end of the list (i.e. next winds up pointing back to the list head). + */ + + while (next != (alt_dev*) &alt_fs_list) + { + len = strlen(next->name); + + if (next->name[len-1] == '/') + { + len -= 1; + } + + if (((name[len] == '/') || (name[len] == '\0')) && + !memcmp (next->name, name, len)) + { + /* match found */ + + return next; + } + next = (alt_dev*) next->llist.next; + } + + /* No match found */ + + return NULL; +} + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_flash_dev.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_flash_dev.c new file mode 100644 index 0000000..0acffc7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_flash_dev.c @@ -0,0 +1,69 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/****************************************************************************** +* * +* Alt_flash.c - Functions to register a flash device to the "generic" flash * +* interface * +* * +* Author PRR * +* * +******************************************************************************/ + +#include +#include "sys/alt_llist.h" +#include "sys/alt_flash_dev.h" +#include "priv/alt_file.h" + +ALT_LLIST_HEAD(alt_flash_dev_list); + +alt_flash_fd* alt_flash_open_dev(const char* name) +{ + alt_flash_dev* dev = (alt_flash_dev*)alt_find_dev(name, &alt_flash_dev_list); + + if ((dev) && dev->open) + { + return dev->open(dev, name); + } + + return dev; +} + +void alt_flash_close_dev(alt_flash_fd* fd) +{ + if (fd && fd->close) + { + fd->close(fd); + } + return; +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fork.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fork.c new file mode 100644 index 0000000..b6edbb5 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fork.c @@ -0,0 +1,57 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_warning.h" +#include "sys/alt_errno.h" +#include "os/alt_syscall.h" + +/* + * The fork() system call is used by newlib to create a duplicate copy of the + * curent process. This is unsupported in the HAL environment. However a + * "do-nothing" implementation is still provied for newlib compatability. + * + * ALT_FORK is mapped onto the fork() system call in alt_syscall.h + */ + +int ALT_FORK (void) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(fork); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fs_reg.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fs_reg.c new file mode 100644 index 0000000..e88a340 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fs_reg.c @@ -0,0 +1,75 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + +/* + * The alt_fs_reg() function is used to register a file system. Once registered + * a device can be accessed using the standard posix calls: open(), read(), + * write() etc. + * + * System behaviour is undefined in the event that a file system is registered + * with a name that conflicts with an existing device or file system. + * + * alt_fs_reg() is not thread safe in the sense that there should be no other + * thread using the file system list at the time that alt_dev_reg() is called. In + * practice this means that alt_fs_reg() should only be called while operating + * in a single threaded mode. The expectation is that it will only be called + * by the file system initilisation functions invoked by alt_sys_init(), which in + * turn should only be called by the single threaded C startup code. + * + * A return value of zero indicates success. A negative return value indicates + * failure. + */ + +int alt_fs_reg (alt_dev* dev) +{ + /* + * check that the device has a name. + */ + + if (!dev->name) + { + return -ENODEV; + } + + /* + * register the file system. + */ + + alt_llist_insert(&alt_fs_list, &dev->llist); + + return 0; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fstat.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fstat.c new file mode 100644 index 0000000..3248764 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_fstat.c @@ -0,0 +1,128 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include "sys/alt_dev.h" +#include "sys/alt_warning.h" +#include "sys/alt_errno.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +/* + * The fstat() system call is used to obtain information about the capabilities + * of an open file descriptor. By default file descriptors are marked as + * being character devices. If a device or file system wishes to advertise + * alternative capabilities then they can register an fstat() function within + * their associated alt_dev structure. This will be called to fill in the + * entries in the imput "st" structure. + * + * This function is provided for compatability with newlib. + * + * ALT_FSTAT is mapped onto the fstat() system call in alt_syscall.h + */ + +#ifdef ALT_USE_DIRECT_DRIVERS + +#include "system.h" + +/* + * Provide minimal version that just describes all file descriptors + * as character devices for provided stdio devices. + */ +int ALT_FSTAT (int file, struct stat *st) +{ + switch (file) { +#ifdef ALT_STDIN_PRESENT + case 0: /* stdin file descriptor */ +#endif /* ALT_STDIN_PRESENT */ +#ifdef ALT_STDOUT_PRESENT + case 1: /* stdout file descriptor */ +#endif /* ALT_STDOUT_PRESENT */ +#ifdef ALT_STDERR_PRESENT + case 2: /* stderr file descriptor */ +#endif /* ALT_STDERR_PRESENT */ + st->st_mode = _IFCHR; + return 0; + default: + return -1; + } + +#if !defined(ALT_STDIN_PRESENT) && !defined(ALT_STDOUT_PRESENT) && !defined(ALT_STDERR_PRESENT) + /* Generate a link time warning, should this function ever be called. */ + ALT_STUB_WARNING(fstat); +#endif +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_FSTAT (int file, struct stat *st) +{ + alt_fd* fd; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + /* Call the drivers fstat() function to fill out the "st" structure. */ + + if (fd->dev->fstat) + { + return fd->dev->fstat(fd, st); + } + + /* + * If no function is provided, mark the fd as belonging to a character + * device. + */ + + else + { + st->st_mode = _IFCHR; + return 0; + } + } + else + { + ALT_ERRNO = EBADFD; + return -1; + } +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_get_fd.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_get_fd.c new file mode 100644 index 0000000..f42944b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_get_fd.c @@ -0,0 +1,105 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + +#include "alt_types.h" + +#include "system.h" + +/* + * alt_get_fd() is called to allocate a new file descriptor from the file + * descriptor pool. If a file descriptor is succesfully allocated, it is + * configured to refer to device "dev". + * + * The return value is the index of the file descriptor structure (i.e. + * the offset of the file descriptor within the file descriptor array). A + * negative value indicates failure. + */ + +int alt_get_fd (alt_dev* dev) +{ + alt_32 i; + int rc = -EMFILE; + + /* + * Take the alt_fd_list_lock semaphore in order to avoid races when + * accessing the file descriptor pool. + */ + + ALT_SEM_PEND(alt_fd_list_lock, 0); + + /* + * Search through the list of file descriptors, and allocate the first + * free descriptor that's found. + * + * If a free descriptor is found, then the value of "alt_max_fd" is + * updated accordingly. "alt_max_fd" is a 'highwater mark' which + * indicates the highest file descriptor ever allocated. This is used to + * improve efficency when searching the file descriptor list, and + * therefore reduce contention on the alt_fd_list_lock semaphore. + */ + + for (i = 0; i < ALT_MAX_FD; i++) + { + if (!alt_fd_list[i].dev) + { + alt_fd_list[i].dev = dev; + if (i > alt_max_fd) + { + alt_max_fd = i; + } + rc = i; + goto alt_get_fd_exit; + } + } + + alt_get_fd_exit: + + /* + * Release the alt_fd_list_lock semaphore now that we are done with the + * file descriptor pool. + */ + + ALT_SEM_POST(alt_fd_list_lock); + + return rc; +} + + + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_getchar.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_getchar.c new file mode 100644 index 0000000..3e7df79 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_getchar.c @@ -0,0 +1,61 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#ifdef ALT_USE_DIRECT_DRIVERS +#include "system.h" +#include "sys/alt_driver.h" +#include "sys/alt_stdio.h" +#include "priv/alt_file.h" +#include "unistd.h" +#endif + +/* + * Uses the ALT_DRIVER_READ() macro to call directly to driver if available. + * Otherwise, uses newlib provided getchar() routine. + */ +int +alt_getchar(void) +{ +#ifdef ALT_USE_DIRECT_DRIVERS + ALT_DRIVER_READ_EXTERNS(ALT_STDIN_DEV); + char c; + + if (ALT_DRIVER_READ(ALT_STDIN_DEV, &c, 1, alt_fd_list[STDIN_FILENO].fd_flags) <= 0) { + return -1; + } + return c; +#else + return getchar(); +#endif +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_getpid.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_getpid.c new file mode 100644 index 0000000..b63ec33 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_getpid.c @@ -0,0 +1,47 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "os/alt_syscall.h" + +/* + * The getpid() system call is used by newlib to obtain the current process + * id. Since there is only ever a single process in the HAL environment, + * this just returns a constant. + * + * ALT_GETPID is mapped onto the getpid() system call in alt_syscall.h + */ + +int ALT_GETPID (void) +{ + return 0; +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_gettod.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_gettod.c new file mode 100644 index 0000000..46b12c2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_gettod.c @@ -0,0 +1,125 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include + +#include "sys/alt_alarm.h" +#include "alt_types.h" +#include "os/alt_syscall.h" + +/* + * Macro defining the number of micoseconds in a second. + */ + +#define ALT_US (1000000) + +/* + * "alt_timezone" and "alt_resettime" are the values of the the reset time and + * time zone set through the last call to settimeofday(). By default they are + * zero initialised. + */ + +struct timezone alt_timezone = {0, 0}; +struct timeval alt_resettime = {0, 0}; + +/* + * gettimeofday() can be called to obtain a time structure which indicates the + * current "wall clock" time. This is calculated using the elapsed number of + * system clock ticks, and the value of "alt_resettime" and "alt_timezone" set + * through the last call to settimeofday(). + * + * Warning: if this function is called concurrently with a call to + * settimeofday(), the value returned by gettimeofday() will be unreliable. + * + * ALT_GETTIMEOFDAY is mapped onto the gettimeofday() system call in + * alt_syscall.h + */ + + +#if defined (__GNUC__) && (__GNUC__ >= 4) +int ALT_GETTIMEOFDAY (struct timeval *ptimeval, void *ptimezone_vptr) +{ + struct timezone *ptimezone = (struct timezone*)ptimezone_vptr; +#else +int ALT_GETTIMEOFDAY (struct timeval *ptimeval, struct timezone *ptimezone) +{ +#endif + + alt_u32 nticks = alt_nticks (); + alt_u32 tick_rate = alt_ticks_per_second (); + + /* + * Check to see if the system clock is running. This is indicated by a + * non-zero system clock rate. If the system clock is not running, an error + * is generated and the contents of "ptimeval" and "ptimezone" are not + * updated. + */ + + if (tick_rate) + { + ptimeval->tv_sec = alt_resettime.tv_sec + nticks/tick_rate; + ptimeval->tv_usec = alt_resettime.tv_usec + + (alt_u32)(((alt_u64)nticks*(ALT_US/tick_rate))%ALT_US); + + while(ptimeval->tv_usec < 0) { + if (ptimeval->tv_sec <= 0) + { + ptimeval->tv_sec = 0; + ptimeval->tv_usec = 0; + break; + } + else + { + ptimeval->tv_sec--; + ptimeval->tv_usec += ALT_US; + } + } + + while(ptimeval->tv_usec >= ALT_US) { + ptimeval->tv_sec++; + ptimeval->tv_usec -= ALT_US; + } + + if (ptimezone) + { + ptimezone->tz_minuteswest = alt_timezone.tz_minuteswest; + ptimezone->tz_dsttime = alt_timezone.tz_dsttime; + } + + return 0; + } + + return -ENOTSUP; +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_gmon.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_gmon.c new file mode 100644 index 0000000..fce7587 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_gmon.c @@ -0,0 +1,272 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include +#include +#include + +#include "priv/nios2_gmon_data.h" + +#include "sys/alt_irq.h" +#include "sys/alt_alarm.h" + + +/* Macros */ + +/* How large should the bins be which we use to generate the histogram */ +#define PCSAMPLE_BYTES_PER_BUCKET 32 + +#define NIOS2_READ_EA(dest) __asm__ ("mov %0, ea" : "=r" (dest)) + +/* The compiler inserts calls to mcount() at the start of + * every function call. The structure mcount_fn_arc records t + * he return address of the function called (in from_pc) + * and the return address of the mcount function + * (in self_pc). The number of times this arc is executed is + * recorded in the field count. + */ +struct mcount_fn_arc +{ + struct mcount_fn_arc * next; + void * from_pc; + unsigned int count; +}; + +/* We need to maintain a list of pointers to the heads of each adjacency + * list so that we can find them when writing out the gmon.out file. Since + * we don't know at the start of program execution how many functions will + * be called we use a list structure to do this. + */ +struct mcount_fn_entry +{ + struct mcount_fn_entry * next; + void * self_pc; + struct mcount_fn_arc * arc_head; +}; + +/* function prototypes */ + +void __mcount_record(void * self_pc, void * from_pc, struct mcount_fn_entry * fn_entry, struct mcount_fn_entry * * fn_head) __attribute__ ((no_instrument_function)); + +static __inline__ void * mcount_allocate(unsigned int size) __attribute__ ((no_instrument_function)); +static int nios2_pcsample_init(void) __attribute__ ((no_instrument_function)); +static alt_u32 nios2_pcsample(void* alarm) __attribute__ ((no_instrument_function)); + +/* global variables */ + +/* stext and etext are defined in the linker script */ +extern char stext[]; +extern char etext[]; + +/* Is the PC sampling stuff enabled yet? */ +static int pcsample_need_init = 1; + +#define HASH_BUCKETS 64 /* Must be a power of 2 */ + +/* This points to the list of adjacency list pointers. */ +struct mcount_fn_entry * __mcount_fn_head[HASH_BUCKETS]; + +/* pointer to the in-memory buffer containing the histogram */ +static unsigned short* s_pcsamples = 0; + +/* the address of the start and end of text section */ +static const unsigned int s_low_pc = (unsigned int)stext; +static const unsigned int s_high_pc = (unsigned int)etext; + +/* the alarm structure to register for pc sampling */ +static alt_alarm s_nios2_pcsample_alarm; + +unsigned int alt_gmon_data[GMON_DATA_SIZE] = +{ + 0x6e6f6d67, /* "gmon" */ + GMON_DATA_SIZE, + 0, + (unsigned int)stext, + (unsigned int)etext, + PCSAMPLE_BYTES_PER_BUCKET, + 0, + (unsigned int)__mcount_fn_head, + (unsigned int)(__mcount_fn_head + HASH_BUCKETS) +}; + +/* This holds the current slab of memory we're allocating out of */ +static char * mcount_slab_ptr = 0; +static int mcount_slab_size = 0; + +#define MCOUNT_SLAB_INCREMENT 1020 + + +/* + * We can't use malloc to allocate memory because that's too complicated, and + * can't be called at interrupt time. Use the lower level allocator instead + * because that's interrupt safe (and because we never free anything). + * + * For speed, we allocate a block of data at once. + */ +static __inline__ void * mcount_allocate(unsigned int size) +{ + void * data; + + if (size > mcount_slab_size) + { + mcount_slab_ptr = sbrk(MCOUNT_SLAB_INCREMENT); + mcount_slab_size = MCOUNT_SLAB_INCREMENT; + } + + data = mcount_slab_ptr; + mcount_slab_ptr += size; + mcount_slab_size -= size; + + return data; +} + + +/* + * Add the arc with the values of frompc and topc given to the graph. + * This function might be called at interrupt time so must be able to + * cope with reentrancy. + * + * The fast case, where we have already allocated a function arc, has been + * handled by the assmebler code. + */ +void __mcount_record(void * self_pc, void * from_pc, struct mcount_fn_entry * fn_entry, struct mcount_fn_entry * * fn_head) +{ + alt_irq_context context; + struct mcount_fn_arc * arc_entry; + + /* Keep trying to start up the PC sampler until it is running. + * (It can't start until the timer is going). + */ + if (pcsample_need_init) + { + pcsample_need_init = 0; + pcsample_need_init = nios2_pcsample_init(); + } + + /* + * We must disable interrupts around the allocation and the list update to + * prevent corruption if the instrumented function is re-entrant. + * + * It's safe for the code above to be stepping through the chain and be + * interrupted by this code modifying it - there is an edge case which will + * leave two copies of the same arc on the list (both with count=1), but + * this is dealt with on the host. + */ + context = alt_irq_disable_all(); + + if (fn_entry == NULL) + { + /* Add it to the list of functions we must output later. */ + fn_entry = (struct mcount_fn_entry *)mcount_allocate(sizeof(struct mcount_fn_entry)); + + fn_entry->self_pc = self_pc; + fn_entry->arc_head = NULL; + + fn_entry->next = *fn_head; + *fn_head = fn_entry; + } + + /* We will need a new list entry - if there was a list entry before + * then the assembler code would have handled it. */ + arc_entry = (struct mcount_fn_arc *)mcount_allocate(sizeof(struct mcount_fn_arc)); + + arc_entry->from_pc = from_pc; + arc_entry->count = 1; + + arc_entry->next = fn_entry->arc_head; + fn_entry->arc_head = arc_entry; + + alt_irq_enable_all(context); +} + + +/* + * nios2_pcsample_init starts profiling. + * It is called the first time mcount is called, and on subsequent calls to + * mcount until it returns zero. It initializes the pc histogram and turns on + * timer driven pc sampling. + */ +static int nios2_pcsample_init(void) +{ + unsigned int pcsamples_size; + + /* We sample the PC every tick */ + unsigned int prof_rate = alt_ticks_per_second(); + if (prof_rate == 0) + return 1; + + /* allocate the histogram buffer s_pcsamples */ + pcsamples_size = (s_high_pc - s_low_pc)/PCSAMPLE_BYTES_PER_BUCKET; + s_pcsamples = (unsigned short*)sbrk(pcsamples_size * sizeof(unsigned short)); + + if (s_pcsamples != 0) + { + /* initialize the buffer to zero */ + memset(s_pcsamples, 0, pcsamples_size * sizeof(unsigned short)); + + alt_gmon_data[GMON_DATA_PROFILE_DATA] = (int)s_pcsamples; + alt_gmon_data[GMON_DATA_PROFILE_RATE] = prof_rate; + + /* Sample every tick (it's cheap) */ + alt_alarm_start(&s_nios2_pcsample_alarm, 1, nios2_pcsample, 0); + } + + return 0; +} + + +/* + * Sample the PC value and store it in the histogram + */ +static alt_u32 nios2_pcsample(void* context) +{ + unsigned int pc; + unsigned int bucket; + + /* read the exception return address - this will be + * inaccurate if there are nested interrupts but we + * assume that this is rare and the inaccuracy will + * not be great */ + NIOS2_READ_EA(pc); + + /* + * If we're within the profilable range then increment the relevant + * bucket in the histogram + */ + if (pc >= s_low_pc && pc < s_high_pc && s_pcsamples != 0) + { + bucket = (pc - s_low_pc)/PCSAMPLE_BYTES_PER_BUCKET; + s_pcsamples[bucket]++; + } + + /* Sample every tick */ + return 1; +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_icache_flush.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_icache_flush.c new file mode 100644 index 0000000..1662991 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_icache_flush.c @@ -0,0 +1,84 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "nios2.h" +#include "system.h" + +#include "alt_types.h" +#include "sys/alt_cache.h" + +/* + * alt_icache_flush() is called to flush the instruction cache for a memory + * region of length "len" bytes, starting at address "start". + */ + +void alt_icache_flush (void* start, alt_u32 len) +{ +#if NIOS2_ICACHE_SIZE > 0 + + char* i; + char* end; + + /* + * This is the most we would ever need to flush. + */ + + if (len > NIOS2_ICACHE_SIZE) + { + len = NIOS2_ICACHE_SIZE; + } + + end = ((char*) start) + len; + + for (i = start; i < end; i+= NIOS2_ICACHE_LINE_SIZE) + { + __asm__ volatile ("flushi %0" :: "r" (i)); + } + + /* + * For an unaligned flush request, we've got one more line left. + * Note that this is dependent on NIOS2_ICACHE_LINE_SIZE to be a + * multiple of 2 (which it always is). + */ + + if (((alt_u32) start) & (NIOS2_ICACHE_LINE_SIZE - 1)) + { + __asm__ volatile ("flushi %0" :: "r" (i)); + } + + /* + * Having flushed the cache, flush any stale instructions in the + * pipeline + */ + + __asm__ volatile ("flushp"); + +#endif /* NIOS2_ICACHE_SIZE > 0 */ +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_icache_flush_all.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_icache_flush_all.c new file mode 100644 index 0000000..dc40ea8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_icache_flush_all.c @@ -0,0 +1,46 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "nios2.h" +#include "system.h" + +#include "alt_types.h" +#include "sys/alt_cache.h" + +/* + * alt_icache_flush_all() is called to flush the entire instruction cache. + */ + +void alt_icache_flush_all (void) +{ +#if NIOS2_ICACHE_SIZE > 0 + alt_icache_flush (0, NIOS2_ICACHE_SIZE); +#endif +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_iic.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_iic.c new file mode 100644 index 0000000..4821f25 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_iic.c @@ -0,0 +1,106 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ +#include "system.h" + +/* + * This file implements the HAL Enhanced interrupt API for Nios II processors + * with an internal interrupt controller (IIC). For most routines, this serves + * as a wrapper layer over the legacy interrupt API (which must be used with + * the IIC only). + * + * Use of the enhanced API is recommended so that application and device + * drivers are compatible with a Nios II system configured with an external + * interrupt controller (EIC), or IIC. This will afford maximum portability. + * + * If an EIC is present, the EIC device driver must provide these routines, + * because their operation will be specific to that EIC type. + */ +#ifndef NIOS2_EIC_PRESENT +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + +#include "sys/alt_irq.h" +#include "priv/alt_iic_isr_register.h" +#include "priv/alt_legacy_irq.h" + +/** @Function Description: This function registers an interrupt handler. + * If the function is succesful, then the requested interrupt will be enabled upon + * return. Registering a NULL handler will disable the interrupt. + * @API Type: External + * @param ic_id Ignored. + * @param irq IRQ number + * @return 0 if successful, else error (-1) + */ +int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, + void *isr_context, void *flags) +{ + return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); +} + +/** @Function Description: This function enables a single interrupt. + * @API Type: External + * @param ic_id Ignored. + * @param irq IRQ number + * @return 0 if successful, else error (-1) + */ +int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) +{ + return alt_irq_enable(irq); +} + +/** @Function Description: This function disables a single interrupt. + * @API Type: External + * @param ic_id Ignored. + * @param irq IRQ number + * @return 0 if successful, else error (-1) + */ +int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) +{ + return alt_irq_disable(irq); +} + +/** @Function Description: This function to determine if corresponding + * interrupt is enabled. + * @API Type: External + * @param ic_id Ignored. + * @param irq IRQ number + * @return Zero if corresponding interrupt is disabled and + * non-zero otherwise. + */ +alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) +{ + alt_u32 irq_enabled; + + NIOS2_READ_IENABLE(irq_enabled); + + return (irq_enabled & (1 << irq)) ? 1: 0; +} + +#endif /* ALT_ENHANCED_INTERRUPT_API_PRESENT */ +#endif /* NIOS2_EIC_PRESENT */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_iic_isr_register.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_iic_isr_register.c new file mode 100644 index 0000000..2e6bf5b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_iic_isr_register.c @@ -0,0 +1,104 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ +#include +#include "system.h" + +/* + * Provides an interrupt registry mechanism for the any CPUs internal interrupt + * controller (IIC) when the enhanced interrupt API is active. + */ +#ifndef ALT_CPU_EIC_PRESENT +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + +#include "alt_types.h" +#include "sys/alt_irq.h" +#include "priv/alt_iic_isr_register.h" + +/* + * The header, alt_irq_entry.h, contains the exception entry point, and is + * provided by the processor component. It is included here, so that the code + * will be added to the executable only if alt_irq_register() is present, i.e. + * if no interrupts are registered - there's no need to provide any + * interrupt handling. + */ + +#include "sys/alt_irq_entry.h" + +/* + * The header, alt_irq_table.h contains a table describing which function + * handles each interrupt. + */ + +#include "priv/alt_irq_table.h" + +/** @Function Description: This function registers an interrupt handler. + * If the function is succesful, then the requested interrupt will be enabled + * upon return. Registering a NULL handler will disable the interrupt. + * + * @API Type: External + * @param ic_id Interrupt controller ID + * @param irq IRQ ID number + * @param isr Pointer to interrupt service routine + * @param isr_context Opaque pointer passed to ISR + * @param flags + * @return 0 if successful, else error (-1) + */ +int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, + void *isr_context, void *flags) +{ + int rc = -EINVAL; + int id = irq; /* IRQ interpreted as the interrupt ID. */ + alt_irq_context status; + + if (id < ALT_NIRQ) + { + /* + * interrupts are disabled while the handler tables are updated to ensure + * that an interrupt doesn't occur while the tables are in an inconsistant + * state. + */ + + status = alt_irq_disable_all(); + + alt_irq[id].handler = isr; + alt_irq[id].context = isr_context; + + rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); + + alt_irq_enable_all(status); + } + + return rc; +} + +#endif /* ALT_ENHANCED_INTERRUPT_API_PRESENT */ +#endif /* ALT_CPU_EIC_PRESENT */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_instruction_exception_entry.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_instruction_exception_entry.c new file mode 100644 index 0000000..5cc8767 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_instruction_exception_entry.c @@ -0,0 +1,203 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ +#include "sys/alt_exceptions.h" +#include "nios2.h" +#include "alt_types.h" +#include "system.h" + +/* + * This file implements support for calling user-registered handlers for + * instruction-generated exceptions. This handler could also be reached + * in the event of a spurious interrupt. + * + * The handler code is optionally enabled through the "Enable + * Instruction-related Exception API" HAL BSP setting, which will + * define the macro below. + */ +#ifdef ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API + +/* Function pointer to exception callback routine */ +alt_exception_result (*alt_instruction_exception_handler) + (alt_exception_cause, alt_u32, alt_u32) = 0x0; + +/* Link entry routine to .exceptions section */ +int alt_instruction_exception_entry (alt_u32 exception_pc) + __attribute__ ((section (".exceptions"))); + +/* + * This is the entry point for instruction-generated exceptions handling. + * This routine will be called by alt_exceptions_entry.S, after it determines + * that an exception could not be handled by handlers that preceed that + * of instruction-generated exceptions (such as interrupts). + * + * For this to function properly, you must register an exception handler + * using alt_instruction_exception_register(). This routine will call + * that handler if it has been registered. Absent a handler, it will + * break break or hang as discussed below. + */ +int alt_instruction_exception_entry (alt_u32 exception_pc) +{ + alt_u32 cause, badaddr; + +/* + * If the processor hardware has the optional EXCEPTIONS & BADADDR registers, + * read them and pass their content to the user handler. These are always + * present if the MMU or MPU is enabled, and optionally for other advanced + * exception types via the "Extra exceptions information" setting in the + * processor (hardware) configuration. + * + * If these registers are not present, the cause field will be set to + * NIOS2_EXCEPTION_CAUSE_NOT_PRESENT. Your handling routine should + * check the validity of the cause argument before proceeding. + */ +#ifdef NIOS2_HAS_EXTRA_EXCEPTION_INFO + /* Get exception cause & "badaddr" */ + NIOS2_READ_EXCEPTION(cause); + cause = ( (cause & NIOS2_EXCEPTION_REG_CAUSE_MASK) >> + NIOS2_EXCEPTION_REG_CAUSE_OFST ); + + NIOS2_READ_BADADDR(badaddr); +#else + cause = NIOS2_EXCEPTION_CAUSE_NOT_PRESENT; + badaddr = 0; +#endif /* NIOS2_HAS_EXTRA_EXCEPTION_INFO */ + + if(alt_instruction_exception_handler) { + /* + * Call handler. Its return value indicates whether the exception-causing + * instruction should be re-issued. The code that called us, + * alt_eceptions_entry.S, will look at this value and adjust the ea + * register as necessary + */ + return alt_instruction_exception_handler(cause, exception_pc, badaddr); + } + /* + * We got here because an instruction-generated exception occured, but no + * handler is present. We do not presume to know how to handle it. If the + * debugger is present, break, otherwise hang. + * + * If you've reached here in the debugger, consider examining the + * EXCEPTIONS register cause bit-field, which was read into the 'cause' + * variable above, and compare it against the exceptions-type enumeration + * in alt_exceptions.h. This register is availabe if the MMU or MPU is + * present, or if the "Extra exceptions information" hardware option is + * selected. + * + * If you get here then one of the following could have happened: + * + * - An instruction-generated exception occured, and the processor + * does not have the extra exceptions feature enabled, or you + * have not registered a handler using + * alt_instruction_exception_register() + * + * Some examples of instruction-generated exceptions and why they + * might occur: + * + * - Your program could have been compiled for a full-featured + * Nios II core, but it is running on a smaller core, and + * instruction emulation has been disabled by defining + * ALT_NO_INSTRUCTION_EMULATION. + * + * You can work around the problem by re-enabling instruction + * emulation, or you can figure out why your program is being + * compiled for a system other than the one that it is running on. + * + * - Your program has executed a trap instruction, but has not + * implemented a handler for this instruction. + * + * - Your program has executed an illegal instruction (one which is + * not defined in the instruction set). + * + * - Your processor includes an MMU or MPU, and you have enabled it + * before registering an exception handler to service exceptions it + * generates. + * + * The problem could also be hardware related: + * - If your hardware is broken and is generating spurious interrupts + * (a peripheral which negates its interrupt output before its + * interrupt handler has been executed will cause spurious interrupts) + */ + else { +#ifdef NIOS2_HAS_DEBUG_STUB + NIOS2_BREAK(); +#else + while(1) + ; +#endif /* NIOS2_HAS_DEBUG_STUB */ + } + + /* // We should not get here. Remove compiler warning. */ + return NIOS2_EXCEPTION_RETURN_REISSUE_INST; +} + +#endif /* ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API */ + +/* + * This routine indicates whether a particular exception cause will have + * set a valid address into the BADADDR register, which is included + * in the arguments to a user-registered instruction-generated exception + * handler. Many exception types do not set valid contents in BADADDR; + * this is a convenience routine to easily test the validity of that + * argument in your handler. + * + * Note that this routine will return false (0) for cause '12', + * TLB miss. This is because there are four exception types that + * share that cause, two of which do not have a valid BADADDR. You + * must determine BADADDR's validity for these. + * + * Arguments: + * cause: The 5-bit exception cause field of the EXCEPTIONS register, + * shifted to the LSB position. You may pass the 'cause' argument + * in a handler you registered directy to this routine. + * + * Return: 1: BADADDR (bad_addr argument to handler) is valid + * 0: BADADDR is not valid + */ +int alt_exception_cause_generated_bad_addr(alt_exception_cause cause) +{ + switch (cause) { + case NIOS2_EXCEPTION_SUPERVISOR_ONLY_DATA_ADDR: + return 1; + case NIOS2_EXCEPTION_MISALIGNED_DATA_ADDR: + return 1; + case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: + return 1; + case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: + return 1; + case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: + return 1; + case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: + return 1; + default: + return 0; + } +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_instruction_exception_register.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_instruction_exception_register.c new file mode 100644 index 0000000..395c644 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_instruction_exception_register.c @@ -0,0 +1,82 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ +#include "sys/alt_exceptions.h" +#include "alt_types.h" +#include "system.h" + +/* + * This file implements support for calling user-registered handlers for + * instruction-generated exceptions. + * + * The registry API is optionally enabled through the "Enable + * Instruction-related Exception API" HAL BSP setting, which will + * define the macro below. + */ +#ifdef ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API + +/* + * The header, alt_exception_handler_registry.h contains a struct describing + * the registered exception handler + */ +#include "priv/alt_exception_handler_registry.h" + +/* + * Pull in the exception entry assembly code. This will not be linked in + * unless this object is linked into the executable (i.e. only if + * alt_instruction_exception_register() is called). + */ +__asm__( "\n\t.globl alt_exception" ); + +/* + * alt_instruction_exception_register() is called to register a handler to + * service instruction-generated exceptions that are not handled by the + * default exception handler code (interrupts, and optionally unimplemented + * instructions and traps). + * + * Passing null (0x0) in the handler argument will disable a previously- + * registered handler. + * + * Note that if no handler is registered, exceptions that are not processed + * using the built-in handler (interrupts, and optionally unimplemented + * instructions and traps) are treated as unknown exceptions, resulting + * in either a break or an infinite loop. + */ +void alt_instruction_exception_register ( + alt_exception_result (*exception_handler)( + alt_exception_cause cause, + alt_u32 exception_pc, + alt_u32 bad_addr) ) +{ + alt_instruction_exception_handler = exception_handler; +} + +#endif /* ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_io_redirect.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_io_redirect.c new file mode 100644 index 0000000..049ed62 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_io_redirect.c @@ -0,0 +1,98 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include +#include + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + + +/* + * alt_open_fd() is similar to open() in that it is used to obtain a file + * descriptor for the file named "name". The "flags" and "mode" arguments are + * identical to the "flags" and "mode" arguments of open(). + * + * The distinction between the two functions is that the file descriptor + * structure to use is passed in as an argument, rather than allocated from the + * list of free file descriptors. + * + * This is used by alt_io_redirect() to redirect the stdin, stdout and stderr + * file descriptors to point to new devices. + * + * If the device can not be succesfully opened, then the input file descriptor + * remains unchanged. + */ + +static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) +{ + int old; + + old = open (name, flags, mode); + + if (old >= 0) + { + fd->dev = alt_fd_list[old].dev; + fd->priv = alt_fd_list[old].priv; + fd->fd_flags = alt_fd_list[old].fd_flags; + + alt_release_fd (old); + } +} + +/* + * alt_io_redirect() is called once the device/filesystem lists have been + * initialised, but before main(). Its function is to redirect standard in, + * standard out and standard error so that they point to the devices selected by + * the user (as defined in system.h). + * + * Prior to the call to this function, io is directed towards /dev/null. If + * i/o can not be redirected to the requested device, for example if the device + * does not exist, then it remains directed at /dev/null. + */ + +void alt_io_redirect(const char* stdout_dev, + const char* stdin_dev, + const char* stderr_dev) +{ + /* Redirect the channels */ + + alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); + alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); + alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); +} + + + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_ioctl.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_ioctl.c new file mode 100644 index 0000000..510b40d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_ioctl.c @@ -0,0 +1,170 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/ioctl.h" +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +/* + * The ioctl() system call is provided so that application code can manipulate + * the i/o capabilities of a device in device specific ways. This is identical + * to the standard posix ioctl() function. + * + * In general this implementation simply vectors ioctl requests to the + * apropriate drivers ioctl function (as registered in the drivers alt_dev + * structure). + * + * However in the case of devices (as oposed to filesystem), the TIOCEXCL and + * TIOCNXCL requests are handled without reference to the driver. These + * requests are used to lock/release a device for exclusive access. + * + * Handling these requests centrally eases the task of device driver + * development. + * + * ALT_IOCTL is mapped onto the ioctl() system call in alt_syscall.h + */ + +#ifdef ALT_USE_DIRECT_DRIVERS + +#include "system.h" +#include "sys/alt_driver.h" + +/* + * Provide minimal version that calls ioctl routine of provided stdio devices. + */ +int ALT_IOCTL (int file, int req, void* arg) +{ +#ifdef ALT_STDIN_PRESENT + ALT_DRIVER_IOCTL_EXTERNS(ALT_STDIN_DEV); +#endif +#ifdef ALT_STDOUT_PRESENT + ALT_DRIVER_IOCTL_EXTERNS(ALT_STDOUT_DEV); +#endif +#ifdef ALT_STDERR_PRESENT + ALT_DRIVER_IOCTL_EXTERNS(ALT_STDERR_DEV); +#endif + +#if !defined(ALT_STDIN_PRESENT) && !defined(ALT_STDOUT_PRESENT) && !defined(ALT_STDERR_PRESENT) + /* Generate a link time warning, should this function ever be called. */ + ALT_STUB_WARNING(ioctl); +#endif + + switch (file) { +#ifdef ALT_STDIN_PRESENT + case 0: /* stdin file descriptor */ + return ALT_DRIVER_IOCTL(ALT_STDIN_DEV, req, arg); +#endif /* ALT_STDIN_PRESENT */ +#ifdef ALT_STDOUT_PRESENT + case 1: /* stdout file descriptor */ + return ALT_DRIVER_IOCTL(ALT_STDOUT_DEV, req, arg); +#endif /* ALT_STDOUT_PRESENT */ +#ifdef ALT_STDERR_PRESENT + case 2: /* stderr file descriptor */ + return ALT_DRIVER_IOCTL(ALT_STDERR_DEV, req, arg); +#endif /* ALT_STDERR_PRESENT */ + default: + ALT_ERRNO = EBADFD; + return -1; + } +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_IOCTL (int file, int req, void* arg) +{ + alt_fd* fd; + int rc; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + + /* + * In the case of device drivers (not file systems) handle the TIOCEXCL + * and TIOCNXCL requests as special cases. + */ + + if (fd->fd_flags & ALT_FD_DEV) + { + if (req == TIOCEXCL) + { + rc = alt_fd_lock (fd); + goto ioctl_done; + } + else if (req == TIOCNXCL) + { + rc = alt_fd_unlock (fd); + goto ioctl_done; + } + } + + /* + * If the driver provides an ioctl() function, call that to handle the + * request, otherwise set the return code to indicate that the request + * could not be processed. + */ + + if (fd->dev->ioctl) + { + rc = fd->dev->ioctl(fd, req, arg); + } + else + { + rc = -ENOTTY; + } + } + else + { + rc = -EBADFD; + } + +ioctl_done: + + if (rc < 0) + { + ALT_ERRNO = -rc; + } + return rc; +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_entry.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_entry.S new file mode 100644 index 0000000..8ee89e1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_entry.S @@ -0,0 +1,108 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "system.h" + +/* + * This is the interrupt exception entry point code, which saves all the + * registers and calls the interrupt handler. It should be pulled in using + * a .globl from alt_irq_register.c. This scheme is used so that if an + * interrupt is never registered, then this code will not appear in the + * generated executable, thereby improving code footprint. + */ + + /* + * Explicitly allow the use of r1 (the assembler temporary register) + * within this code. This register is normally reserved for the use of + * the compiler. + */ + .set noat + + /* + * Pull in the exception handler register save code. + */ + .globl alt_exception + + .globl alt_irq_entry + .section .exceptions.entry.label, "xa" +alt_irq_entry: + + /* + * Section .exceptions.entry is in alt_exception_entry.S + * This saves all the caller saved registers and reads estatus into r5 + */ + + .section .exceptions.irqtest, "xa" + +#ifdef ALT_CI_INTERRUPT_VECTOR_N + /* + * Use the interrupt vector custom instruction if present to accelerate + * this code. + * If the interrupt vector custom instruction returns a negative + * value, there are no interrupts active (estatus.pie is 0 + * or ipending is 0) so assume it is a software exception. + */ + custom ALT_CI_INTERRUPT_VECTOR_N, r4, r0, r0 + blt r4, r0, .Lnot_irq +#else + /* + * Test to see if the exception was a software exception or caused + * by an external interrupt, and vector accordingly. + */ + rdctl r4, ipending + andi r2, r5, 1 + beq r2, zero, .Lnot_irq + beq r4, zero, .Lnot_irq +#endif /* ALT_CI_INTERRUPT_VECTOR_N */ + + .section .exceptions.irqhandler, "xa" + /* + * Now that all necessary registers have been preserved, call + * alt_irq_handler() to process the interrupts. + */ + + call alt_irq_handler + + .section .exceptions.irqreturn, "xa" + + br .Lexception_exit + + .section .exceptions.notirq.label, "xa" + +.Lnot_irq: + + /* + * Section .exceptions.exit is in alt_exception_entry.S + * This restores all the caller saved registers + */ + + .section .exceptions.exit.label +.Lexception_exit: + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_handler.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_handler.c new file mode 100644 index 0000000..bb52fc8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_handler.c @@ -0,0 +1,169 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include "system.h" + +/* + * This interrupt handler only works with an internal interrupt controller + * (IIC). Processors with an external interrupt controller (EIC) use an + * implementation provided by an EIC driver. + */ +#ifndef ALT_CPU_EIC_PRESENT + +#include "sys/alt_irq.h" +#include "os/alt_hooks.h" + +#include "alt_types.h" + +/* + * A table describing each interrupt handler. The index into the array is the + * interrupt id associated with the handler. + * + * When an interrupt occurs, the associated handler is called with + * the argument stored in the context member. + */ +struct ALT_IRQ_HANDLER +{ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + void (*handler)(void*); +#else + void (*handler)(void*, alt_u32); +#endif + void *context; +} alt_irq[ALT_NIRQ]; + +/* + * alt_irq_handler() is called by the interrupt exception handler in order to + * process any outstanding interrupts. + * + * It is defined here since it is linked in using weak linkage. + * This means that if there is never a call to alt_irq_register() (above) then + * this function will not get linked in to the executable. This is acceptable + * since if no handler is ever registered, then an interrupt can never occur. + * + * If Nios II interrupt vector custom instruction exists, use it to accelerate + * the dispatch of interrupt handlers. The Nios II interrupt vector custom + * instruction is present if the macro ALT_CI_INTERRUPT_VECTOR defined. + */ + +void alt_irq_handler (void) __attribute__ ((section (".exceptions"))); +void alt_irq_handler (void) +{ +#ifdef ALT_CI_INTERRUPT_VECTOR + alt_32 offset; + char* alt_irq_base = (char*)alt_irq; +#else + alt_u32 active; + alt_u32 mask; + alt_u32 i; +#endif /* ALT_CI_INTERRUPT_VECTOR */ + + /* + * Notify the operating system that we are at interrupt level. + */ + + ALT_OS_INT_ENTER(); + +#ifdef ALT_CI_INTERRUPT_VECTOR + /* + * Call the interrupt vector custom instruction using the + * ALT_CI_INTERRUPT_VECTOR macro. + * It returns the offset into the vector table of the lowest-valued pending + * interrupt (corresponds to highest priority) or a negative value if none. + * The custom instruction assumes that each table entry is eight bytes. + */ + while ((offset = ALT_CI_INTERRUPT_VECTOR) >= 0) { + struct ALT_IRQ_HANDLER* handler_entry = + (struct ALT_IRQ_HANDLER*)(alt_irq_base + offset); +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + handler_entry->handler(handler_entry->context); +#else + handler_entry->handler(handler_entry->context, offset >> 3); +#endif + } +#else /* ALT_CI_INTERRUPT_VECTOR */ + /* + * Obtain from the interrupt controller a bit list of pending interrupts, + * and then process the highest priority interrupt. This process loops, + * loading the active interrupt list on each pass until alt_irq_pending() + * return zero. + * + * The maximum interrupt latency for the highest priority interrupt is + * reduced by finding out which interrupts are pending as late as possible. + * Consider the case where the high priority interupt is asserted during + * the interrupt entry sequence for a lower priority interrupt to see why + * this is the case. + */ + + active = alt_irq_pending (); + + do + { + i = 0; + mask = 1; + + /* + * Test each bit in turn looking for an active interrupt. Once one is + * found, the interrupt handler asigned by a call to alt_irq_register() is + * called to clear the interrupt condition. + */ + + do + { + if (active & mask) + { +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_irq[i].handler(alt_irq[i].context); +#else + alt_irq[i].handler(alt_irq[i].context, i); +#endif + break; + } + mask <<= 1; + i++; + + } while (1); + + active = alt_irq_pending (); + + } while (active); +#endif /* ALT_CI_INTERRUPT_VECTOR */ + + /* + * Notify the operating system that interrupt processing is complete. + */ + + ALT_OS_INT_EXIT(); +} + +#endif /* ALT_CPU_EIC_PRESENT */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_register.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_register.c new file mode 100644 index 0000000..cf7261e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_register.c @@ -0,0 +1,102 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ +#include +#include "system.h" + +/* + * This interrupt registry mechanism works with the Nios II internal interrupt + * controller (IIC) only. Systems with an external interrupt controller (EIC), + * or those with the IIC who are using the enhanced interrupt API will + * utilize the alt_ic_isr_register() routine to register an interrupt. + */ +#ifndef NIOS2_EIC_PRESENT + +#include "sys/alt_irq.h" +#include "priv/alt_legacy_irq.h" +#include "os/alt_hooks.h" + +#include "alt_types.h" + +/* + * The header, alt_irq_entry.h, contains the exception entry point, and is + * provided by the processor component. It is included here, so that the code + * will be added to the executable only if alt_irq_register() is present, i.e. + * if no interrupts are registered - there's no need to provide any + * interrupt handling. + */ + +#include "sys/alt_irq_entry.h" + +/* + * The header, alt_irq_table.h contains a table describing which function + * handles each interrupt. + */ + +#include "priv/alt_irq_table.h" + +/* + * alt_irq_handler() is called to register an interrupt handler. If the + * function is succesful, then the requested interrupt will be enabled upon + * return. Registering a NULL handler will disable the interrupt. + * + * The return value is 0 if the interrupt handler was registered and the + * interrupt was enabled, otherwise it is negative. + */ + +int alt_irq_register (alt_u32 id, + void* context, + alt_isr_func handler) +{ + int rc = -EINVAL; + alt_irq_context status; + + if (id < ALT_NIRQ) + { + /* + * interrupts are disabled while the handler tables are updated to ensure + * that an interrupt doesn't occur while the tables are in an inconsistant + * state. + */ + + status = alt_irq_disable_all (); + + alt_irq[id].handler = handler; + alt_irq[id].context = context; + + rc = (handler) ? alt_irq_enable (id): alt_irq_disable (id); + + alt_irq_enable_all(status); + } + return rc; +} +#endif /* NIOS2_EIC_PRESENT */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_vars.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_vars.c new file mode 100644 index 0000000..4f4d140 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_irq_vars.c @@ -0,0 +1,47 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "alt_types.h" + +#include "system.h" + +/* + * These global variables are used to save the current list of enabled + * interrupts. See alt_irq.h for further details. + */ + +volatile alt_u32 alt_irq_active = 0; + +#ifndef ALT_EXCEPTION_STACK + +volatile alt_u32 alt_priority_mask = (alt_u32) -1; + +#endif + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_isatty.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_isatty.c new file mode 100644 index 0000000..73677dd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_isatty.c @@ -0,0 +1,125 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include "sys/alt_dev.h" +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +#ifdef ALT_USE_DIRECT_DRIVERS + +#include "system.h" + +/* + * Provide minimal version that just describes all file descriptors + * as tty devices for provided stdio devices. + */ +int ALT_ISATTY (int file) +{ + switch (file) { +#ifdef ALT_STDIN_PRESENT + case 0: /* stdin file descriptor */ +#endif /* ALT_STDIN_PRESENT */ +#ifdef ALT_STDOUT_PRESENT + case 1: /* stdout file descriptor */ +#endif /* ALT_STDOUT_PRESENT */ +#ifdef ALT_STDERR_PRESENT + case 2: /* stderr file descriptor */ +#endif /* ALT_STDERR_PRESENT */ + return 1; + default: + return 0; + } + +#if !defined(ALT_STDIN_PRESENT) && !defined(ALT_STDOUT_PRESENT) && !defined(ALT_STDERR_PRESENT) + /* Generate a link time warning, should this function ever be called. */ + ALT_STUB_WARNING(isatty); +#endif +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ +/* + * isatty() can be used to determine whether the input file descriptor "file" + * refers to a terminal device or not. If it is a terminal device then the + * return value is one, otherwise it is zero. + * + * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h + */ + +int ALT_ISATTY (int file) +{ + alt_fd* fd; + struct stat stat; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + /* + * If a device driver does not provide an fstat() function, then it is + * treated as a terminal device by default. + */ + + if (!fd->dev->fstat) + { + return 1; + } + + /* + * If a driver does provide an implementation of the fstat() function, then + * this is called so that the device can identify itself. + */ + + else + { + fstat (file, &stat); + return (stat.st_mode == _IFCHR) ? 1 : 0; + } + } + else + { + ALT_ERRNO = EBADFD; + return 0; + } +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_kill.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_kill.c new file mode 100644 index 0000000..58097d1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_kill.c @@ -0,0 +1,121 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include "sys/alt_errno.h" +#include "os/alt_syscall.h" + + +/* + * kill() is used by newlib in order to send signals to processes. Since there + * is only a single process in the HAL, the only valid values for pid are + * either the current process id, or the broadcast values, i.e. pid must be + * less than or equal to zero. + * + * ALT_KILL is mapped onto the kill() system call in alt_syscall.h + */ + +int ALT_KILL (int pid, int sig) +{ + int status = 0; + + if (pid <= 0) + { + switch (sig) + { + case 0: + + /* The null signal is used to check that a pid is valid. */ + + break; + + case SIGABRT: + case SIGALRM: + case SIGFPE: + case SIGILL: + case SIGKILL: + case SIGPIPE: + case SIGQUIT: + case SIGSEGV: + case SIGTERM: + case SIGUSR1: + case SIGUSR2: + case SIGBUS: + case SIGPOLL: + case SIGPROF: + case SIGSYS: + case SIGTRAP: + case SIGVTALRM: + case SIGXCPU: + case SIGXFSZ: + + /* + * The Posix standard defines the default behaviour for all these signals + * as being eqivalent to a call to _exit(). No mechanism is provided to + * change this behaviour. + */ + + _exit(0); + case SIGCHLD: + case SIGURG: + + /* + * The Posix standard defines these signals to be ignored by default. No + * mechanism is provided to change this behaviour. + */ + + break; + default: + + /* Tried to send an unsupported signal */ + + status = EINVAL; + } + } + + else if (pid > 0) + { + /* Attempted to signal a non-existant process */ + + status = ESRCH; + } + + if (status) + { + ALT_ERRNO = status; + return -1; + } + + return 0; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_link.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_link.c new file mode 100644 index 0000000..a57a5c4 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_link.c @@ -0,0 +1,56 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_warning.h" +#include "sys/alt_errno.h" +#include "os/alt_syscall.h" + +/* + * link() is used by newlib to create a new link to an existing file. This is + * unsupported in the HAL environment. However a "do-nothing" implementation + * is still provied for newlib compatability. + * + * ALT_LINK is mapped onto the link() system call in alt_syscall.h + */ + +int ALT_LINK ( char *existing, char *new) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(link); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_load.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_load.c new file mode 100644 index 0000000..27d492b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_load.c @@ -0,0 +1,88 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_load.h" +#include "sys/alt_cache.h" + +/* + * Linker defined symbols. + */ + +extern void __flash_rwdata_start; +extern void __ram_rwdata_start; +extern void __ram_rwdata_end; +extern void __flash_rodata_start; +extern void __ram_rodata_start; +extern void __ram_rodata_end; +extern void __flash_exceptions_start; +extern void __ram_exceptions_start; +extern void __ram_exceptions_end; + +/* + * alt_load() is called when the code is executing from flash. In this case + * there is no bootloader, so this application is responsible for loading to + * RAM any sections that are required. + */ + +void alt_load (void) +{ + /* + * Copy the .rwdata section. + */ + + alt_load_section (&__flash_rwdata_start, + &__ram_rwdata_start, + &__ram_rwdata_end); + + /* + * Copy the exception handler. + */ + + alt_load_section (&__flash_exceptions_start, + &__ram_exceptions_start, + &__ram_exceptions_end); + + /* + * Copy the .rodata section. + */ + + alt_load_section (&__flash_rodata_start, + &__ram_rodata_start, + &__ram_rodata_end); + + /* + * Now ensure that the caches are in synch. + */ + + alt_dcache_flush_all(); + alt_icache_flush_all(); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_log_macro.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_log_macro.S new file mode 100644 index 0000000..2e3cc26 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_log_macro.S @@ -0,0 +1,56 @@ +/* alt_log_macro.S + * + * Implements the function tx_log_str, called by the assembly macro + * ALT_LOG_PUTS(). The macro will be empty when logging is turned off, + * and this function will not be compiled. When logging is on, + * this function is used to print out the strings defined in the beginning + * of alt_log_printf.c, using port information taken from system.h and + * alt_log_printf.h. + * + * This routine only handles strings, and sends a character into the defined + * output device's output buffer when the device is ready. It's intended for + * debugging purposes, where messages can be set to print out at certain + * points in the boot code to indicate the progress of the program. + * + */ + +#ifndef __ALT_LOG_MACROS__ +#define __ALT_LOG_MACROS__ + +/* define this flag to skip assembly-incompatible parts + * of various include files. */ +#define ALT_ASM_SRC + +#ifdef ALT_LOG_ENABLE // only compile this function if this flag is defined. + + #include "system.h" + #include "sys/alt_log_printf.h" + + .global tx_log_str +tx_log_str: + /* load base uart / jtag uart address into r6 */ + movhi r6, %hiadj(ALT_LOG_PORT_BASE) + addi r6, r6, %lo(ALT_LOG_PORT_BASE) +tx_next_char: + /* if pointer points to null, return + * r4 is the pointer to the str to be printed, set by ALT_LOG_PUTS */ + ldb r7, (r4) + beq r0, r7, end_tx + + /* check device transmit ready */ +wait_tx_ready_loop: + ldwio r5, ALT_LOG_PRINT_REG_OFFSET(r6) + andi r5, r5, ALT_LOG_PRINT_MSK + beq r5, r0, wait_tx_ready_loop + /* write char */ + stwio r7, ALT_LOG_PRINT_TXDATA_REG_OFFSET (r6) + /* advance string pointer */ + addi r4, r4, 1 + br tx_next_char +end_tx: + ret + +#endif + +#endif /* __ALT_LOG_MACROS__ */ + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_log_printf.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_log_printf.c new file mode 100644 index 0000000..af0116f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_log_printf.c @@ -0,0 +1,479 @@ + +/* alt_log_printf.c + * + * This file implements the various C functions used for the + * alt_log logging/debugging print functions. The functions + * sit as is here - the job of hiding them from the compiler + * if logging is disabled is accomplished in the .h file. + * + * All the global variables for alt_log are defined here. + * These include the various flags that turn on additional + * logging options; the strings for assembly printing; and + * other globals needed by different logging options. + * + * There are 4 functions that handle the actual printing: + * alt_log_txchar: Actual function that puts 1 char to UART/JTAG UART. + * alt_log_repchar: Calls alt_log_txchar 'n' times - used by + * alt_log_private_printf for formatting. + * alt_log_private_printf: + * Stripped down implementation of printf - no floats. + * alt_log_printf_proc: + * Wrapper function for private_printf. + * + * The rest of the functions are called by the macros which + * were called by code in the other components. Each function + * is preceded by a comment, about which file it gets called + * in, and what its purpose is. + * + * author: gkwan + */ + +/* skip all code if enable is off */ +#ifdef ALT_LOG_ENABLE + +#include +#include +#include +#ifdef __ALTERA_AVALON_JTAG_UART + #include "altera_avalon_jtag_uart.h" + #include +#endif +#include "sys/alt_log_printf.h" + +/* strings for assembly puts */ +char alt_log_msg_bss[] = "[crt0.S] Clearing BSS \r\n";; +char alt_log_msg_alt_main[] = "[crt0.S] Calling alt_main.\r\n"; +char alt_log_msg_stackpointer[] \ + = "[crt0.S] Setting up stack and global pointers.\r\n"; +char alt_log_msg_cache[] = "[crt0.S] Inst & Data Cache Initialized.\r\n"; +/* char array allocation for alt_write */ +char alt_log_write_buf[ALT_LOG_WRITE_ECHO_LEN+2]; + +/* global variables for all 'on' flags */ + +/* + * The boot message flag is linked into the data (rwdata) section + * because if it is zero, it would otherwise be placed in the bss section. + * alt_log examines this variable before the BSS is cleared in the boot-up + * process. + */ +volatile alt_u32 alt_log_boot_on_flag \ + __attribute__ ((section (".data"))) = ALT_LOG_BOOT_ON_FLAG_SETTING; + +volatile alt_u8 alt_log_write_on_flag = ALT_LOG_WRITE_ON_FLAG_SETTING; + +volatile alt_u8 alt_log_sys_clk_on_flag = ALT_LOG_SYS_CLK_ON_FLAG_SETTING; + +volatile alt_u8 alt_log_jtag_uart_alarm_on_flag = \ + ALT_LOG_JTAG_UART_ALARM_ON_FLAG_SETTING; + +volatile alt_u8 alt_log_jtag_uart_isr_on_flag = \ + ALT_LOG_JTAG_UART_ISR_ON_FLAG_SETTING; + +volatile alt_u8 alt_log_jtag_uart_startup_info_on_flag = \ + ALT_LOG_JTAG_UART_STARTUP_INFO_ON_FLAG_SETTING; + +/* Global alarm object for recurrent JTAG UART status printing */ +alt_alarm alt_log_jtag_uart_alarm_1; + +/* Global ints for system clock printing and count */ +volatile int alt_log_sys_clk_count; +volatile int alt_system_clock_in_sec; + +/* enum used by alt_log_private_printf */ +enum +{ + pfState_chars, + pfState_firstFmtChar, + pfState_otherFmtChar +}; + + + + +/* Function to put one char onto the UART/JTAG UART txdata register. */ +void alt_log_txchar(int c,char *base) +{ + /* Wait until the device is ready for a character */ + while((ALT_LOG_PRINT_REG_RD(base) & ALT_LOG_PRINT_MSK) == 0) + ; + /* And pop the character into the register */ + ALT_LOG_PRINT_TXDATA_WR(base,c); +} + + +/* Called by alt_log_private_printf to print out characters repeatedly */ +void alt_log_repchar(char c,int r,int base) +{ + while(r-- > 0) + alt_log_txchar(c,(char*) base); +} + + +/* Stripped down printf function */ +void alt_log_private_printf(const char *fmt,int base,va_list args) + { + const char *w; + char c; + int state; + int fmtLeadingZero = 0; /* init these all to 0 for -W warnings. */ + int fmtLong = 0; + int fmtBeforeDecimal = 0; + int fmtAfterDecimal = 0; + int fmtBase = 0; + int fmtSigned = 0; + int fmtCase = 0; /* For hex format, if 1, A-F, else a-f. */ + + w = fmt; + state = pfState_chars; + + while(0 != (c = *w++)) + { + switch(state) + { + case pfState_chars: + if(c == '%') + { + fmtLeadingZero = 0; + fmtLong = 0; + fmtBase = 10; + fmtSigned = 1; + fmtCase = 0; /* Only %X sets this. */ + fmtBeforeDecimal = -1; + fmtAfterDecimal = -1; + state = pfState_firstFmtChar; + } + else + { + alt_log_txchar(c,(char*)base); + } + break; + + case pfState_firstFmtChar: + if(c == '0') + { + fmtLeadingZero = 1; + state = pfState_otherFmtChar; + } + else if(c == '%') + { + alt_log_txchar(c,(char*)base); + state = pfState_chars; + } + else + { + state = pfState_otherFmtChar; + goto otherFmtChar; + } + break; + + case pfState_otherFmtChar: +otherFmtChar: + if(c == '.') + { + fmtAfterDecimal = 0; + } + else if('0' <= c && c <= '9') + { + c -= '0'; + if(fmtAfterDecimal < 0) /* still before decimal */ + { + if(fmtBeforeDecimal < 0) + { + fmtBeforeDecimal = 0; + } + else + { + fmtBeforeDecimal *= 10; + } + fmtBeforeDecimal += c; + } + else + { + fmtAfterDecimal = (fmtAfterDecimal * 10) + c; + } + } + else if(c == 'l') + { + fmtLong = 1; + } + else /* we're up to the letter which determines type */ + { + switch(c) + { + case 'd': + case 'i': +doIntegerPrint: + { + unsigned long v; + unsigned long p; /* biggest power of fmtBase */ + unsigned long vShrink; /* used to count digits */ + int sign; + int digitCount; + + /* Get the value */ + if(fmtLong) + { + if (fmtSigned) + { + v = va_arg(args,long); + } + else + { + v = va_arg(args,unsigned long); + } + } + else + { + if (fmtSigned) + { + v = va_arg(args,int); + } + else + { + v = va_arg(args,unsigned int); + } + } + + /* Strip sign */ + sign = 0; + /* (assumes sign bit is #31) */ + if( fmtSigned && (v & (0x80000000)) ) + { + v = ~v + 1; + sign = 1; + } + + /* Count digits, and get largest place value */ + vShrink = v; + p = 1; + digitCount = 1; + while( (vShrink = vShrink / fmtBase) > 0 ) + { + digitCount++; + p *= fmtBase; + } + + /* Print leading characters & sign */ + fmtBeforeDecimal -= digitCount; + if(fmtLeadingZero) + { + if(sign) + { + alt_log_txchar('-',(char*)base); + fmtBeforeDecimal--; + } + alt_log_repchar('0',fmtBeforeDecimal,base); + } + else + { + if(sign) + { + fmtBeforeDecimal--; + } + alt_log_repchar(' ',fmtBeforeDecimal,base); + if(sign) + { + alt_log_txchar('-',(char*)base); + } + } + + /* Print numbery parts */ + while(p) + { + unsigned char d; + + d = v / p; + d += '0'; + if(d > '9') + { + d += (fmtCase ? 'A' : 'a') - '0' - 10; + } + alt_log_txchar(d,(char*)base); + + v = v % p; + p = p / fmtBase; + } + } + + state = pfState_chars; + break; + + case 'u': + fmtSigned = 0; + goto doIntegerPrint; + case 'o': + fmtSigned = 0; + fmtBase = 8; + goto doIntegerPrint; + case 'x': + fmtSigned = 0; + fmtBase = 16; + goto doIntegerPrint; + case 'X': + fmtSigned = 0; + fmtBase = 16; + fmtCase = 1; + goto doIntegerPrint; + + case 'c': + alt_log_repchar(' ',fmtBeforeDecimal-1,base); + alt_log_txchar(va_arg(args,int),(char*)base); + break; + + case 's': + { + char *s; + + s = va_arg(args,char *); + alt_log_repchar(' ',fmtBeforeDecimal-strlen(s),base); + + while(*s) + alt_log_txchar(*s++,(char*)base); + } + break; + } /* switch last letter of fmt */ + state=pfState_chars; + } + break; + } /* switch */ + } /* while chars left */ + } /* printf */ + +/* Main logging printf function */ +int alt_log_printf_proc(const char *fmt, ... ) +{ + va_list args; + + va_start (args, fmt); + alt_log_private_printf(fmt,ALT_LOG_PORT_BASE,args); + return (0); +} + +/* Below are the functions called by different macros in various components. */ + +/* If the system has a JTAG_UART, include JTAG_UART debugging functions */ +#ifdef __ALTERA_AVALON_JTAG_UART + +/* The alarm function in altera_avalon_jtag_uart.c. + * This function, when turned on, prints out the status + * of the JTAG UART Control register, every ALT_LOG_JTAG_UART_TICKS. + * If the flag is off, the alarm should never be registered, and this + * function should never run */ +alt_u32 altera_avalon_jtag_uart_report_log(void * context) +{ + if (alt_log_jtag_uart_alarm_on_flag) { + altera_avalon_jtag_uart_state* dev = (altera_avalon_jtag_uart_state*) context; + const char* header="JTAG Alarm:"; + alt_log_jtag_uart_print_control_reg(dev, dev->base, header); + return ALT_LOG_JTAG_UART_TICKS; + } + else + { + /* If flag is not on, return 0 to disable future alarms. + * Should never be here, alarm should not be enabled at all. */ + return 0; + } +} + +void alt_log_jtag_uart_print_control_reg(altera_avalon_jtag_uart_state* dev, int base, const char* header) +{ + unsigned int control, space, ac, wi, ri, we, re; + control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> + ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; + we= (control & ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK) >> + ALTERA_AVALON_JTAG_UART_CONTROL_WE_OFST; + re= (control & ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK) >> + ALTERA_AVALON_JTAG_UART_CONTROL_RE_OFST; + ri= (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) >> + ALTERA_AVALON_JTAG_UART_CONTROL_RI_OFST; + wi= (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) >> + ALTERA_AVALON_JTAG_UART_CONTROL_WI_OFST; + ac= (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) >> + ALTERA_AVALON_JTAG_UART_CONTROL_AC_OFST; + +#ifdef ALTERA_AVALON_JTAG_UART_SMALL + ALT_LOG_PRINTF( + "%s HW FIFO wspace=%d AC=%d WI=%d RI=%d WE=%d RE=%d\r\n", + header,space,ac,wi,ri,we,re); +#else + ALT_LOG_PRINTF( + "%s SW CirBuf = %d, HW FIFO wspace=%d AC=%d WI=%d RI=%d WE=%d RE=%d\r\n", + header,(dev->tx_out-dev->tx_in),space,ac,wi,ri,we,re); +#endif + + return; + +} + +/* In altera_avalon_jtag_uart.c + * Same output as the alarm function above, but this is called in the driver + * init function. Hence, it gives the status of the JTAG UART control register + * right at the initialization of the driver */ +void alt_log_jtag_uart_startup_info(altera_avalon_jtag_uart_state* dev, int base) +{ + const char* header="JTAG Startup Info:"; + alt_log_jtag_uart_print_control_reg(dev, base, header); + return; +} + +/* In altera_avalon_jtag_uart.c + * When turned on, this function will print out the status of the jtag uart + * control register every time there is a jtag uart "almost-empty" interrupt. */ +void alt_log_jtag_uart_isr_proc(int base, altera_avalon_jtag_uart_state* dev) +{ + if (alt_log_jtag_uart_isr_on_flag) { + const char* header="JTAG IRQ:"; + alt_log_jtag_uart_print_control_reg(dev, base, header); + } + return; +} + +#endif /* __ALTERA_AVALON_JTAG_UART */ + +/* In alt_write.c + * When the alt_log_write_on_flag is turned on, this function gets called + * every time alt_write gets called. The first + * ALT_LOG_WRITE_ECHO_LEN characters of every printf command (or any command + * that eventually calls write()) gets echoed to the alt_log output. */ +void alt_log_write(const void *ptr, size_t len) +{ + if (alt_log_write_on_flag) { + int temp_cnt; + int length=(ALT_LOG_WRITE_ECHO_LEN>len) ? len : ALT_LOG_WRITE_ECHO_LEN; + + if (length < 2) return; + + strncpy (alt_log_write_buf,ptr,length); + alt_log_write_buf[length-1]='\n'; + alt_log_write_buf[length]='\r'; + alt_log_write_buf[length+1]='\0'; + + /* Escape Ctrl-D's. If the Ctrl-D gets sent it might kill the terminal + * connection of alt_log. It will get replaced by 'D'. */ + for (temp_cnt=0;temp_cnt < length; temp_cnt++) { + if (alt_log_write_buf[temp_cnt]== 0x4) { + alt_log_write_buf[temp_cnt]='D'; + } + } + ALT_LOG_PRINTF("Write Echo: %s",alt_log_write_buf); + } +} + +/* In altera_avalon_timer_sc + * This function prints out a system clock is alive message + * every ALT_LOG_SYS_CLK_INTERVAL (in ticks). */ +void alt_log_system_clock() +{ + if (alt_log_sys_clk_on_flag) { + alt_log_sys_clk_count++; + if (alt_log_sys_clk_count > ALT_LOG_SYS_CLK_INTERVAL) { + alt_log_sys_clk_count = 0; + ALT_LOG_PRINTF("System Clock On %u\r\n",alt_system_clock_in_sec++); + } + } +} + + +#endif diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_lseek.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_lseek.c new file mode 100644 index 0000000..a56dbfb --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_lseek.c @@ -0,0 +1,117 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +#ifdef ALT_USE_DIRECT_DRIVERS + +off_t ALT_LSEEK (int file, off_t ptr, int dir) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(lseek); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +/* + * lseek() can be called to move the read/write pointer associated with the + * file descriptor "file". This function simply vectors the call to the lseek() + * function provided by the driver associated with the file descriptor. + * + * If the driver does not provide an implementation of lseek() an error is + * indicated. + * + * lseek() corresponds to the standard lseek() function. + * + * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h + * + */ + +off_t ALT_LSEEK (int file, off_t ptr, int dir) +{ + alt_fd* fd; + off_t rc = 0; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + /* + * If the device driver provides an implementation of the lseek() function, + * then call that to process the request. + */ + + if (fd->dev->lseek) + { + rc = fd->dev->lseek(fd, ptr, dir); + } + /* + * Otherwise return an error. + */ + + else + { + rc = -ENOTSUP; + } + } + else + { + rc = -EBADFD; + } + + if (rc < 0) + { + ALT_ERRNO = -rc; + rc = -1; + } + + return rc; +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_main.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_main.c new file mode 100644 index 0000000..33e3463 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_main.c @@ -0,0 +1,161 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include +#include +#include +#include + +#include "sys/alt_dev.h" +#include "sys/alt_sys_init.h" +#include "sys/alt_irq.h" +#include "sys/alt_dev.h" + +#include "os/alt_hooks.h" + +#include "priv/alt_file.h" +#include "alt_types.h" + +#include "system.h" + +#include "sys/alt_log_printf.h" + +extern void _do_ctors(void); +extern void _do_dtors(void); + +/* + * Standard arguments for main. By default, no arguments are passed to main. + * However a device driver may choose to configure these arguments by calling + * alt_set_args(). The expectation is that this facility will only be used by + * the iclient/ihost utility. + */ + +int alt_argc = 0; +char** alt_argv = {NULL}; +char** alt_envp = {NULL}; + +/* + * Prototype for the entry point to the users application. + */ + +extern int main (int, char **, char **); + +/* + * alt_main is the C entry point for the HAL. It is called by the assembler + * startup code in the processor specific crt0.S. It is responsible for: + * completing the C runtime configuration; configuring all the + * devices/filesystems/components in the system; and call the entry point for + * the users application, i.e. main(). + */ + +void alt_main (void) +{ +#ifndef ALT_NO_EXIT + int result; +#endif + + /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); + /* Initialize the interrupt controller. */ + alt_irq_init (NULL); + + /* Initialize the operating system */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); + ALT_OS_INIT(); + + /* + * Initialize the semaphore used to control access to the file descriptor + * list. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); + ALT_SEM_CREATE (&alt_fd_list_lock, 1); + + /* Initialize the device drivers/software components. */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); + alt_sys_init(); + ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_sys_init.\r\n"); + +#if !defined(ALT_USE_DIRECT_DRIVERS) && (defined(ALT_STDIN_PRESENT) || defined(ALT_STDOUT_PRESENT) || defined(ALT_STDERR_PRESENT)) + + /* + * Redirect stdio to the apropriate devices now that the devices have + * been initialized. This is only done if the user has requested these + * devices be present (not equal to /dev/null) and if direct drivers + * aren't being used. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); + alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); +#endif + +#ifndef ALT_NO_C_PLUS_PLUS + /* + * Call the C++ constructors + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); + _do_ctors (); +#endif /* ALT_NO_C_PLUS_PLUS */ + +#if !defined(ALT_NO_C_PLUS_PLUS) && !defined(ALT_NO_CLEAN_EXIT) && !defined(ALT_NO_EXIT) + /* + * Set the C++ destructors to be called at system shutdown. This is only done + * if a clean exit has been requested (i.e. the exit() function has not been + * redefined as _exit()). This is in the interest of reducing code footprint, + * in that the atexit() overhead is removed when it's not needed. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); + atexit (_do_dtors); +#endif + + /* + * Finally, call main(). The return code is then passed to a subsequent + * call to exit() unless the application is never supposed to exit. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); + +#ifdef ALT_NO_EXIT + main (alt_argc, alt_argv, alt_envp); +#else + result = main (alt_argc, alt_argv, alt_envp); + close(STDOUT_FILENO); + exit (result); +#endif + + ALT_LOG_PRINT_BOOT("[alt_main.c] After main - we should not be here?.\r\n"); +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_malloc_lock.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_malloc_lock.c new file mode 100644 index 0000000..89c2dd4 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_malloc_lock.c @@ -0,0 +1,52 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +/* + * These are the empty malloc lock/unlock stubs required by newlib. These are + * used to make newlib's malloc() function thread safe. The default HAL + * configuration is single threaded, so there is nothing to do here. Note that + * this requires that malloc is never called by an interrupt service routine. + */ + +void __malloc_lock ( struct _reent *_r ) +{ +} + +/* + * + */ + +void __malloc_unlock ( struct _reent *_r ) +{ +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_mcount.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_mcount.S new file mode 100644 index 0000000..cf510da --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_mcount.S @@ -0,0 +1,198 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2010 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* mcount or _mcount is inserted by GCC before the function prologue of every + * function when a program is compiled for profiling. At the start of mcount, + * we guarantee that: + * ra = self_pc (an address in the function which called mcount) + * r8 = from_pc (an address in the function which called mcount's caller) + * + * Because this is always called at the start of a function we can corrupt + * r2,r3 and r11-r15. We must not corrupt r4-r7 (because they might contain + * function arguments for the instrumented function) or r8 (which holds ra + * for the instrumented function). + */ + + .global __mcount_fn_head + + .global mcount + + /* _mcount is used by gcc4 */ + .global _mcount + +_mcount: +mcount: + /* Use a hash to speed up locating fn_entry. We use bits 5 upwards to choose + * the bucket because bits 1:0 will always be 0, and because the distribution + * of values for bits 4:2 won't be even (aligning on cache line boundaries + * will skew it). Higher bits should be fairly random. + */ + /* fn_head = mcount_fn_head + (((unsigned int)self_pc >> 5) & (HASH_BUCKETS - 1)); */ + + srli r2, ra, 3 + movhi r3, %hiadj(__mcount_fn_head) + addi r3, r3, %lo(__mcount_fn_head) + andi r2, r2, 0xFC + add r11, r2, r3 + + /* The fast case is where we have already allocated a function arc, and so + * also a function pointer. + */ + + /* First find the function being called (using self_pc) */ + mov r10, r11 +0: + ldw r10, 0(r10) + beq r10, zero, .Lnew_arc + ldw r2, 4(r10) + bne r2, ra, 0b + + /* Found a function entry for this PC. Now look for an arc with a matching + * from_pc value. There will always be at least one arc. */ + ldw r3, 8(r10) +0: + ldw r2, 4(r3) + beq r2, r8, .Lfound_arc + ldw r3, 0(r3) + bne r3, zero, 0b + +.Lnew_arc: + addi sp, sp, -24 + +.LCFI0: + stw ra, 0(sp) + stw r4, 4(sp) + stw r5, 8(sp) + stw r6, 12(sp) + stw r7, 16(sp) + stw r8, 20(sp) + +.LCFI1: + /* __mcount_record(orig_ra, orig_r8, fn_entry, *fn_head); */ + mov r4, ra + mov r5, r8 + mov r6, r10 + mov r7, r11 + call __mcount_record + + /* restore registers from the stack */ + ldw ra, 0(sp) + ldw r4, 4(sp) + ldw r5, 8(sp) + ldw r6, 12(sp) + ldw r7, 16(sp) + ldw r8, 20(sp) + + addi sp, sp, 24 + +.LCFI2: + ret + +.Lfound_arc: + /* We've found the correct arc record. Increment the count and return */ + ldw r2, 8(r3) + addi r2, r2, 1 + stw r2, 8(r3) + ret + +.Lmcount_end: + + + +/* + * Dwarf2 debug information for the function. This provides GDB with the + * information it needs to backtrace out of this function. + */ + + .section .debug_frame,"",@progbits +.LCIE: + .4byte 2f - 1f /* Length */ +1: + .4byte 0xffffffff /* CIE id */ + .byte 0x1 /* Version */ + .string "" /* Augmentation */ + .uleb128 0x1 /* Code alignment factor */ + .sleb128 -4 /* Data alignment factor */ + .byte 0x1f /* Return address register */ + + .byte 0xc /* Define CFA */ + .uleb128 0x1b /* Register 27 (sp) */ + .uleb128 0x0 /* Offset 0 */ + + .align 2 /* Padding */ +2: + +.LFDE_mcount: + .4byte 2f - 1f /* Length */ +1: + .4byte .LCIE /* Pointer to CIE */ + .4byte mcount /* Start of table entry */ + .4byte .Lmcount_end - mcount /* Size of table entry */ + + .byte 0x4 /* Advance location */ + .4byte .LCFI0 - mcount /* to .LCFI0 */ + .byte 0xe /* Define CFA offset */ + .uleb128 24 /* to 24 */ + + .byte 0x4 /* Advance location */ + .4byte .LCFI1 - .LCFI0 /* to .LCFI1 */ + .byte 0x9f /* Store ra */ + .uleb128 0x6 /* at CFA-24 */ + .byte 0x84 /* Store r4 */ + .uleb128 0x5 /* at CFA-20 */ + .byte 0x85 /* Store r5 */ + .uleb128 0x4 /* at CFA-16 */ + .byte 0x86 /* Store r6 */ + .uleb128 0x3 /* at CFA-12 */ + .byte 0x87 /* Store r7 */ + .uleb128 0x2 /* at CFA-8 */ + .byte 0x88 /* Store r8 */ + .uleb128 0x1 /* at CFA-4 */ + + .byte 0x4 /* Advance location */ + .4byte .LCFI2 - .LCFI1 /* to .LCFI2 */ + .byte 0xe /* Define CFA offset */ + .uleb128 0 /* to 0 */ + .byte 0x8 /* Same value */ + .uleb128 31 /* for ra */ + .byte 0x8 /* Same value */ + .uleb128 4 /* for r4 */ + .byte 0x8 /* Same value */ + .uleb128 5 /* for r5 */ + .byte 0x8 /* Same value */ + .uleb128 6 /* for r6 */ + .byte 0x8 /* Same value */ + .uleb128 7 /* for r7 */ + .byte 0x8 /* Same value */ + .uleb128 8 /* for r8 */ + + .align 2 +2: + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_open.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_open.c new file mode 100644 index 0000000..d7040bc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_open.c @@ -0,0 +1,173 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "alt_types.h" +#include "os/alt_syscall.h" + +#ifdef ALT_USE_DIRECT_DRIVERS + +int ALT_OPEN (const char* file, int flags, int mode) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(open); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +extern alt_llist alt_dev_list; + +/* + * alt_file_locked() is used by open() to ensure that a device has not been + * previously locked for exclusive access using ioctl(). This test is only + * performed for devices. Filesystems are required to handle the ioctl() call + * themselves, and report the error from the filesystems open() function. + */ + +static int alt_file_locked (alt_fd* fd) +{ + alt_u32 i; + + /* + * Mark the file descriptor as belonging to a device. + */ + + fd->fd_flags |= ALT_FD_DEV; + + /* + * Loop through all current file descriptors searching for one that's locked + * for exclusive access. If a match is found, generate an error. + */ + + for (i = 0; i <= alt_max_fd; i++) + { + if ((alt_fd_list[i].dev == fd->dev) && + (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && + (&alt_fd_list[i] != fd)) + { + return -EACCES; + } + } + + /* The device is not locked */ + + return 0; +} + +/* + * open() is called in order to get a file descriptor that reference the file + * or device named "name". This descriptor can then be used to manipulate the + * file/device using the standard system calls, e.g. write(), read(), ioctl() + * etc. + * + * This is equivalent to the standard open() system call. + * + * ALT_OPEN is mapped onto the open() system call in alt_syscall.h + */ + +int ALT_OPEN (const char* file, int flags, int mode) +{ + alt_dev* dev; + alt_fd* fd; + int index = -1; + int status = -ENODEV; + int isafs = 0; + + /* + * Check the device list, to see if a device with a matching name is + * registered. + */ + + if (!(dev = alt_find_dev (file, &alt_dev_list))) + { + /* No matching device, so try the filesystem list */ + + dev = alt_find_file (file); + isafs = 1; + } + + /* + * If a matching device or filesystem is found, allocate a file descriptor. + */ + + if (dev) + { + if ((index = alt_get_fd (dev)) < 0) + { + status = index; + } + else + { + fd = &alt_fd_list[index]; + fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); + + /* If this is a device, ensure it isn't already locked */ + + if (isafs || ((status = alt_file_locked (fd)) >= 0)) + { + /* + * If the device or filesystem provides an open() callback function, + * call it now to perform any device/filesystem specific operations. + */ + + status = (dev->open) ? dev->open(fd, file, flags, mode): 0; + } + } + } + else + { + status = -ENODEV; + } + + /* Allocation failed, so clean up and return an error */ + + if (status < 0) + { + alt_release_fd (index); + ALT_ERRNO = -status; + return -1; + } + + /* return the reference upon success */ + + return index; +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_printf.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_printf.c new file mode 100644 index 0000000..fe5bcd3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_printf.c @@ -0,0 +1,127 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +/* + * This file provides a very minimal printf implementation for use with very + * small applications. Only the following format strings are supported: + * %x + * %s + * %c + * %% + */ + +#include +#include "sys/alt_stdio.h" + +/* + * ALT printf function + */ +void +alt_printf(const char* fmt, ... ) +{ + va_list args; + va_start(args, fmt); + const char *w; + char c; + + /* Process format string. */ + w = fmt; + while ((c = *w++) != 0) + { + /* If not a format escape character, just print */ + /* character. Otherwise, process format string. */ + if (c != '%') + { + alt_putchar(c); + } + else + { + /* Get format character. If none */ + /* available, processing is complete. */ + if ((c = *w++) != 0) + { + if (c == '%') + { + /* Process "%" escape sequence. */ + alt_putchar(c); + } + else if (c == 'c') + { + int v = va_arg(args, int); + alt_putchar(v); + } + else if (c == 'x') + { + /* Process hexadecimal number format. */ + unsigned long v = va_arg(args, unsigned long); + unsigned long digit; + int digit_shift; + + /* If the number value is zero, just print and continue. */ + if (v == 0) + { + alt_putchar('0'); + continue; + } + + /* Find first non-zero digit. */ + digit_shift = 28; + while (!(v & (0xF << digit_shift))) + digit_shift -= 4; + + /* Print digits. */ + for (; digit_shift >= 0; digit_shift -= 4) + { + digit = (v & (0xF << digit_shift)) >> digit_shift; + if (digit <= 9) + c = '0' + digit; + else + c = 'a' + digit - 10; + alt_putchar(c); + } + } + else if (c == 's') + { + /* Process string format. */ + char *s = va_arg(args, char *); + + while(*s) + alt_putchar(*s++); + } + } + else + { + break; + } + } + } +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_putchar.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_putchar.c new file mode 100644 index 0000000..900bb9f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_putchar.c @@ -0,0 +1,59 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#ifdef ALT_USE_DIRECT_DRIVERS +#include "system.h" +#include "sys/alt_driver.h" +#include "sys/alt_stdio.h" +#endif + +/* + * Uses the ALT_DRIVER_WRITE() macro to call directly to driver if available. + * Otherwise, uses newlib provided putchar() routine. + */ +int +alt_putchar(int c) +{ +#ifdef ALT_USE_DIRECT_DRIVERS + ALT_DRIVER_WRITE_EXTERNS(ALT_STDOUT_DEV); + char c1 = (char)(c & 0xff); + + if (ALT_DRIVER_WRITE(ALT_STDOUT_DEV, &c1, 1, 0) == -1) { + return -1; + } + return c; +#else + return putchar(c); +#endif +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_putstr.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_putstr.c new file mode 100644 index 0000000..131ba19 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_putstr.c @@ -0,0 +1,55 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#ifdef ALT_USE_DIRECT_DRIVERS +#include +#include "system.h" +#include "sys/alt_driver.h" +#include "sys/alt_stdio.h" +#endif + +/* + * Uses the ALT_DRIVER_WRITE() macro to call directly to driver if available. + * Otherwise, uses newlib provided fputs() routine. + */ +int +alt_putstr(const char* str) +{ +#ifdef ALT_USE_DIRECT_DRIVERS + ALT_DRIVER_WRITE_EXTERNS(ALT_STDOUT_DEV); + return ALT_DRIVER_WRITE(ALT_STDOUT_DEV, str, strlen(str), 0); +#else + return fputs(str, stdout); +#endif +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_read.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_read.c new file mode 100644 index 0000000..920ab13 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_read.c @@ -0,0 +1,125 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +/* + * The read() system call is used to read a block of data from a file or device. + * This function simply vectors the request to the device driver associated + * with the input file descriptor "file". + * + * ALT_READ is mapped onto the read() system call in alt_syscall.h + */ + +#ifdef ALT_USE_DIRECT_DRIVERS + +#include "system.h" +#include "sys/alt_driver.h" + +/* + * Provide minimal version that just reads from the stdin device when provided. + */ + +int ALT_READ (int file, void *ptr, size_t len) +{ +#ifdef ALT_STDIN_PRESENT + ALT_DRIVER_READ_EXTERNS(ALT_STDIN_DEV); +#endif + +#if !defined(ALT_STDIN_PRESENT) + /* Generate a link time warning, should this function ever be called. */ + ALT_STUB_WARNING(read); +#endif + + switch (file) { +#ifdef ALT_STDIN_PRESENT + case 0: /* stdin file descriptor */ + return ALT_DRIVER_READ(ALT_STDIN_DEV, ptr, len, 0); +#endif /* ALT_STDIN_PRESENT */ + default: + ALT_ERRNO = EBADFD; + return -1; + } +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_READ (int file, void *ptr, size_t len) +{ + alt_fd* fd; + int rval; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + /* + * If the file has not been opened with read access, or if the driver does + * not provide an implementation of read(), generate an error. Otherwise + * call the drivers read() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && + (fd->dev->read)) + { + if ((rval = fd->dev->read(fd, ptr, len)) < 0) + { + ALT_ERRNO = -rval; + return -1; + } + return rval; + } + else + { + ALT_ERRNO = EACCES; + } + } + else + { + ALT_ERRNO = EBADFD; + } + return -1; +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_release_fd.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_release_fd.c new file mode 100644 index 0000000..c22a97f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_release_fd.c @@ -0,0 +1,54 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_dev.h" +#include "priv/alt_file.h" + +/* + * alt_release_fd() is called to free an allocated file descriptor. This is + * done by setting the device pointer in the file descriptor structure to zero. + * + * File descriptors correcponding to standard in, standard out and standard + * error cannont be released backed to the pool. They are always reserved. + */ + +void alt_release_fd (int fd) +{ + if (fd > 2) + { + alt_fd_list[fd].fd_flags = 0; + alt_fd_list[fd].dev = 0; + } +} + + + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_remap_cached.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_remap_cached.c new file mode 100644 index 0000000..6afc465 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_remap_cached.c @@ -0,0 +1,50 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "sys/alt_cache.h" +#include "system.h" + +#ifdef NIOS2_MMU_PRESENT +/* Convert KERNEL region address to IO region address */ +#define BYPASS_DCACHE_MASK (0x1 << 29) +#else +/* Set bit 31 of address to bypass D-cache */ +#define BYPASS_DCACHE_MASK (0x1 << 31) +#endif + +/* + * Convert a pointer to a block of uncached memory, into a block of + * cached memory. + */ + +void* alt_remap_cached (volatile void* ptr, alt_u32 len) +{ + return (void*) (((alt_u32) ptr) & ~BYPASS_DCACHE_MASK); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_remap_uncached.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_remap_uncached.c new file mode 100644 index 0000000..e533f94 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_remap_uncached.c @@ -0,0 +1,51 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "sys/alt_cache.h" +#include "system.h" + +#ifdef NIOS2_MMU_PRESENT +/* Convert KERNEL region address to IO region address */ +#define BYPASS_DCACHE_MASK (0x1 << 29) +#else +/* Set bit 31 of address to bypass D-cache */ +#define BYPASS_DCACHE_MASK (0x1 << 31) +#endif + +/* + * Convert a pointer to a block of cached memory, into a block of + * uncached memory. + */ + +volatile void* alt_remap_uncached (void* ptr, alt_u32 len) +{ + alt_dcache_flush (ptr, len); + return (volatile void*) (((alt_u32) ptr) | BYPASS_DCACHE_MASK); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_rename.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_rename.c new file mode 100644 index 0000000..26db44d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_rename.c @@ -0,0 +1,55 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "os/alt_syscall.h" + +/* + * _rename() is used by newlib to rename an existing file. This is unsupported + * in the HAL environment. However a "do-nothing" implementation is still + * provied for newlib compatability. + * + * ALT_RENAME is mapped onto the _rename() system call in alt_syscall.h + */ + +int ALT_RENAME (char *existing, char *new) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(_rename); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_sbrk.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_sbrk.c new file mode 100644 index 0000000..7ab3367 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_sbrk.c @@ -0,0 +1,136 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "os/alt_syscall.h" + +#include "sys/alt_irq.h" +#include "sys/alt_stack.h" + +#include "system.h" + +/* + * sbrk() is called to dynamically extend the data segment for the application. + * Thie input argument "incr" is the size of the block to allocate. + * + * This simple implementation does not perform any bounds checking. Memory will + * be allocated, even if the request region colides with the stack or overflows + * the available physical memory. + * + * ALT_SBRK is mapped onto the sbrk() system call in alt_syscall.h + * + * This function is called by the profiling code to allocate memory so must be + * safe if called from an interrupt context. It must also not be instrumented + * because that would lead to an infinate loop. + */ + +extern char __alt_heap_start[]; /* set by linker */ +extern char __alt_heap_limit[]; /* set by linker */ + +static char *heap_end = __alt_heap_start; + +#if defined(ALT_EXCEPTION_STACK) && defined(ALT_STACK_CHECK) +char * alt_exception_old_stack_limit = NULL; +#endif + +caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); + +caddr_t ALT_SBRK (int incr) +{ + alt_irq_context context; + char *prev_heap_end; + + context = alt_irq_disable_all(); + + /* Always return data aligned on a word boundary */ + heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); + +#ifdef ALT_MAX_HEAP_BYTES + /* + * User specified a maximum heap size. Return -1 if it would + * be exceeded by this sbrk call. + */ + if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { + alt_irq_enable_all(context); + return (caddr_t)-1; + } +#else + if ((heap_end + incr) > __alt_heap_limit) { + alt_irq_enable_all(context); + return (caddr_t)-1; + } +#endif + + prev_heap_end = heap_end; + heap_end += incr; + +#ifdef ALT_STACK_CHECK + /* + * If the stack and heap are contiguous then extending the heap reduces the + * space available for the stack. If we are still using the default stack + * then adjust the stack limit to note this, while checking for stack + * pointer overflow. + * If the stack limit isn't pointing at the top of the heap then the code + * is using a different stack so none of this needs to be done. + */ + + if (alt_stack_limit() == prev_heap_end) + { + if (alt_stack_pointer() <= heap_end) + alt_report_stack_overflow(); + + alt_set_stack_limit(heap_end); + } + +#ifdef ALT_EXCEPTION_STACK + /* + * If we are executing from the exception stack then compare against the + * stack we switched away from as well. The exception stack is a fixed + * size so doesn't need to be checked. + */ + + if (alt_exception_old_stack_limit == prev_heap_end) + { + if (alt_exception_old_stack_limit <= heap_end) + alt_report_stack_overflow(); + + alt_exception_old_stack_limit = heap_end; + } +#endif + +#endif + + alt_irq_enable_all(context); + + return (caddr_t) prev_heap_end; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_settod.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_settod.c new file mode 100644 index 0000000..13349b8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_settod.c @@ -0,0 +1,96 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include "sys/alt_errno.h" +#include "sys/alt_alarm.h" +#include "os/alt_syscall.h" + +/* + * "alt_timezone" and "alt_resettime" are the values of the the reset time and + * time zone set through the last call to settimeofday(). By default they are + * zero initialised. + */ + +extern struct timezone alt_timezone; +extern struct timeval alt_resettime; + +/* + * Macro defining the number of micoseconds in a second. + */ + +#define ALT_US (1000000) + + +/* + * settimeofday() can be called to calibrate the system clock, so that + * subsequent calls to gettimeofday() will return the elapsed "wall clock" + * time. + * + * This is done by updating the global structures "alt_resettime" and + * "alt_timezone" so that an immediate call to gettimeofday() would return + * the value specified by "t" and "tz". + * + * Warning: if this function is called concurrently with a call to + * gettimeofday(), the value returned by gettimeofday() will be unreliable. + * + * ALT_SETTIMEOFDAY is mapped onto the settimeofday() system call in + * alt_syscall.h + */ + +int ALT_SETTIMEOFDAY (const struct timeval *t, + const struct timezone *tz) +{ + alt_u32 nticks = alt_nticks (); + alt_u32 tick_rate = alt_ticks_per_second (); + + /* If there is a system clock available, update the current time */ + + if (tick_rate) + { + alt_resettime.tv_sec = t->tv_sec - nticks/tick_rate; + alt_resettime.tv_usec = t->tv_usec - + ((nticks*(ALT_US/tick_rate))%ALT_US); + + alt_timezone.tz_minuteswest = tz->tz_minuteswest; + alt_timezone.tz_dsttime = tz->tz_dsttime; + + return 0; + } + + /* There's no system clock available */ + + ALT_ERRNO = ENOSYS; + return -1; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_software_exception.S b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_software_exception.S new file mode 100644 index 0000000..0a9381e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_software_exception.S @@ -0,0 +1,53 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + + /* + * This file provides the global symbol: software_exception. It is provided to + * support legacy code, and should not be used by new software. + * + * It is used by legacy code to invoke the software exception handler as + * defined by version 1.0 of the Nios II kit. It should only be used when you + * are providing your own interrupt entry point, i.e. you are not using + * alt_irq_entry. + */ + +#include "system.h" + + /* + * Pull in the exception handler. + */ + + .globl alt_exception + + .section .exceptions.entry.label, "xa" + + .globl software_exception + .type software_exception, @function +software_exception: diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_stat.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_stat.c new file mode 100644 index 0000000..c196d0c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_stat.c @@ -0,0 +1,59 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include +#include +#include + +#include "os/alt_syscall.h" + +/* + * The stat() function is similar to the fstat() function in that it is used to + * obtain status information about a file. Instead of using an open file + * descriptor (like fstat()), stat() takes the name of a file as an input + * argument. + * + * ALT_STAT is mapped onto the stat() system call in alt_syscall.h + */ + +int ALT_STAT (const char *file, struct stat *st) +{ + int fd; + int rc; + + fd = open (file, 0); + rc = fstat (fd, st); + close (fd); + + return rc; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_tick.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_tick.c new file mode 100644 index 0000000..23719b1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_tick.c @@ -0,0 +1,149 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_irq.h" +#include "sys/alt_alarm.h" +#include "os/alt_hooks.h" +#include "alt_types.h" + +/* + * "_alt_tick_rate" is used to store the value of the system clock frequency + * in ticks per second. It is initialised to zero, which corresponds to there + * being no system clock facility available. + */ + +alt_u32 _alt_tick_rate = 0; + +/* + * "_alt_nticks" is the number of system clock ticks that have elapsed since + * reset. + */ + +volatile alt_u32 _alt_nticks = 0; + +/* + * "alt_alarm_list" is the head of a linked list of registered alarms. This is + * initialised to be an empty list. + */ + +ALT_LLIST_HEAD(alt_alarm_list); + +/* + * alt_alarm_stop() is called to remove an alarm from the list of registered + * alarms. Alternatively an alarm can unregister itself by returning zero when + * the alarm executes. + */ + +void alt_alarm_stop (alt_alarm* alarm) +{ + alt_irq_context irq_context; + + irq_context = alt_irq_disable_all(); + alt_llist_remove (&alarm->llist); + alt_irq_enable_all (irq_context); +} + +/* + * alt_tick() is periodically called by the system clock driver in order to + * process the registered list of alarms. Each alarm is registed with a + * callback interval, and a callback function, "callback". + * + * The return value of the callback function indicates how many ticks are to + * elapse until the next callback. A return value of zero indicates that the + * alarm should be deactivated. + * + * alt_tick() is expected to run at interrupt level. + */ + +void alt_tick (void) +{ + alt_alarm* next; + alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; + + alt_u32 next_callback; + + /* update the tick counter */ + + _alt_nticks++; + + /* process the registered callbacks */ + + while (alarm != (alt_alarm*) &alt_alarm_list) + { + next = (alt_alarm*) alarm->llist.next; + + /* + * Upon the tick-counter rolling over it is safe to clear the + * roll-over flag; once the flag is cleared this (or subsequnt) + * tick events are enabled to generate an alarm event. + */ + if ((alarm->rollover) && (_alt_nticks == 0)) + { + alarm->rollover = 0; + } + + /* if the alarm period has expired, make the callback */ + if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) + { + next_callback = alarm->callback (alarm->context); + + /* deactivate the alarm if the return value is zero */ + + if (next_callback == 0) + { + alt_alarm_stop (alarm); + } + else + { + alarm->time += next_callback; + + /* + * If the desired alarm time causes a roll-over, set the rollover + * flag. This will prevent the subsequent tick event from causing + * an alarm too early. + */ + if(alarm->time < _alt_nticks) + { + alarm->rollover = 1; + } + } + } + alarm = next; + } + + /* + * Update the operating system specific timer facilities. + */ + + ALT_OS_TIME_TICK(); +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_times.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_times.c new file mode 100644 index 0000000..6543164 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_times.c @@ -0,0 +1,71 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/alt_errno.h" +#include "sys/alt_alarm.h" +#include "os/alt_syscall.h" + +/* + * The times() function is used by newlib to obtain elapsed time information. + * The return value is the elapsed time since reset in system clock ticks. Note + * that this is distinct from the strict Posix version of times(), which should + * return the time since: 0 hours, 0 minutes, 0 seconds, January 1, 1970, GMT. + * + * The input structure is filled in with time accounting information. This + * implementation attributes all cpu time to the system. + * + * ALT_TIMES is mapped onto the times() system call in alt_syscall.h + */ + +clock_t ALT_TIMES (struct tms *buf) +{ + clock_t ticks = alt_nticks(); + + /* If there is no system clock present, generate an error */ + + if (!alt_ticks_per_second()) + { + ALT_ERRNO = ENOSYS; + return 0; + } + + /* Otherwise return the elapsed time */ + + buf->tms_utime = 0; + buf->tms_stime = ticks; + buf->tms_cutime = 0; + buf->tms_cstime = 0; + + return ticks; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_uncached_free.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_uncached_free.c new file mode 100644 index 0000000..37f4ac1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_uncached_free.c @@ -0,0 +1,49 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "sys/alt_cache.h" +#include "system.h" + +#ifdef NIOS2_MMU_PRESENT +/* Convert KERNEL region address to IO region address */ +#define BYPASS_DCACHE_MASK (0x1 << 29) +#else +/* Set bit 31 of address to bypass D-cache */ +#define BYPASS_DCACHE_MASK (0x1 << 31) +#endif + +/* + * Free a block of uncached memory. + */ + +void alt_uncached_free (volatile void* ptr) +{ + free ((void*) (((alt_u32) ptr) & ~BYPASS_DCACHE_MASK)); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_uncached_malloc.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_uncached_malloc.c new file mode 100644 index 0000000..325132e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_uncached_malloc.c @@ -0,0 +1,55 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "sys/alt_cache.h" +#include "system.h" + +#ifdef NIOS2_MMU_PRESENT +/* Convert KERNEL region address to IO region address */ +#define BYPASS_DCACHE_MASK (0x1 << 29) +#else +/* Set bit 31 of address to bypass D-cache */ +#define BYPASS_DCACHE_MASK (0x1 << 31) +#endif + +/* + * Allocate a block of uncached memory. + */ + +volatile void* alt_uncached_malloc (size_t size) +{ + void* ptr; + + ptr = malloc (size); + + alt_dcache_flush (ptr, size); + + return ptr ? (volatile void*) (((alt_u32) ptr) | BYPASS_DCACHE_MASK) : NULL; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_unlink.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_unlink.c new file mode 100644 index 0000000..606f019 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_unlink.c @@ -0,0 +1,55 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "os/alt_syscall.h" + +/* + * unlink() is used by newlib to delete an existing link to a file. This is + * unsupported in the HAL environment. However a "do-nothing" implementation + * is still provied for newlib compatability. + * + * ALT_UNLINK is mapped onto the unlink() system call in alt_syscall.h + */ + +int ALT_UNLINK (char *name) +{ + /* Generate a link time warning, should this function ever be called. */ + + ALT_STUB_WARNING(unlink); + + /* Indicate an error */ + + ALT_ERRNO = ENOSYS; + return -1; +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_usleep.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_usleep.c new file mode 100644 index 0000000..eea89cd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_usleep.c @@ -0,0 +1,52 @@ +/* + * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to + * deal in the Software without restriction, including without limitation the + * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or + * sell copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + * + * ------------ + * + * Altera does not recommend, suggest or require that this reference design + * file be used in conjunction or combination with any other product. + * + * usleep.c - Microsecond delay routine + */ + +#include + +#include "priv/alt_busy_sleep.h" +#include "os/alt_syscall.h" + +/* + * This function simply calls alt_busy_sleep() to perform the delay. This + * function implements the delay as a calibrated "busy loop". + * + * ALT_USLEEP is mapped onto the usleep() system call in alt_syscall.h + */ + + + +#if defined (__GNUC__) && __GNUC__ >= 4 +int ALT_USLEEP (useconds_t us) +#else +unsigned int ALT_USLEEP (unsigned int us) +#endif +{ + return alt_busy_sleep(us); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_wait.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_wait.c new file mode 100644 index 0000000..dd768ad --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_wait.c @@ -0,0 +1,52 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include "sys/alt_errno.h" +#include "os/alt_syscall.h" + +/* + * wait() is used by newlib to wait for all child processes to exit. Since the + * HAL does not support spawning child processes, this returns immediately as + * there can't be anythign to wait for. + * + * ALT_WAIT is mapped onto the wait() system call in alt_syscall.h + */ + +int ALT_WAIT (int *status) +{ + *status = 0; + + ALT_ERRNO = ECHILD; + + return -1; +} + diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/alt_write.c b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_write.c new file mode 100644 index 0000000..d161cdf --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/alt_write.c @@ -0,0 +1,138 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include +#include + +#include "sys/alt_errno.h" +#include "sys/alt_warning.h" +#include "priv/alt_file.h" +#include "os/alt_syscall.h" + +#include "sys/alt_log_printf.h" + +/* + * The write() system call is used to write a block of data to a file or + * device. This function simply vectors the request to the device driver + * associated with the input file descriptor "file". + * + * ALT_WRITE is mapped onto the write() system call in alt_syscall.h + */ + +#ifdef ALT_USE_DIRECT_DRIVERS + +#include "system.h" +#include "sys/alt_driver.h" + +/* + * Provide minimal version that just writes to the stdout/stderr devices + * when provided. + */ + +int ALT_WRITE (int file, const void *ptr, size_t len) +{ +#ifdef ALT_STDOUT_PRESENT + ALT_DRIVER_WRITE_EXTERNS(ALT_STDOUT_DEV); +#endif +#ifdef ALT_STDERR_PRESENT + ALT_DRIVER_WRITE_EXTERNS(ALT_STDERR_DEV); +#endif + +#if !defined(ALT_STDOUT_PRESENT) && !defined(ALT_STDERR_PRESENT) + /* Generate a link time warning, should this function ever be called. */ + ALT_STUB_WARNING(write); +#endif + + switch (file) { +#ifdef ALT_STDOUT_PRESENT + case 1: /* stdout file descriptor */ + return ALT_DRIVER_WRITE(ALT_STDOUT_DEV, ptr, len, 0); +#endif /* ALT_STDOUT_PRESENT */ +#ifdef ALT_STDERR_PRESENT + case 2: /* stderr file descriptor */ + return ALT_DRIVER_WRITE(ALT_STDERR_DEV, ptr, len, 0); +#endif /* ALT_STDERR_PRESENT */ + default: + ALT_ERRNO = EBADFD; + return -1; + } +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_WRITE (int file, const void *ptr, size_t len) +{ + alt_fd* fd; + int rval; + + /* + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + + if (fd) + { + /* + * If the file has not been opened with write access, or if the driver does + * not provide an implementation of write(), generate an error. Otherwise + * call the drivers write() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) + { + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_WRITE_FUNCTION(ptr,len); + + if ((rval = fd->dev->write(fd, ptr, len)) < 0) + { + ALT_ERRNO = -rval; + return -1; + } + return rval; + } + else + { + ALT_ERRNO = EACCES; + } + } + else + { + ALT_ERRNO = EBADFD; + } + return -1; +} + +#endif /* ALT_USE_DIRECT_DRIVERS */ diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/altera_nios2_qsys_irq.c b/software/DE2_115_ASM3_release_bsp/HAL/src/altera_nios2_qsys_irq.c new file mode 100644 index 0000000..c719dbc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/altera_nios2_qsys_irq.c @@ -0,0 +1,37 @@ +/* + * Copyright (c) 2009 Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to + * deal in the Software without restriction, including without limitation the + * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or + * sell copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + * + * altera_nios2_irq.c - Support for Nios II internal interrupt controller. + * + */ + +#include "sys/alt_irq.h" +#include "altera_nios2_qsys_irq.h" + +/* + * To initialize the internal interrupt controller, just clear the IENABLE + * register so that all possible IRQs are disabled. + */ +void altera_nios2_qsys_irq_init(void) +{ + NIOS2_WRITE_IENABLE(0); +} diff --git a/software/DE2_115_ASM3_release_bsp/HAL/src/crt0.S b/software/DE2_115_ASM3_release_bsp/HAL/src/crt0.S new file mode 100644 index 0000000..739e45e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/HAL/src/crt0.S @@ -0,0 +1,456 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "system.h" +#include "nios2.h" + +/* Setup header files to work with assembler code. */ +#define ALT_ASM_SRC + +/* Debug logging facility */ +#include "sys/alt_log_printf.h" + +/*************************************************************************\ +| MACROS | +\*************************************************************************/ + +/* + * The new build tools explicitly define macros when alt_load() + * must be called. The define ALT_LOAD_EXPLICITLY_CONTROLLED tells us that + * those macros are controlling if alt_load() needs to be called. + */ +#ifdef ALT_LOAD_EXPLICITLY_CONTROLLED + +/* Need to call alt_load() if any of these sections are being copied. */ +#if defined(ALT_LOAD_COPY_RODATA) || defined(ALT_LOAD_COPY_RWDATA) || defined(ALT_LOAD_COPY_EXCEPTIONS) +#define CALL_ALT_LOAD +#endif + +#else /* !ALT_LOAD_EXPLICITLY_CONTROLLED */ + +/* + * The legacy build tools use the following macros to detect when alt_load() + * needs to be called. + */ + +#define __ALT_LOAD_SECTIONS(res, text, rodata, exc) \ + ((res##_BASE != rodata##_BASE) || \ + (res##_BASE != rwdata##_BASE) || \ + (res##_BASE != exc##_BASE)) + +#define _ALT_LOAD_SECTIONS(res, text, rodata, exc) \ + __ALT_LOAD_SECTIONS(res, text, rodata, exc) + +#define ALT_LOAD_SECTIONS _ALT_LOAD_SECTIONS(ALT_RESET_DEVICE, \ + ALT_RODATA_DEVICE, \ + ALT_RWDATA_DEVICE, \ + ALT_EXCEPTIONS_DEVICE) + +/* Call alt_load() if there is no bootloader and ALT_LOAD_SECTIONS isn't 0. */ +#if defined(ALT_NO_BOOTLOADER) && ALT_LOAD_SECTIONS +#define CALL_ALT_LOAD +#endif + +#endif /* !ALT_LOAD_EXPLICITLY_CONTROLLED */ + +/* + * When the legacy build tools define a macro called ALT_NO_BOOTLOADER, + * it indicates that initialization code is allowed at the reset address. + * The new build tools define a macro called ALT_ALLOW_CODE_AT_RESET for + * the same purpose. + */ +#ifdef ALT_NO_BOOTLOADER +#define ALT_ALLOW_CODE_AT_RESET +#endif + +/*************************************************************************\ +| EXTERNAL REFERENCES | +\*************************************************************************/ + +/* + * The entry point for user code is either "main" in hosted mode, or + * "alt_main" in standalone mode. These are explicitly referenced here, + * to ensure they are built into the executable. This allows the user + * to build them into libraries, rather than supplying them in object + * files at link time. + */ + .globl main + .globl alt_main + +/* + * Create a reference to the software multiply/divide and trap handers, + * so that if they are provided, they will appear in the executable. + */ +#ifndef ALT_NO_INSTRUCTION_EMULATION + .globl alt_exception_muldiv +#endif +#ifdef ALT_TRAP_HANDLER + .globl alt_exception_trap +#endif + +/* + * Linker defined symbols used to initialize bss. + */ +.globl __bss_start +.globl __bss_end + +/*************************************************************************\ +| RESET SECTION (.entry) | +\*************************************************************************/ + +/* + * This is the reset entry point for Nios II. + * + * At reset, only the cache line which contain the reset vector is + * initialized by the hardware. The code within the first cache line + * initializes the remainder of the instruction cache. + */ + + .section .entry, "xa" + .align 5 + +/* + * Explicitly allow the use of r1 (the assembler temporary register) + * within this code. This register is normally reserved for the use of + * the assembler. + */ + .set noat + +/* + * Some tools want to know where the reset vector is. + * Code isn't always provided at the reset vector but at least the + * __reset label always contains the reset vector address because + * it is defined at the start of the .entry section. + */ + + .globl __reset + .type __reset, @function +__reset: + +/* + * Initialize the instruction cache if present (i.e. size > 0) and + * reset code is allowed unless optimizing for RTL simulation. + * RTL simulations can ensure the instruction cache is already initialized + * so skipping this loop speeds up RTL simulation. + */ + +#if NIOS2_ICACHE_SIZE > 0 && defined(ALT_ALLOW_CODE_AT_RESET) && !defined(ALT_SIM_OPTIMIZE) + /* Assume the instruction cache size is always a power of two. */ +#if NIOS2_ICACHE_SIZE > 0x8000 + movhi r2, %hi(NIOS2_ICACHE_SIZE) +#else + movui r2, NIOS2_ICACHE_SIZE +#endif + +0: + initi r2 + addi r2, r2, -NIOS2_ICACHE_LINE_SIZE + bgt r2, zero, 0b +1: + + /* + * The following debug information tells the ISS not to run the loop above + * but to perform its actions using faster internal code. + */ + .pushsection .debug_alt_sim_info + .int 1, 1, 0b, 1b + .popsection +#endif /* Initialize Instruction Cache */ + +/* + * Jump to the _start entry point in the .text section if reset code + * is allowed or if optimizing for RTL simulation. + */ +#if defined(ALT_ALLOW_CODE_AT_RESET) || defined(ALT_SIM_OPTIMIZE) + /* Jump to the _start entry point in the .text section. */ + movhi r1, %hi(_start) + ori r1, r1, %lo(_start) + jmp r1 + + .size __reset, . - __reset +#endif /* Jump to _start */ + +/* + * When not using exit, provide an _exit symbol to prevent unresolved + * references to _exit from the linker script. + */ +#ifdef ALT_NO_EXIT + .globl _exit +_exit: +#endif + +/*************************************************************************\ +| TEXT SECTION (.text) | +\*************************************************************************/ + +/* + * Start of the .text section, and also the code entry point when + * the code is executed by a bootloader rather than directly from reset. + */ + .section .text + .align 2 + + .globl _start + .type _start, @function +_start: + +/* + * Initialize the data cache if present (i.e. size > 0) and not + * optimizing for RTL simulation. + * RTL simulations can ensure the data cache is already initialized + * so skipping this loop speeds up RTL simulation. + */ + +#if NIOS2_DCACHE_SIZE > 0 && !defined(ALT_SIM_OPTIMIZE) + + /* Assume the data cache size is always a power of two. */ +#if NIOS2_DCACHE_SIZE > 0x8000 + movhi r2, %hi(NIOS2_DCACHE_SIZE) +#else + movui r2, NIOS2_DCACHE_SIZE +#endif + +0: + initd 0(r2) + addi r2, r2, -NIOS2_DCACHE_LINE_SIZE + bgt r2, zero, 0b +1: + + /* + * The following debug information tells the ISS not to run the loop above + * but to perform its actions using faster internal code. + */ + .pushsection .debug_alt_sim_info + .int 2, 1, 0b, 1b + .popsection + +#endif /* Initialize Data Cache */ + + /* Log that caches have been initialized. */ + ALT_LOG_PUTS(alt_log_msg_cache) + + /* Log that the stack pointer is about to be setup. */ + ALT_LOG_PUTS(alt_log_msg_stackpointer) + +#if (NIOS2_NUM_OF_SHADOW_REG_SETS == 0) + /* + * Now that the caches are initialized, set up the stack pointer. + * The value provided by the linker is assumed to be correctly aligned. + */ + movhi sp, %hi(__alt_stack_pointer) + ori sp, sp, %lo(__alt_stack_pointer) + + /* Set up the global pointer. */ + movhi gp, %hi(_gp) + ori gp, gp, %lo(_gp) + +#else /* NIOS2_NUM_OF_SHADOW_REG_SETS > 0 */ + + /* + * Set up the GP and SP in all shadow register sets. + */ + + /* + * Check current register set number, if CPU resets into a shadow register + * set, switch register set to 0 by writing zero to SSTATUS register and + * execute an ERET instruction that just jumps to the next PC address + * (use the NEXTPC instruction to get this). + */ + + rdctl r2, status + + /* Get the current register set number (STATUS.CRS). */ + andi r3, r2, NIOS2_STATUS_CRS_MSK + + /* Skip switch register set if STATUS.CRS is 0. */ + beq r3, zero, .Lskip_switch_reg_set + + .set nobreak + + /* Current register set is non-zero, set SSTATUS to 0. */ + mov sstatus, zero + + /* Get next pc and store in ea. */ + nextpc ea + + /* Point to instruction after eret. */ + addi ea, ea, 8 + + /* + * Execute ERET instruction that just jumps to the next PC address + */ + eret + +.Lskip_switch_reg_set: + mov r2, zero + + /* Reset STATUS register */ + wrctl status, r2 + + movui r3, NIOS2_NUM_OF_SHADOW_REG_SETS + + /* Set up the stack pointer in register set 0. */ + movhi sp, %hi(__alt_stack_pointer) + ori sp, sp, %lo(__alt_stack_pointer) + + /* Set up the global pointer in register set 0. */ + movhi gp, %hi(_gp) + ori gp, gp, %lo(_gp) + +.Lsetup_sp_and_gp_loop: + /* + * Setup GP and SP for shadow register set + * from NIOS2_NUM_OF_SHADOW_REG_SETS to 0 + */ + + /* Skip if number of register sets is 0. */ + beq r3, zero, .Lno_shadow_register_set + + + /* Add previous register set STATUS.PRS by 1 */ + movhi r4, 1 + add r2, r2, r4 + + /* Write STATUS */ + wrctl status, r2 + + /* Clear r0 in the shadow register set (not done by hardware) */ + wrprs r0, r0 + + /* Write the GP in previous register set */ + wrprs gp, gp + + /* Only write the SP in previous register set + * if using the seperate exception stack. For normal case (single stack), + * funnel code would read the SP from previous register set. + */ +#ifdef ALT_INTERRUPT_STACK + + movhi et, %hiadj(__alt_interrupt_stack_pointer) + addi et, et, %lo(__alt_interrupt_stack_pointer) + wrprs sp, et + +#endif /* ALT_INTERRUPT_STACK */ + + /* Decrease number of register set counter by 1 */ + addi r3, r3, -1 + + br .Lsetup_sp_and_gp_loop +.Lno_shadow_register_set: + +#endif /* NIOS2_NUM_OF_SHADOW_REG_SETS */ +/* + * Clear the BSS if not optimizing for RTL simulation. + * + * This uses the symbols: __bss_start and __bss_end, which are defined + * by the linker script. They mark the begining and the end of the bss + * region. The linker script guarantees that these values are word aligned. + */ +#ifndef ALT_SIM_OPTIMIZE + /* Log that the BSS is about to be cleared. */ + ALT_LOG_PUTS(alt_log_msg_bss) + + movhi r2, %hi(__bss_start) + ori r2, r2, %lo(__bss_start) + + movhi r3, %hi(__bss_end) + ori r3, r3, %lo(__bss_end) + + beq r2, r3, 1f + +0: + stw zero, (r2) + addi r2, r2, 4 + bltu r2, r3, 0b + +1: + + /* + * The following debug information tells the ISS not to run the loop above + * but to perform its actions using faster internal code. + */ + .pushsection .debug_alt_sim_info + .int 3, 1, 0b, 1b + .popsection +#endif /* ALT_SIM_OPTIMIZE */ + +/* + * The alt_load() facility is normally used when there is no bootloader. + * It copies some sections into RAM so it acts like a mini-bootloader. + */ +#ifdef CALL_ALT_LOAD + +#ifdef ALT_STACK_CHECK + /* + * If the user has selected stack checking then we need to set up a safe + * value in the stack limit register so that the relocation functions + * don't think the stack has overflowed (the contents of the rwdata + * section aren't defined until alt_load() has been called). + */ + mov et, zero +#endif + + call alt_load + +#endif /* CALL_ALT_LOAD */ + +#ifdef ALT_STACK_CHECK + /* + * Set up the stack limit (if required). The linker has set up the + * copy of the variable which is in memory. + */ + + ldw et, %gprel(alt_stack_limit_value)(gp) +#endif + + /* Log that alt_main is about to be called. */ + ALT_LOG_PUTS(alt_log_msg_alt_main) + + /* Call the C entry point. It should never return. */ + call alt_main + + /* Wait in infinite loop in case alt_main does return. */ +alt_after_alt_main: + br alt_after_alt_main + + .size _start, . - _start + +/* + * Add information about the stack base if stack overflow checking is enabled. + */ +#ifdef ALT_STACK_CHECK + .globl alt_stack_limit_value + .section .sdata,"aws",@progbits + .align 2 + .type alt_stack_limit_value, @object + .size alt_stack_limit_value, 4 +alt_stack_limit_value: + .long __alt_stack_limit +#endif diff --git a/software/DE2_115_ASM3_release_bsp/Makefile b/software/DE2_115_ASM3_release_bsp/Makefile new file mode 100644 index 0000000..0e63d38 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/Makefile @@ -0,0 +1,775 @@ +#------------------------------------------------------------------------------ +# BSP MAKEFILE +# +# This makefile was automatically generated by the nios2-bsp-generate-files +# command. Its purpose is to build a custom Board Support Package (BSP) +# targeting a specific Nios II processor in an SOPC Builder-based design. +# +# To create an application or library Makefile which uses this BSP, try the +# nios2-app-generate-makefile or nios2-lib-generate-makefile commands. +#------------------------------------------------------------------------------ + +#------------------------------------------------------------------------------ +# TOOLS +#------------------------------------------------------------------------------ + +MKDIR := mkdir -p +ECHO := echo +SPACE := $(empty) $(empty) + +#------------------------------------------------------------------------------ +# The adjust-path macro +# +# If COMSPEC is defined, Make is launched from Windows through +# Cygwin. This adjust-path macro will call 'cygpath -u' on all +# paths to ensure they are readable by Make. +# +# If COMSPEC is not defined, Make is launched from *nix, and no adjustment +# is necessary +#------------------------------------------------------------------------------ + +ifndef COMSPEC +ifdef ComSpec +COMSPEC = $(ComSpec) +endif # ComSpec +endif # !COMSPEC + +ifdef COMSPEC + adjust-path = $(subst $(SPACE),\$(SPACE),$(shell cygpath -u "$1")) + adjust-path-mixed = $(subst $(SPACE),\$(SPACE),$(shell cygpath -m "$1")) +else + adjust-path = $(subst $(SPACE),\$(SPACE),$1) + adjust-path-mixed = $(subst $(SPACE),\$(SPACE),$1) +endif + +#------------------------------------------------------------------------------ +# DEFAULT TARGET +# +# The default target, "all", must appear before any other target in the +# Makefile. Note that extra prerequisites are added to the "all" rule later. +#------------------------------------------------------------------------------ +.PHONY: all +all: + @$(ECHO) [BSP build complete] + + +#------------------------------------------------------------------------------ +# PATHS & DIRECTORY NAMES +# +# Explicitly locate absolute path of the BSP root +#------------------------------------------------------------------------------ + +BSP_ROOT_DIR := . + +# Define absolute path to the root of the BSP. +ABS_BSP_ROOT := $(call adjust-path-mixed,$(shell pwd)) + +# Stash all BSP object files here +OBJ_DIR := ./obj + +NEWLIB_DIR = $(BSP_ROOT_DIR)/newlib + + +#------------------------------------------------------------------------------ +# MANAGED CONTENT +# +# All content between the lines "START MANAGED" and "END MANAGED" below is +# generated based on variables in the BSP settings file when the +# nios2-bsp-generate-files command is invoked. If you wish to persist any +# information pertaining to the build process, it is recomended that you +# utilize the BSP settings mechanism to do so. +# +# Note that most variable assignments in this section have a corresponding BSP +# setting that can be changed by using the nios2-bsp-create-settings or +# nios2-bsp-update-settings command before nios2-bsp-generate-files; if you +# want any variable set to a specific value when this Makefile is re-generated +# (to prevent hand-edits from being over-written), use the BSP settings +# facilities above. +#------------------------------------------------------------------------------ + +#START MANAGED + +# The following TYPE comment allows tools to identify the 'type' of target this +# makefile is associated with. +# TYPE: BSP_PRIVATE_MAKEFILE + +# This following VERSION comment indicates the version of the tool used to +# generate this makefile. A makefile variable is provided for VERSION as well. +# ACDS_VERSION: 13.0sp1 +ACDS_VERSION := 13.0sp1 + +# This following BUILD_NUMBER comment indicates the build number of the tool +# used to generate this makefile. +# BUILD_NUMBER: 232 + +SETTINGS_FILE := settings.bsp +SOPC_FILE := C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo + +#------------------------------------------------------------------------------- +# TOOL & COMMAND DEFINITIONS +# +# The base command for each build operation are expressed here. Additional +# switches may be expressed here. They will run for all instances of the +# utility. +#------------------------------------------------------------------------------- + +# Archiver command. Creates library files. +AR = nios2-elf-ar + +# Assembler command. Note that CC is used for .S files. +AS = nios2-elf-gcc + +# Custom flags only passed to the archiver. This content of this variable is +# directly passed to the archiver rather than the more standard "ARFLAGS". The +# reason for this is that GNU Make assumes some default content in ARFLAGS. +# This setting defines the value of BSP_ARFLAGS in Makefile. +BSP_ARFLAGS = -src + +# Custom flags only passed to the assembler. This setting defines the value of +# BSP_ASFLAGS in Makefile. +BSP_ASFLAGS = -Wa,-gdwarf2 + +# C/C++ compiler debug level. '-g' provides the default set of debug symbols +# typically required to debug a typical application. Omitting '-g' removes +# debug symbols from the ELF. This setting defines the value of +# BSP_CFLAGS_DEBUG in Makefile. +BSP_CFLAGS_DEBUG = -g + +# C/C++ compiler optimization level. "-O0" = no optimization,"-O2" = "normal" +# optimization, etc. "-O0" is recommended for code that you want to debug since +# compiler optimization can remove variables and produce non-sequential +# execution of code while debugging. This setting defines the value of +# BSP_CFLAGS_OPTIMIZATION in Makefile. +BSP_CFLAGS_OPTIMIZATION = -O0 + +# C/C++ compiler warning level. "-Wall" is commonly used.This setting defines +# the value of BSP_CFLAGS_WARNINGS in Makefile. +BSP_CFLAGS_WARNINGS = -Wall + +# C compiler command. +CC = nios2-elf-gcc -xc + +# C++ compiler command. +CXX = nios2-elf-gcc -xc++ + +# Command used to remove files during 'clean' target. +RM = rm -f + + +#------------------------------------------------------------------------------- +# BUILD PRE & POST PROCESS COMMANDS +# +# The following variables are treated as shell commands in the rule +# definitions for each file-type associated with the BSP build, as well as +# commands run at the beginning and end of the entire BSP build operation. +# Pre-process commands are executed before the relevant command (for example, +# a command defined in the "CC_PRE_PROCESS" variable executes before the C +# compiler for building .c files), while post-process commands are executed +# immediately afterwards. +# +# You can view each pre/post-process command in the "Build Rules: All & +# Clean", "Pattern Rules to Build Objects", and "Library Rules" sections of +# this Makefile. +#------------------------------------------------------------------------------- + + +#------------------------------------------------------------------------------- +# BSP SOURCE BUILD SETTINGS (FLAG GENERATION) +# +# Software build settings such as compiler optimization, debug level, warning +# flags, etc., may be defined in the following variables. The variables below +# are concatenated together in the 'Flags' section of this Makefile to form +# final variables of flags passed to the build tools. +# +# These settings are considered private to the BSP and apply to all library & +# driver files in it; they do NOT automatically propagate to, for example, the +# build settings for an application. +# # For additional detail and syntax requirements, please refer to GCC help +# (example: "nios2-elf-gcc --help --verbose"). +# +# Unless indicated otherwise, multiple entries in each variable should be +# space-separated. +#------------------------------------------------------------------------------- + +# Altera HAL alt_sys_init.c generated source file +GENERATED_C_FILES := $(ABS_BSP_ROOT)/alt_sys_init.c +GENERATED_C_LIB_SRCS += alt_sys_init.c + + +#------------------------------------------------------------------------------- +# BSP SOURCE FILE LISTING +# +# All source files that comprise the BSP are listed here, along with path +# information to each file expressed relative to the BSP root. The precise +# list and location of each file is derived from the driver, operating system, +# or software package source file declarations. +# +# Following specification of the source files for each component, driver, etc., +# each source file type (C, assembly, etc.) is concatenated together and used +# to construct a list of objects. Pattern rules to build each object are then +# used to build each file. +#------------------------------------------------------------------------------- + +# altera_avalon_jtag_uart_driver sources root +altera_avalon_jtag_uart_driver_SRCS_ROOT := drivers + +# altera_avalon_jtag_uart_driver sources +altera_avalon_jtag_uart_driver_C_LIB_SRCS := \ + $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_init.c \ + $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_read.c \ + $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_write.c \ + $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_ioctl.c \ + $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_fd.c + +# altera_avalon_lcd_16207_driver sources root +altera_avalon_lcd_16207_driver_SRCS_ROOT := drivers + +# altera_avalon_lcd_16207_driver sources +altera_avalon_lcd_16207_driver_C_LIB_SRCS := \ + $(altera_avalon_lcd_16207_driver_SRCS_ROOT)/src/altera_avalon_lcd_16207.c \ + $(altera_avalon_lcd_16207_driver_SRCS_ROOT)/src/altera_avalon_lcd_16207_fd.c + +# altera_avalon_pio_driver sources root +altera_avalon_pio_driver_SRCS_ROOT := drivers + +# altera_avalon_pio_driver sources +# altera_nios2_qsys_hal_driver sources root +altera_nios2_qsys_hal_driver_SRCS_ROOT := HAL + +# altera_nios2_qsys_hal_driver sources +altera_nios2_qsys_hal_driver_C_LIB_SRCS := \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/altera_nios2_qsys_irq.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_usleep.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_busy_sleep.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_irq_vars.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_icache_flush.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_icache_flush_all.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_dcache_flush.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_dcache_flush_all.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_dcache_flush_no_writeback.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_instruction_exception_entry.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_irq_register.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_iic.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_remap_cached.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_remap_uncached.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_uncached_free.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_uncached_malloc.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_do_ctors.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_do_dtors.c \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_gmon.c + +altera_nios2_qsys_hal_driver_ASM_LIB_SRCS := \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_exception_entry.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_exception_trap.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_exception_muldiv.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_irq_entry.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_software_exception.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_mcount.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_log_macro.S \ + $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/crt0.S + +# hal sources root +hal_SRCS_ROOT := HAL + +# hal sources +hal_C_LIB_SRCS := \ + $(hal_SRCS_ROOT)/src/alt_alarm_start.c \ + $(hal_SRCS_ROOT)/src/alt_close.c \ + $(hal_SRCS_ROOT)/src/alt_dev.c \ + $(hal_SRCS_ROOT)/src/alt_dev_llist_insert.c \ + $(hal_SRCS_ROOT)/src/alt_dma_rxchan_open.c \ + $(hal_SRCS_ROOT)/src/alt_dma_txchan_open.c \ + $(hal_SRCS_ROOT)/src/alt_environ.c \ + $(hal_SRCS_ROOT)/src/alt_env_lock.c \ + $(hal_SRCS_ROOT)/src/alt_errno.c \ + $(hal_SRCS_ROOT)/src/alt_execve.c \ + $(hal_SRCS_ROOT)/src/alt_exit.c \ + $(hal_SRCS_ROOT)/src/alt_fcntl.c \ + $(hal_SRCS_ROOT)/src/alt_fd_lock.c \ + $(hal_SRCS_ROOT)/src/alt_fd_unlock.c \ + $(hal_SRCS_ROOT)/src/alt_find_dev.c \ + $(hal_SRCS_ROOT)/src/alt_find_file.c \ + $(hal_SRCS_ROOT)/src/alt_flash_dev.c \ + $(hal_SRCS_ROOT)/src/alt_fork.c \ + $(hal_SRCS_ROOT)/src/alt_fs_reg.c \ + $(hal_SRCS_ROOT)/src/alt_fstat.c \ + $(hal_SRCS_ROOT)/src/alt_get_fd.c \ + $(hal_SRCS_ROOT)/src/alt_getchar.c \ + $(hal_SRCS_ROOT)/src/alt_getpid.c \ + $(hal_SRCS_ROOT)/src/alt_gettod.c \ + $(hal_SRCS_ROOT)/src/alt_iic_isr_register.c \ + $(hal_SRCS_ROOT)/src/alt_instruction_exception_register.c \ + $(hal_SRCS_ROOT)/src/alt_ioctl.c \ + $(hal_SRCS_ROOT)/src/alt_io_redirect.c \ + $(hal_SRCS_ROOT)/src/alt_irq_handler.c \ + $(hal_SRCS_ROOT)/src/alt_isatty.c \ + $(hal_SRCS_ROOT)/src/alt_kill.c \ + $(hal_SRCS_ROOT)/src/alt_link.c \ + $(hal_SRCS_ROOT)/src/alt_load.c \ + $(hal_SRCS_ROOT)/src/alt_log_printf.c \ + $(hal_SRCS_ROOT)/src/alt_lseek.c \ + $(hal_SRCS_ROOT)/src/alt_main.c \ + $(hal_SRCS_ROOT)/src/alt_malloc_lock.c \ + $(hal_SRCS_ROOT)/src/alt_open.c \ + $(hal_SRCS_ROOT)/src/alt_printf.c \ + $(hal_SRCS_ROOT)/src/alt_putchar.c \ + $(hal_SRCS_ROOT)/src/alt_putstr.c \ + $(hal_SRCS_ROOT)/src/alt_read.c \ + $(hal_SRCS_ROOT)/src/alt_release_fd.c \ + $(hal_SRCS_ROOT)/src/alt_rename.c \ + $(hal_SRCS_ROOT)/src/alt_sbrk.c \ + $(hal_SRCS_ROOT)/src/alt_settod.c \ + $(hal_SRCS_ROOT)/src/alt_stat.c \ + $(hal_SRCS_ROOT)/src/alt_tick.c \ + $(hal_SRCS_ROOT)/src/alt_times.c \ + $(hal_SRCS_ROOT)/src/alt_unlink.c \ + $(hal_SRCS_ROOT)/src/alt_wait.c \ + $(hal_SRCS_ROOT)/src/alt_write.c + + +# Assemble all component C source files +COMPONENT_C_LIB_SRCS += \ + $(altera_avalon_jtag_uart_driver_C_LIB_SRCS) \ + $(altera_avalon_lcd_16207_driver_C_LIB_SRCS) \ + $(altera_nios2_qsys_hal_driver_C_LIB_SRCS) \ + $(hal_C_LIB_SRCS) + +# Assemble all component assembly source files +COMPONENT_ASM_LIB_SRCS += \ + $(altera_nios2_qsys_hal_driver_ASM_LIB_SRCS) + +# Assemble all component C++ source files +COMPONENT_CPP_LIB_SRCS += \ + +#END MANAGED + +#------------------------------------------------------------------------------ +# PUBLIC.MK +# +# The generated public.mk file contains BSP information that is shared with +# other external makefiles, such as a Nios II application makefile. System- +# dependent information such as hardware-specific compiler flags and +# simulation file generation are stored here. +# +# In addition, public.mk contains include paths that various software, +# such as a device driver, may need for the C compiler. These paths are +# written to public.mk with respect to the BSP root. In public.mk, each +# path is prefixed with a special variable, $(ALT_LIBRARY_ROOT_DIR). The +# purpose of this variable is to allow an external Makefile to append on +# path information to precisely locate paths expressed in public.mk +# Since this is the BSP Makefile, we set ALT_LIBRARY_ROOT_DIR to point right +# here ("."), at the BSP root. +# +# ALT_LIBRARY_ROOT_DIR must always be set before public.mk is included. +#------------------------------------------------------------------------------ +ALT_LIBRARY_ROOT_DIR := . +include public.mk + + +#------------------------------------------------------------------------------ +# FLAGS +# +# Include paths for BSP files are written into the public.mk file and must +# be added to the existing list of pre-processor flags. In addition, "hooks" +# for standard flags left intentionally empty (CFLAGS, CPPFLAGS, ASFLAGS, +# and CXXFLAGS) are provided for conveniently adding to the relevant flags +# on the command-line or via script that calls make. +#------------------------------------------------------------------------------ +# Assemble final list of compiler flags from generated content +BSP_CFLAGS += \ + $(BSP_CFLAGS_DEFINED_SYMBOLS) \ + $(BSP_CFLAGS_UNDEFINED_SYMBOLS) \ + $(BSP_CFLAGS_OPTIMIZATION) \ + $(BSP_CFLAGS_DEBUG) \ + $(BSP_CFLAGS_WARNINGS) \ + $(BSP_CFLAGS_USER_FLAGS) \ + $(ALT_CFLAGS) \ + $(CFLAGS) + +# Make ready the final list of include directories and other C pre-processor +# flags. Each include path is made ready by prefixing it with "-I". +BSP_CPPFLAGS += \ + $(addprefix -I, $(BSP_INC_DIRS)) \ + $(addprefix -I, $(ALT_INCLUDE_DIRS)) \ + $(ALT_CPPFLAGS) \ + $(CPPFLAGS) + +# Finish off assembler flags with any user-provided flags +BSP_ASFLAGS += $(ASFLAGS) + +# Finish off C++ flags with any user-provided flags +BSP_CXXFLAGS += $(CXXFLAGS) + +# And finally, the ordered list +C_SRCS += $(GENERATED_C_LIB_SRCS) \ + $(COMPONENT_C_LIB_SRCS) + +CXX_SRCS += $(GENERATED_CPP_LIB_SRCS) \ + $(COMPONENT_CPP_LIB_SRCS) + +ASM_SRCS += $(GENERATED_ASM_LIB_SRCS) \ + $(COMPONENT_ASM_LIB_SRCS) + + +#------------------------------------------------------------------------------ +# LIST OF GENERATED FILES +# +# A Nios II BSP relies on the generation of several source files used +# by both the BSP and any applications referencing the BSP. +#------------------------------------------------------------------------------ + + +GENERATED_H_FILES := $(ABS_BSP_ROOT)/system.h + +GENERATED_LINKER_SCRIPT := $(ABS_BSP_ROOT)/linker.x + +GENERATED_FILES += $(GENERATED_H_FILES) \ + $(GENERATED_LINKER_SCRIPT) + + +#------------------------------------------------------------------------------ +# SETUP TO BUILD OBJECTS +# +# List of object files which are to be built. This is constructed from the input +# list of C source files (C_SRCS), C++ source files (CXX_SRCS), and assembler +# source file (ASM_SRCS). The permitted file extensions are: +# +# .c .C - for C files +# .cxx .cc .cpp .CXX .CC .CPP - for C++ files +# .S .s - for assembly files +# +# Extended description: The list of objects is a sorted list (duplicates +# removed) of all possible objects, placed beneath the ./obj directory, +# including any path information stored in the "*_SRCS" variable. The +# "patsubst" commands are used to concatenate together multiple file suffix +# types for common files (i.e. c++ as .cxx, .cc, .cpp). +# +# File extensions are case-insensitive in build rules with the exception of +# assembly sources. Nios II assembly sources with the ".S" extension are first +# run through the C preprocessor. Sources with the ".s" extension are not. +#------------------------------------------------------------------------------ +OBJS = $(sort $(addprefix $(OBJ_DIR)/, \ + $(patsubst %.c, %.o, $(patsubst %.C, %.o, $(C_SRCS))) \ + $(patsubst %.cxx, %.o, $(patsubst %.CXX, %.o, \ + $(patsubst %.cc, %.o, $(patsubst %.CC, %.o, \ + $(patsubst %.cpp, %.o, $(patsubst %.CPP, %.o, \ + $(CXX_SRCS) )))))) \ + $(patsubst %.S, %.o, $(patsubst %.s, %.o, $(ASM_SRCS))) )) + +# List of dependancy files for each object file. +DEPS = $(OBJS:.o=.d) + + +# Rules to force your project to rebuild or relink +# .force_relink file will cause any application that depends on this project to relink +# .force_rebuild file will cause this project to rebuild object files +# .force_rebuild_all file will cause this project and any project that depends on this project to rebuild object files + +FORCE_RELINK_DEP := .force_relink +FORCE_REBUILD_DEP := .force_rebuild +FORCE_REBUILD_ALL_DEP := .force_rebuild_all +FORCE_REBUILD_DEP_LIST := $(FORCE_RELINK_DEP) $(FORCE_REBUILD_DEP) $(FORCE_REBUILD_ALL_DEP) + +$(FORCE_REBUILD_DEP_LIST): + +$(OBJS): $(wildcard $(FORCE_REBUILD_DEP)) $(wildcard $(FORCE_REBUILD_ALL_DEP)) + + +#------------------------------------------------------------------------------ +# BUILD RULES: ALL & CLEAN +#------------------------------------------------------------------------------ +.DELETE_ON_ERROR: + +.PHONY: all +all: build_pre_process +all: Makefile $(GENERATED_FILES) $(BSP_LIB) $(NEWLIB_DIR) +all: build_post_process + + +# clean: remove .o/.a/.d +.PHONY: clean +clean: + @$(RM) -r $(BSP_LIB) $(OBJ_DIR) $(FORCE_REBUILD_DEP_LIST) +ifneq ($(wildcard $(NEWLIB_DIR)),) + @$(RM) -r $(NEWLIB_DIR) +endif + @$(ECHO) [BSP clean complete] + + +#------------------------------------------------------------------------------ +# BUILD PRE/POST PROCESS +#------------------------------------------------------------------------------ +build_pre_process : + $(BUILD_PRE_PROCESS) + +build_post_process : + $(BUILD_POST_PROCESS) + +.PHONY: build_pre_process build_post_process + + + +#------------------------------------------------------------------------------ +# MAKEFILE UP TO DATE? +# +# Is this very Makefile up to date? Someone may have changed the BSP settings +# file or the associated target hardware. +#------------------------------------------------------------------------------ +# Skip this check when clean is the only target +ifneq ($(MAKECMDGOALS),clean) + +ifneq ($(wildcard $(SETTINGS_FILE)),$(SETTINGS_FILE)) +$(warning Warning: BSP Settings File $(SETTINGS_FILE) could not be found.) +endif + +Makefile: $(wildcard $(SETTINGS_FILE)) + @$(ECHO) Makefile not up to date. + @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated. + @$(ECHO) + @$(ECHO) Generate the BSP to update the Makefile, and then build again. + @$(ECHO) + @$(ECHO) To generate from Eclipse: + @$(ECHO) " 1. Right-click the BSP project." + @$(ECHO) " 2. In the Nios II Menu, click Generate BSP." + @$(ECHO) + @$(ECHO) To generate from the command line: + @$(ECHO) " nios2-bsp-generate-files --settings= --bsp-dir=" + @$(ECHO) + @exit 1 + +ifneq ($(wildcard $(SOPC_FILE)),$(SOPC_FILE)) +$(warning Warning: SOPC File $(SOPC_FILE) could not be found.) +endif + +public.mk: $(wildcard $(SOPC_FILE)) + @$(ECHO) Makefile not up to date. + @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated. + @$(ECHO) + @$(ECHO) Generate the BSP to update the Makefile, and then build again. + @$(ECHO) + @$(ECHO) To generate from Eclipse: + @$(ECHO) " 1. Right-click the BSP project." + @$(ECHO) " 2. In the Nios II Menu, click Generate BSP." + @$(ECHO) + @$(ECHO) To generate from the command line: + @$(ECHO) " nios2-bsp-generate-files --settings= --bsp-dir=" + @$(ECHO) + @exit 1 + +endif # $(MAKECMDGOALS) != clean + +#------------------------------------------------------------------------------ +# PATTERN RULES TO BUILD OBJECTS +#------------------------------------------------------------------------------ +$(OBJ_DIR)/%.o: %.c + @$(ECHO) Compiling $( + +/* + * Device headers + */ + +#include "altera_nios2_qsys_irq.h" +#include "altera_avalon_jtag_uart.h" +#include "altera_avalon_lcd_16207.h" + +/* + * Allocate the device storage + */ + +ALTERA_NIOS2_QSYS_IRQ_INSTANCE ( NIOS2_PROCESSOR, nios2_processor); +ALTERA_AVALON_JTAG_UART_INSTANCE ( JTAG_UART, jtag_uart); +ALTERA_AVALON_LCD_16207_INSTANCE ( LCD_16207_0, lcd_16207_0); + +/* + * Initialize the interrupt controller devices + * and then enable interrupts in the CPU. + * Called before alt_sys_init(). + * The "base" parameter is ignored and only + * present for backwards-compatibility. + */ + +void alt_irq_init ( const void* base ) +{ + ALTERA_NIOS2_QSYS_IRQ_INIT ( NIOS2_PROCESSOR, nios2_processor); + alt_irq_cpu_enable_interrupts(); +} + +/* + * Initialize the non-interrupt controller devices. + * Called after alt_irq_init(). + */ + +void alt_sys_init( void ) +{ + ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); + ALTERA_AVALON_LCD_16207_INIT ( LCD_16207_0, lcd_16207_0); +} diff --git a/software/DE2_115_ASM3_release_bsp/create-this-bsp b/software/DE2_115_ASM3_release_bsp/create-this-bsp new file mode 100644 index 0000000..1228b16 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/create-this-bsp @@ -0,0 +1,49 @@ +#!/bin/bash +# +# This script creates the ucosii_net_zipfs Board Support Package (BSP). + +BSP_TYPE=hal +BSP_DIR=. +SOPC_DIR=../../ +SOPC_FILE=C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo +NIOS2_BSP_ARGS="" +CPU_NAME= + + +# Don't run make if create-this-app script is called with --no-make arg +SKIP_MAKE= +while [ $# -gt 0 ] +do + case "$1" in + --no-make) + SKIP_MAKE=1 + ;; + *) + NIOS2_BSP_ARGS="$NIOS2_BSP_ARGS $1" + ;; + esac + shift +done + + +# Run nios2-bsp utility to create a hal BSP in this directory +# for the system with a .sopc file in $SOPC_FILE. +# Deprecating $SOPC_DIR in 10.1. Multiple .sopcinfo files in a directory may exist. + +if [ -z "$SOPC_FILE" ]; then + echo "WARNING: Use of a directory for locating a .sopcinfo file is deprecated in 10.1. Multiple .sopcinfo files may exist. You must specify the full .sopcinfo path." + cmd="nios2-bsp $BSP_TYPE $BSP_DIR $SOPC_DIR $NIOS2_BSP_ARGS $CPU_NAME" +else + cmd="nios2-bsp $BSP_TYPE $BSP_DIR $SOPC_FILE $NIOS2_BSP_ARGS $CPU_NAME" +fi + + +echo "create-this-bsp: Running \"$cmd\"" +$cmd || { + echo "$cmd failed" + exit 1 +} +if [ -z "$SKIP_MAKE" ]; then + echo "create-this-bsp: Running make" + make +fi diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart.h new file mode 100644 index 0000000..3168183 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart.h @@ -0,0 +1,198 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALT_AVALON_JTAG_UART_H__ +#define __ALT_AVALON_JTAG_UART_H__ + +#include + +#include "sys/alt_alarm.h" +#include "sys/alt_warning.h" + +#include "os/alt_sem.h" +#include "os/alt_flag.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * If the user wants all drivers to be small rather than fast then make sure + * this one is marked as needing to be small. + */ +#if defined ALT_USE_SMALL_DRIVERS && !defined ALTERA_AVALON_JTAG_UART_SMALL +#define ALTERA_AVALON_JTAG_UART_SMALL +#endif + +/* + * If the user wants to ignore FIFO full error after timeout + */ +#if defined ALT_JTAG_UART_IGNORE_FIFO_FULL_ERROR && !defined ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR +#define ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR +#endif + +/* + * Constants that can be overriden. + */ +#ifndef ALTERA_AVALON_JTAG_UART_DEFAULT_TIMEOUT +#define ALTERA_AVALON_JTAG_UART_DEFAULT_TIMEOUT 10 +#endif + +#ifndef ALTERA_AVALON_JTAG_UART_BUF_LEN +#define ALTERA_AVALON_JTAG_UART_BUF_LEN 2048 +#endif + +/* + * ALT_JTAG_UART_READ_RDY and ALT_JTAG_UART_WRITE_RDY are the bitmasks + * that define uC/OS-II event flags that are releated to this device. + * + * ALT_JTAG_UART_READ_RDY indicates that there is read data in the buffer + * ready to be processed. ALT_JTAG_UART_WRITE_RDY indicates that the transmitter is + * ready for more data. + */ +#define ALT_JTAG_UART_READ_RDY 0x1 +#define ALT_JTAG_UART_WRITE_RDY 0x2 +#define ALT_JTAG_UART_TIMEOUT 0x4 + +/* + * State structure definition. Each instance of the driver uses one + * of these structures to hold its associated state. + */ + +typedef struct altera_avalon_jtag_uart_state_s +{ + unsigned int base; + +#ifndef ALTERA_AVALON_JTAG_UART_SMALL + + unsigned int timeout; /* Timeout until host is assumed inactive */ + alt_alarm alarm; + unsigned int irq_enable; + unsigned int host_inactive; + + ALT_SEM (read_lock) + ALT_SEM (write_lock) + ALT_FLAG_GRP (events) + + /* The variables below are volatile because they are modified by the + * interrupt routine. Making them volatile and reading them atomically + * means that we don't need any large critical sections. + */ + volatile unsigned int rx_in; + unsigned int rx_out; + unsigned int tx_in; + volatile unsigned int tx_out; + char rx_buf[ALTERA_AVALON_JTAG_UART_BUF_LEN]; + char tx_buf[ALTERA_AVALON_JTAG_UART_BUF_LEN]; + +#endif /* !ALTERA_AVALON_JTAG_UART_SMALL */ + +} altera_avalon_jtag_uart_state; + +/* + * Macros used by alt_sys_init when the ALT file descriptor facility isn't used. + */ + +#ifdef ALTERA_AVALON_JTAG_UART_SMALL + +#define ALTERA_AVALON_JTAG_UART_STATE_INSTANCE(name, state) \ + altera_avalon_jtag_uart_state state = \ + { \ + name##_BASE, \ + } + +#define ALTERA_AVALON_JTAG_UART_STATE_INIT(name, state) + +#else /* !ALTERA_AVALON_JTAG_UART_SMALL */ + +#define ALTERA_AVALON_JTAG_UART_STATE_INSTANCE(name, state) \ + altera_avalon_jtag_uart_state state = \ + { \ + name##_BASE, \ + ALTERA_AVALON_JTAG_UART_DEFAULT_TIMEOUT, \ + } + +/* + * Externally referenced routines + */ +extern void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, + int irq_controller_id, int irq); + +#define ALTERA_AVALON_JTAG_UART_STATE_INIT(name, state) \ + { \ + if (name##_IRQ == ALT_IRQ_NOT_CONNECTED) \ + { \ + ALT_LINK_ERROR ("Error: Interrupt not connected for " #name ". " \ + "You have selected the interrupt driven version of " \ + "the ALTERA Avalon JTAG UART driver, but the " \ + "interrupt is not connected for this device. You can " \ + "select a polled mode driver by checking the 'small " \ + "driver' option in the HAL configuration window, or " \ + "by using the -DALTERA_AVALON_JTAG_UART_SMALL " \ + "preprocessor flag."); \ + } \ + else \ + altera_avalon_jtag_uart_init(&state, \ + name##_IRQ_INTERRUPT_CONTROLLER_ID, \ + name##_IRQ); \ + } + +#endif /* ALTERA_AVALON_JTAG_UART_SMALL */ + +/* + * Include in case non-direct version of driver required. + */ +#include "altera_avalon_jtag_uart_fd.h" + +/* + * Map alt_sys_init macros to direct or non-direct versions. + */ +#ifdef ALT_USE_DIRECT_DRIVERS + +#define ALTERA_AVALON_JTAG_UART_INSTANCE(name, state) \ + ALTERA_AVALON_JTAG_UART_STATE_INSTANCE(name, state) +#define ALTERA_AVALON_JTAG_UART_INIT(name, state) \ + ALTERA_AVALON_JTAG_UART_STATE_INIT(name, state) + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +#define ALTERA_AVALON_JTAG_UART_INSTANCE(name, dev) \ + ALTERA_AVALON_JTAG_UART_DEV_INSTANCE(name, dev) +#define ALTERA_AVALON_JTAG_UART_INIT(name, dev) \ + ALTERA_AVALON_JTAG_UART_DEV_INIT(name, dev) + +#endif /* ALT_USE_DIRECT_DRIVERS */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_AVALON_JTAG_UART_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart_fd.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart_fd.h new file mode 100644 index 0000000..183bd3f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart_fd.h @@ -0,0 +1,125 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALT_AVALON_JTAG_UART_FD_H__ +#define __ALT_AVALON_JTAG_UART_FD_H__ + +#include "sys/alt_dev.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * Externally referenced routines + */ +extern int altera_avalon_jtag_uart_read_fd (alt_fd* fd, char* ptr, int len); +extern int altera_avalon_jtag_uart_write_fd (alt_fd* fd, const char* ptr, + int len); + +/* + * Device structure definition. This is needed by alt_sys_init in order to + * reserve memory for the device instance. + */ + +typedef struct altera_avalon_jtag_uart_dev_s +{ + alt_dev dev; + altera_avalon_jtag_uart_state state; +} altera_avalon_jtag_uart_dev; + +/* + * Macros used by alt_sys_init when the ALT file descriptor facility is used. + */ + +#ifdef ALTERA_AVALON_JTAG_UART_SMALL + +#define ALTERA_AVALON_JTAG_UART_DEV_INSTANCE(name, d) \ + static altera_avalon_jtag_uart_dev d = \ + { \ + { \ + ALT_LLIST_ENTRY, \ + name##_NAME, \ + NULL, /* open */ \ + NULL, /* close */ \ + altera_avalon_jtag_uart_read_fd, \ + altera_avalon_jtag_uart_write_fd, \ + NULL, /* lseek */ \ + NULL, /* fstat */ \ + NULL, /* ioctl */ \ + }, \ + { \ + name##_BASE, \ + } \ + } + +#define ALTERA_AVALON_JTAG_UART_DEV_INIT(name, d) alt_dev_reg (&d.dev) + +#else /* !ALTERA_AVALON_JTAG_UART_SMALL */ + +extern int altera_avalon_jtag_uart_close_fd(alt_fd* fd); +extern int altera_avalon_jtag_uart_ioctl_fd (alt_fd* fd, int req, void* arg); + +#define ALTERA_AVALON_JTAG_UART_DEV_INSTANCE(name, d) \ + static altera_avalon_jtag_uart_dev d = \ + { \ + { \ + ALT_LLIST_ENTRY, \ + name##_NAME, \ + NULL, /* open */ \ + altera_avalon_jtag_uart_close_fd, \ + altera_avalon_jtag_uart_read_fd, \ + altera_avalon_jtag_uart_write_fd, \ + NULL, /* lseek */ \ + NULL, /* fstat */ \ + altera_avalon_jtag_uart_ioctl_fd, \ + }, \ + { \ + name##_BASE, \ + ALTERA_AVALON_JTAG_UART_DEFAULT_TIMEOUT, \ + } \ + } + +#define ALTERA_AVALON_JTAG_UART_DEV_INIT(name, d) \ + { \ + ALTERA_AVALON_JTAG_UART_STATE_INIT(name, d.state); \ + \ + /* make the device available to the system */ \ + alt_dev_reg(&d.dev); \ + } + +#endif /* ALTERA_AVALON_JTAG_UART_SMALL */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_AVALON_JTAG_UART_FD_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart_regs.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart_regs.h new file mode 100644 index 0000000..8fe6b80 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_jtag_uart_regs.h @@ -0,0 +1,73 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_JTAG_UART_REGS_H__ +#define __ALTERA_AVALON_JTAG_UART_REGS_H__ + +#include + +#define ALTERA_AVALON_JTAG_UART_DATA_REG 0 +#define IOADDR_ALTERA_AVALON_JTAG_UART_DATA(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_JTAG_UART_DATA_REG) +#define IORD_ALTERA_AVALON_JTAG_UART_DATA(base) \ + IORD(base, ALTERA_AVALON_JTAG_UART_DATA_REG) +#define IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, data) \ + IOWR(base, ALTERA_AVALON_JTAG_UART_DATA_REG, data) + +#define ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK (0x000000FF) +#define ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST (0) +#define ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK (0x00008000) +#define ALTERA_AVALON_JTAG_UART_DATA_RVALID_OFST (15) +#define ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK (0xFFFF0000) +#define ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST (16) + + +#define ALTERA_AVALON_JTAG_UART_CONTROL_REG 1 +#define IOADDR_ALTERA_AVALON_JTAG_UART_CONTROL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_JTAG_UART_CONTROL_REG) +#define IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base) \ + IORD(base, ALTERA_AVALON_JTAG_UART_CONTROL_REG) +#define IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, data) \ + IOWR(base, ALTERA_AVALON_JTAG_UART_CONTROL_REG, data) + +#define ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK (0x00000001) +#define ALTERA_AVALON_JTAG_UART_CONTROL_RE_OFST (0) +#define ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK (0x00000002) +#define ALTERA_AVALON_JTAG_UART_CONTROL_WE_OFST (1) +#define ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK (0x00000100) +#define ALTERA_AVALON_JTAG_UART_CONTROL_RI_OFST (8) +#define ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK (0x00000200) +#define ALTERA_AVALON_JTAG_UART_CONTROL_WI_OFST (9) +#define ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK (0x00000400) +#define ALTERA_AVALON_JTAG_UART_CONTROL_AC_OFST (10) +#define ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK (0xFFFF0000) +#define ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST (16) + +#endif /* __ALTERA_AVALON_JTAG_UART_REGS_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207.h new file mode 100644 index 0000000..526ef17 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207.h @@ -0,0 +1,158 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_LCD_16207_H__ +#define __ALTERA_AVALON_LCD_16207_H__ + +#include + +#include "sys/alt_alarm.h" +#include "os/alt_sem.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * The altera_avalon_lcd_16207_dev structure is used to hold device specific + * data. This includes the transmit and receive buffers. + * + * An instance of this structure is created in the auto-generated + * alt_sys_init.c file for each UART listed in the systems PTF file. This is + * done using the ALTERA_AVALON_LCD_16207_STATE_INSTANCE macro given below. + */ + +#define ALT_LCD_HEIGHT 2 +#define ALT_LCD_WIDTH 16 +#define ALT_LCD_VIRTUAL_WIDTH 80 + +typedef struct altera_avalon_lcd_16207_state_s +{ + int base; + + alt_alarm alarm; + int period; + + char broken; + + unsigned char x; + unsigned char y; + char address; + char esccount; + + char scrollpos; + char scrollmax; + char active; /* If non-zero then the foreground routines are + * active so the timer call must not update the + * display. */ + + char escape[8]; + + struct + { + char visible[ALT_LCD_WIDTH]; + char data[ALT_LCD_VIRTUAL_WIDTH+1]; + char width; + unsigned char speed; + + } line[ALT_LCD_HEIGHT]; + + ALT_SEM (write_lock)/* Semaphore used to control access to the + * write buffer in multi-threaded mode */ +} altera_avalon_lcd_16207_state; + +/* + * Called by alt_sys_init.c to initialize the driver. + */ +extern void altera_avalon_lcd_16207_init(altera_avalon_lcd_16207_state* sp); + +/* + * The LCD panel driver is not trivial, so leave it out in the small + * drivers case. Also leave it out in simulation because there is no + * simulated hardware for the LCD panel. These two can be overridden + * by defining ALT_USE_LCE_16207 if you really want it. + */ + +#if (!defined(ALT_USE_SMALL_DRIVERS) && !defined(ALT_SIM_OPTIMIZE)) || defined ALT_USE_LCD_16207 + +/* + * Used by the auto-generated file + * alt_sys_init.c to create an instance of this device driver. + */ +#define ALTERA_AVALON_LCD_16207_STATE_INSTANCE(name, state) \ + altera_avalon_lcd_16207_state state = \ + { \ + name##_BASE \ + } + +/* + * The macro ALTERA_AVALON_LCD_16207_INIT is used by the auto-generated file + * alt_sys_init.c to initialize an instance of the device driver. + */ +#define ALTERA_AVALON_LCD_16207_STATE_INIT(name, state) \ + altera_avalon_lcd_16207_init(&state) + +#else /* exclude driver */ + +#define ALTERA_AVALON_LCD_16207_STATE_INSTANCE(name, state) extern int alt_no_storage +#define ALTERA_AVALON_LCD_16207_STATE_INIT(name, state) while (0) + +#endif /* exclude driver */ + +/* + * Include in case non-direct version of driver required. + */ +#include "altera_avalon_lcd_16207_fd.h" + +/* + * Map alt_sys_init macros to direct or non-direct versions. + */ +#ifdef ALT_USE_DIRECT_DRIVERS + +#define ALTERA_AVALON_LCD_16207_INSTANCE(name, state) \ + ALTERA_AVALON_LCD_16207_STATE_INSTANCE(name, state) +#define ALTERA_AVALON_LCD_16207_INIT(name, state) \ + ALTERA_AVALON_LCD_16207_STATE_INIT(name, state) + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +#define ALTERA_AVALON_LCD_16207_INSTANCE(name, dev) \ + ALTERA_AVALON_LCD_16207_DEV_INSTANCE(name, dev) +#define ALTERA_AVALON_LCD_16207_INIT(name, dev) \ + ALTERA_AVALON_LCD_16207_DEV_INIT(name, dev) + +#endif /* ALT_USE_DIRECT_DRIVERS */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALTERA_AVALON_LCD_16207_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207_fd.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207_fd.h new file mode 100644 index 0000000..88436c0 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207_fd.h @@ -0,0 +1,108 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_LCD_16207_FD_H__ +#define __ALTERA_AVALON_LCD_16207_FD_H__ + +#include "sys/alt_dev.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * Externally referenced routines + */ +extern int altera_avalon_lcd_16207_write_fd(alt_fd* fd, const char* ptr, + int len); + +/* + * Device structure definition. This is needed by alt_sys_init in order to + * reserve memory for the device instance. + */ + +typedef struct altera_avalon_lcd_16207_dev_s +{ + alt_dev dev; + altera_avalon_lcd_16207_state state; +} altera_avalon_lcd_16207_dev; + +/* + * The LCD panel driver is not trivial, so leave it out in the small + * drivers case. Also leave it out in simulation because there is no + * simulated hardware for the LCD panel. These two can be overridden + * by defining ALT_USE_LCE_16207 if you really want it. + */ + +#if (!defined(ALT_USE_SMALL_DRIVERS) && !defined(ALT_SIM_OPTIMIZE)) || defined ALT_USE_LCD_16207 + +/* + * Macros used by alt_sys_init when the ALT file descriptor facility is used. + */ +#define ALTERA_AVALON_LCD_16207_DEV_INSTANCE(name, d) \ + static altera_avalon_lcd_16207_dev d = \ + { \ + { \ + ALT_LLIST_ENTRY, \ + name##_NAME, \ + NULL, /* open */ \ + NULL, /* close */ \ + NULL, /* read */ \ + altera_avalon_lcd_16207_write_fd, \ + NULL, /* lseek */ \ + NULL, /* fstat */ \ + NULL, /* ioctl */ \ + }, \ + { \ + name##_BASE \ + }, \ + } + +#define ALTERA_AVALON_LCD_16207_DEV_INIT(name, d) \ + { \ + ALTERA_AVALON_LCD_16207_STATE_INIT(name, d.state); \ + \ + /* make the device available to the system */ \ + alt_dev_reg(&d.dev); \ + } + +#else /* exclude driver */ + +#define ALTERA_AVALON_LCD_16207_DEV_INSTANCE(name, d) extern int alt_no_storage +#define ALTERA_AVALON_LCD_16207_DEV_INIT(name, d) while (0) + +#endif + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALTERA_AVALON_LCD_16207_FD_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207_regs.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207_regs.h new file mode 100644 index 0000000..bc35f1a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_lcd_16207_regs.h @@ -0,0 +1,83 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_LCD_16207_REGS_H__ +#define __ALTERA_AVALON_LCD_16207_REGS_H__ + +/* +/////////////////////////////////////////////////////////////////////////// +// +// ALTERA_AVALON_LCD_16207 PERIPHERAL +// +// Provides a hardware interface that allows software to +// access the two (2) internal 8-bit registers in an Optrex +// model 16207 (or equivalent) character LCD display (the kind +// shipped with the Nios Development Kit, 2 rows x 16 columns). +// +// Because the interface to the LCD module is "not quite Avalon," +// the hardware in this module ends-up mapping the module's +// two physical read-write registers into four Avalon-visible +// registers: Two read-only registers and two write-only registers. +// A picture is worth a thousand words: +// +// THE REGISTER MAP +// +// 7 6 5 4 3 2 1 0 Offset +// +-----+-----+-----+-----+-----+-----+-----+-----+ +// RS = 0 | Command Register (WRITE-Only) | 0 +// +-----+-----+-----+-----+-----+-----+-----+-----+ +// RS = 0 | Status Register (READ -Only) | 1 +// +-----+-----+-----+-----+-----+-----+-----+-----+ +// RS = 1 | Data Register (WRITE-Only) | 2 +// +-----+-----+-----+-----+-----+-----+-----+-----+ +// RS = 1 | Data Register (READ -Only) | 3 +// +-----+-----+-----+-----+-----+-----+-----+-----+ +// +/////////////////////////////////////////////////////////////////////////// +*/ + +#include + +#define IOADDR_ALTERA_AVALON_LCD_16207_COMMAND(base) __IO_CALC_ADDRESS_NATIVE(base, 0) +#define IOWR_ALTERA_AVALON_LCD_16207_COMMAND(base, data) IOWR(base, 0, data) + +#define IOADDR_ALTERA_AVALON_LCD_16207_STATUS(base) __IO_CALC_ADDRESS_NATIVE(base, 1) +#define IORD_ALTERA_AVALON_LCD_16207_STATUS(base) IORD(base, 1) + +#define ALTERA_AVALON_LCD_16207_STATUS_BUSY_MSK (0x00000080u) +#define ALTERA_AVALON_LCD_16207_STATUS_BUSY_OFST (7) + +#define IOADDR_ALTERA_AVALON_LCD_16207_DATA_WR(base) __IO_CALC_ADDRESS_NATIVE(base, 2) +#define IOWR_ALTERA_AVALON_LCD_16207_DATA(base, data) IOWR(base, 2, data) + +#define IOADDR_ALTERA_AVALON_LCD_16207_DATA_RD(base) __IO_CALC_ADDRESS_NATIVE(base, 3) +#define IORD_ALTERA_AVALON_LCD_16207_DATA(base) IORD(base, 3) + +#endif diff --git a/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_pio_regs.h b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_pio_regs.h new file mode 100644 index 0000000..a829ddd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/inc/altera_avalon_pio_regs.h @@ -0,0 +1,67 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_PIO_REGS_H__ +#define __ALTERA_AVALON_PIO_REGS_H__ + +#include + +#define IOADDR_ALTERA_AVALON_PIO_DATA(base) __IO_CALC_ADDRESS_NATIVE(base, 0) +#define IORD_ALTERA_AVALON_PIO_DATA(base) IORD(base, 0) +#define IOWR_ALTERA_AVALON_PIO_DATA(base, data) IOWR(base, 0, data) + +#define IOADDR_ALTERA_AVALON_PIO_DIRECTION(base) __IO_CALC_ADDRESS_NATIVE(base, 1) +#define IORD_ALTERA_AVALON_PIO_DIRECTION(base) IORD(base, 1) +#define IOWR_ALTERA_AVALON_PIO_DIRECTION(base, data) IOWR(base, 1, data) + +#define IOADDR_ALTERA_AVALON_PIO_IRQ_MASK(base) __IO_CALC_ADDRESS_NATIVE(base, 2) +#define IORD_ALTERA_AVALON_PIO_IRQ_MASK(base) IORD(base, 2) +#define IOWR_ALTERA_AVALON_PIO_IRQ_MASK(base, data) IOWR(base, 2, data) + +#define IOADDR_ALTERA_AVALON_PIO_EDGE_CAP(base) __IO_CALC_ADDRESS_NATIVE(base, 3) +#define IORD_ALTERA_AVALON_PIO_EDGE_CAP(base) IORD(base, 3) +#define IOWR_ALTERA_AVALON_PIO_EDGE_CAP(base, data) IOWR(base, 3, data) + + +#define IOADDR_ALTERA_AVALON_PIO_SET_BIT(base) __IO_CALC_ADDRESS_NATIVE(base, 4) +#define IORD_ALTERA_AVALON_PIO_SET_BITS(base) IORD(base, 4) +#define IOWR_ALTERA_AVALON_PIO_SET_BITS(base, data) IOWR(base, 4, data) + +#define IOADDR_ALTERA_AVALON_PIO_CLEAR_BITS(base) __IO_CALC_ADDRESS_NATIVE(base, 5) +#define IORD_ALTERA_AVALON_PIO_CLEAR_BITS(base) IORD(base, 5) +#define IOWR_ALTERA_AVALON_PIO_CLEAR_BITS(base, data) IOWR(base, 5, data) + + + +/* Defintions for direction-register operation with bi-directional PIOs */ +#define ALTERA_AVALON_PIO_DIRECTION_INPUT 0 +#define ALTERA_AVALON_PIO_DIRECTION_OUTPUT 1 + +#endif /* __ALTERA_AVALON_PIO_REGS_H__ */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_fd.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_fd.c new file mode 100644 index 0000000..c2a882a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_fd.c @@ -0,0 +1,86 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "alt_types.h" +#include "sys/alt_dev.h" +#include "altera_avalon_jtag_uart.h" + +extern int altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, + char* buffer, int space, int flags); +extern int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, + const char* ptr, int count, int flags); +extern int altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, + int req, void* arg); +extern int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, + int flags); + +/* ----------------------------------------------------------------------- */ +/* --------------------- WRAPPERS FOR ALT FD SUPPORT --------------------- */ +/* + * + */ + +int +altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) +{ + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + + return altera_avalon_jtag_uart_read(&dev->state, buffer, space, + fd->fd_flags); +} + +int +altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) +{ + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + + return altera_avalon_jtag_uart_write(&dev->state, buffer, space, + fd->fd_flags); +} + +#ifndef ALTERA_AVALON_JTAG_UART_SMALL + +int +altera_avalon_jtag_uart_close_fd(alt_fd* fd) +{ + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + + return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); +} + +int +altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) +{ + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + + return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); +} + +#endif /* ALTERA_AVALON_JTAG_UART_SMALL */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_init.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_init.c new file mode 100644 index 0000000..16376e3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_init.c @@ -0,0 +1,256 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include +#include +#include +#include + +#include + +#include "sys/alt_irq.h" +#include "sys/alt_alarm.h" +#include "sys/ioctl.h" +#include "alt_types.h" + +#include "altera_avalon_jtag_uart_regs.h" +#include "altera_avalon_jtag_uart.h" + +#include "sys/alt_log_printf.h" + +#ifndef ALTERA_AVALON_JTAG_UART_SMALL + +/* ----------------------------------------------------------- */ +/* ------------------------- FAST DRIVER --------------------- */ +/* ----------------------------------------------------------- */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void altera_avalon_jtag_uart_irq(void* context); +#else +static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id); +#endif +static alt_u32 altera_avalon_jtag_uart_timeout(void* context); + +/* + * Driver initialization code. Register interrupts and start a timer + * which we can use to check whether the host is there. + * Return 1 on sucessful IRQ register and 0 on failure. + */ + +void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, + int irq_controller_id, int irq) +{ + ALT_FLAG_CREATE(&sp->events, 0); + ALT_SEM_CREATE(&sp->read_lock, 1); + ALT_SEM_CREATE(&sp->write_lock, 1); + + /* enable read interrupts at the device */ + sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; + + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + + /* register the interrupt handler */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, + sp, NULL); +#else + alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); +#endif + + /* Register an alarm to go off every second to check for presence of host */ + sp->host_inactive = 0; + + if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), + &altera_avalon_jtag_uart_timeout, sp) < 0) + { + /* If we can't set the alarm then record "don't know if host present" + * and behave as though the host is present. + */ + sp->timeout = INT_MAX; + } + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); +} + +/* + * Interrupt routine + */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void altera_avalon_jtag_uart_irq(void* context) +#else +static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) +#endif +{ + altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; + unsigned int base = sp->base; + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); + + for ( ; ; ) + { + unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + + /* Return once nothing more to do */ + if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) + break; + + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) + { + /* process a read irq. Start by assuming that there is data in the + * receive FIFO (otherwise why would we have been interrupted?) + */ + unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; + + for ( ; ; ) + { + /* Check whether there is space in the buffer. If not then we must not + * read any characters from the buffer as they will be lost. + */ + unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + if (next == sp->rx_out) + break; + + /* Try to remove a character from the FIFO and find out whether there + * are any more characters remaining. + */ + data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); + + if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) + break; + + sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; + sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + + /* Post an event to notify jtag_uart_read that a character has been read */ + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); + } + + if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) + { + /* If there is still data available here then the buffer is full + * so turn off receive interrupts until some space becomes available. + */ + sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); + + /* Dummy read to ensure IRQ is cleared prior to ISR completion */ + IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + } + } + + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) + { + /* process a write irq */ + unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; + + while (space > 0 && sp->tx_out != sp->tx_in) + { + IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); + + sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + + /* Post an event to notify jtag_uart_write that a character has been written */ + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); + + space--; + } + + if (space > 0) + { + /* If we don't have any more data available then turn off the TX interrupt */ + sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + + /* Dummy read to ensure IRQ is cleared prior to ISR completion */ + IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + } + } + } +} + +/* + * Timeout routine is called every second + */ + +static alt_u32 +altera_avalon_jtag_uart_timeout(void* context) +{ + altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; + + unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); + + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) + { + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); + sp->host_inactive = 0; + } + else if (sp->host_inactive < INT_MAX - 2) { + sp->host_inactive++; + + if (sp->host_inactive >= sp->timeout) { + /* Post an event to indicate host is inactive (for jtag_uart_read */ + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); + } + } + + return alt_ticks_per_second(); +} + +/* + * The close() routine is implemented to drain the JTAG UART transmit buffer + * when not in "small" mode. This routine will wait for transimt data to be + * emptied unless a timeout from host-activity occurs. If the driver flags + * have been set to non-blocking mode, this routine will exit immediately if + * any data remains. This routine should be called indirectly (i.e. though + * the C library close() routine) so that the file descriptor associated + * with the relevant stream (i.e. stdout) can be closed as well. This routine + * does not manage file descriptors. + * + * The close routine is not implemented for the small driver; instead it will + * map to null. This is because the small driver simply waits while characters + * are transmitted; there is no interrupt-serviced buffer to empty + */ +int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) +{ + /* + * Wait for all transmit data to be emptied by the JTAG UART ISR, or + * for a host-inactivity timeout, in which case transmit data will be lost + */ + while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { + if (flags & O_NONBLOCK) { + return -EWOULDBLOCK; + } + } + + return 0; +} + +#endif /* !ALTERA_AVALON_JTAG_UART_SMALL */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_ioctl.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_ioctl.c new file mode 100644 index 0000000..15d97a6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_ioctl.c @@ -0,0 +1,86 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include +#include +#include +#include + +#include + +#include "sys/ioctl.h" +#include "alt_types.h" + +#include "altera_avalon_jtag_uart_regs.h" +#include "altera_avalon_jtag_uart.h" + +#include "sys/alt_log_printf.h" + +#ifndef ALTERA_AVALON_JTAG_UART_SMALL + +/* ----------------------------------------------------------- */ +/* ------------------------- FAST DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +int +altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, + void* arg) +{ + int rc = -ENOTTY; + + switch (req) + { + case TIOCSTIMEOUT: + /* Set the time to wait until assuming host is not connected */ + if (sp->timeout != INT_MAX) + { + int timeout = *((int *)arg); + sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; + rc = 0; + } + break; + + case TIOCGCONNECTED: + /* Find out whether host is connected */ + if (sp->timeout != INT_MAX) + { + *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; + rc = 0; + } + break; + + default: + break; + } + + return rc; +} + +#endif /* !ALTERA_AVALON_JTAG_UART_SMALL */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_read.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_read.c new file mode 100644 index 0000000..f97baa8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_read.c @@ -0,0 +1,205 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include +#include +#include +#include + +#include + +#include "sys/alt_irq.h" +#include "sys/alt_alarm.h" +#include "sys/ioctl.h" +#include "alt_types.h" + +#include "altera_avalon_jtag_uart_regs.h" +#include "altera_avalon_jtag_uart.h" + +#include "sys/alt_log_printf.h" + +#ifdef __ucosii__ +#include "includes.h" +#endif /* __ucosii__ */ + +#ifdef ALTERA_AVALON_JTAG_UART_SMALL + +/* ----------------------------------------------------------- */ +/* ----------------------- SMALL DRIVER ---------------------- */ +/* ----------------------------------------------------------- */ + +/* Read routine. The small version blocks until it has at least one byte + * available, it then returns as much as is immediately available without + * waiting any more. It's performance will be very poor without + * interrupts. + */ + +int +altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, + char* buffer, int space, int flags) +{ + unsigned int base = sp->base; + + char * ptr = buffer; + char * end = buffer + space; + + while (ptr < end) + { + unsigned int data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); + + if (data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) + *ptr++ = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; + else if (ptr != buffer) + break; + else if(flags & O_NONBLOCK) + break; + + } + + if (ptr != buffer) + return ptr - buffer; + else if (flags & O_NONBLOCK) + return -EWOULDBLOCK; + else + return -EIO; +} + +#else /* !ALTERA_AVALON_JTAG_UART_SMALL */ + +/* ----------------------------------------------------------- */ +/* ----------------------- FAST DRIVER ----------------------- */ +/* ----------------------------------------------------------- */ + +int +altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, + char * buffer, int space, int flags) +{ + char * ptr = buffer; + + alt_irq_context context; + unsigned int n; + + /* + * When running in a multi threaded environment, obtain the "read_lock" + * semaphore. This ensures that reading from the device is thread-safe. + */ + ALT_SEM_PEND (sp->read_lock, 0); + + while (space > 0) + { + unsigned int in, out; + + /* Read as much data as possible */ + do + { + in = sp->rx_in; + out = sp->rx_out; + + if (in >= out) + n = in - out; + else + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; + + if (n == 0) + break; /* No more data available */ + + if (n > space) + n = space; + + memcpy(ptr, sp->rx_buf + out, n); + ptr += n; + space -= n; + + sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + } + while (space > 0); + + /* If we read any data then return it */ + if (ptr != buffer) + break; + + /* If in non-blocking mode then return error */ + if (flags & O_NONBLOCK) + break; + +#ifdef __ucosii__ + /* OS Present: Pend on a flag if the OS is running, otherwise spin */ + if(OSRunning == OS_TRUE) { + /* + * When running in a multi-threaded mode, we pend on the read event + * flag set and timeout event flag set in the isr. This avoids wasting CPU + * cycles waiting in this thread, when we could be doing something more + * profitable elsewhere. + */ + ALT_FLAG_PEND (sp->events, + ALT_JTAG_UART_READ_RDY | ALT_JTAG_UART_TIMEOUT, + OS_FLAG_WAIT_SET_ANY + OS_FLAG_CONSUME, + 0); + } + else { + /* Spin until more data arrives or until host disconnects */ + while (in == sp->rx_in && sp->host_inactive < sp->timeout) + ; + } +#else + /* No OS: Always spin */ + while (in == sp->rx_in && sp->host_inactive < sp->timeout) + ; +#endif /* __ucosii__ */ + + if (in == sp->rx_in) + break; + } + + /* + * Now that access to the circular buffer is complete, release the read + * semaphore so that other threads can access the buffer. + */ + + ALT_SEM_POST (sp->read_lock); + + if (ptr != buffer) + { + /* If we read any data then there is space in the buffer so enable interrupts */ + context = alt_irq_disable_all(); + sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + alt_irq_enable_all(context); + } + + if (ptr != buffer) + return ptr - buffer; + else if (flags & O_NONBLOCK) + return -EWOULDBLOCK; + else + return -EIO; +} + +#endif /* ALTERA_AVALON_JTAG_UART_SMALL */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_write.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_write.c new file mode 100644 index 0000000..9562562 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_jtag_uart_write.c @@ -0,0 +1,217 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include +#include +#include +#include + +#include + +#include "sys/alt_irq.h" +#include "sys/alt_alarm.h" +#include "sys/ioctl.h" +#include "alt_types.h" + +#include "altera_avalon_jtag_uart_regs.h" +#include "altera_avalon_jtag_uart.h" + +#include "sys/alt_log_printf.h" + +#ifdef __ucosii__ +#include "includes.h" +#endif /* __ucosii__ */ + +#ifdef ALTERA_AVALON_JTAG_UART_SMALL + +/* ----------------------------------------------------------- */ +/* ------------------------ SMALL DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +/* Write routine. The small version blocks when there is no space to write + * into, so it's performance will be very bad if you are writing more than + * one FIFOs worth of data. But you said you didn't want to use interrupts :-) + */ + +int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, + const char * ptr, int count, int flags) +{ + unsigned int base = sp->base; + + const char * end = ptr + count; + + while (ptr < end) + if ((IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base) & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) != 0) + IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, *ptr++); + + return count; +} + +#else /* !ALTERA_AVALON_JTAG_UART_SMALL */ + +/* ----------------------------------------------------------- */ +/* ------------------------- FAST DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +int +altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, + const char * ptr, int count, int flags) +{ + /* Remove warning at optimisation level 03 by seting out to 0 */ + unsigned int in, out=0; + unsigned int n; + alt_irq_context context; + + const char * start = ptr; + + /* + * When running in a multi threaded environment, obtain the "write_lock" + * semaphore. This ensures that writing to the device is thread-safe. + */ + ALT_SEM_PEND (sp->write_lock, 0); + + do + { + /* Copy as much as we can into the transmit buffer */ + while (count > 0) + { + /* We need a stable value of the out pointer to calculate the space available */ + in = sp->tx_in; + out = sp->tx_out; + + if (in < out) + n = out - 1 - in; + else if (out > 0) + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; + else + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; + + if (n == 0) + break; + + if (n > count) + n = count; + + memcpy(sp->tx_buf + in, ptr, n); + ptr += n; + count -= n; + + sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + } + + /* + * If interrupts are disabled then we could transmit here, we only need + * to enable interrupts if there is no space left in the FIFO + * + * For now kick the interrupt routine every time to make it transmit + * the data + */ + context = alt_irq_disable_all(); + sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + alt_irq_enable_all(context); + + /* + * If there is any data left then either return now or block until + * some has been sent + */ + /* consider: test whether there is anything there while doing this and delay for at most 2s. */ + if (count > 0) + { + if (flags & O_NONBLOCK) + break; + +#ifdef __ucosii__ + /* OS Present: Pend on a flag if the OS is running, otherwise spin */ + if(OSRunning == OS_TRUE) { + /* + * When running in a multi-threaded mode, we pend on the write event + * flag set or the timeout flag in the isr. This avoids wasting CPU + * cycles waiting in this thread, when we could be doing something + * more profitable elsewhere. + */ +#ifdef ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR + if(!sp->host_inactive) +#endif + ALT_FLAG_PEND (sp->events, + ALT_JTAG_UART_WRITE_RDY | ALT_JTAG_UART_TIMEOUT, + OS_FLAG_WAIT_SET_ANY + OS_FLAG_CONSUME, + 0); + } + else { + /* + * OS not running: Wait for data to be removed from buffer. + * Once the interrupt routine has removed some data then we + * will be able to insert some more. + */ + while (out == sp->tx_out && sp->host_inactive < sp->timeout) + ; + } +#else + /* + * No OS present: Always wait for data to be removed from buffer. Once + * the interrupt routine has removed some data then we will be able to + * insert some more. + */ + while (out == sp->tx_out && sp->host_inactive < sp->timeout) + ; +#endif /* __ucosii__ */ + + if (out == sp->tx_out) + break; + } + } + while (count > 0); + + /* + * Now that access to the circular buffer is complete, release the write + * semaphore so that other threads can access the buffer. + */ + ALT_SEM_POST (sp->write_lock); + + if (ptr != start) + return ptr - start; + else if (flags & O_NONBLOCK) + return -EWOULDBLOCK; +#ifdef ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR + else if (sp->host_inactive >= sp->timeout) { + /* + * Reset the software FIFO, hardware FIFO could not be reset. + * Just throw away characters without reporting error. + */ + sp->tx_out = sp->tx_in = 0; + return ptr - start + count; + } +#endif + else + return -EIO; /* Host not connected */ +} + +#endif /* ALTERA_AVALON_JTAG_UART_SMALL */ diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_lcd_16207.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_lcd_16207.c new file mode 100644 index 0000000..102e202 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_lcd_16207.c @@ -0,0 +1,605 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +/* ===================================================================== */ + +/* + * This file provides the implementation of the functions used to drive a + * LCD panel. + * + * Characters written to the device will appear on the LCD panel as though + * it is a very small terminal. If the lines written to the terminal are + * longer than the number of characters on the terminal then it will scroll + * the lines of text automatically to display them all. + * + * If more lines are written than will fit on the terminal then it will scroll + * when characters are written to the line "below" the last displayed one - + * the cursor is allowed to sit below the visible area of the screen providing + * that this line is entirely blank. + * + * The following control sequences may be used to move around and do useful + * stuff: + * CR Moves back to the start of the current line + * LF Moves down a line and back to the start + * BS Moves back a character without erasing + * ESC Starts a VT100 style escape sequence + * + * The following escape sequences are recognised: + * ESC [ ; H Move to row and column specified (positions are + * counted from the top left which is 1;1) + * ESC [ K Clear from current position to end of line + * ESC [ 2 J Clear screen and go to top left + * + */ + +/* ===================================================================== */ + +#include +#include + +#include +#include +#include + +#include "sys/alt_alarm.h" + +#include "altera_avalon_lcd_16207_regs.h" +#include "altera_avalon_lcd_16207.h" + +/* --------------------------------------------------------------------- */ + +/* Commands which can be written to the COMMAND register */ + +enum /* Write to character RAM */ +{ + LCD_CMD_WRITE_DATA = 0x80 + /* Bits 6:0 hold character RAM address */ +}; + +enum /* Write to character generator RAM */ +{ + LCD_CMD_WRITE_CGR = 0x40 + /* Bits 5:0 hold character generator RAM address */ +}; + +enum /* Function Set command */ +{ + LCD_CMD_FUNCTION_SET = 0x20, + LCD_CMD_8BIT = 0x10, + LCD_CMD_TWO_LINE = 0x08, + LCD_CMD_BIGFONT = 0x04 +}; + +enum /* Shift command */ +{ + LCD_CMD_SHIFT = 0x10, + LCD_CMD_SHIFT_DISPLAY = 0x08, + LCD_CMD_SHIFT_RIGHT = 0x04 +}; + +enum /* On/Off command */ +{ + LCD_CMD_ONOFF = 0x08, + LCD_CMD_ENABLE_DISP = 0x04, + LCD_CMD_ENABLE_CURSOR = 0x02, + LCD_CMD_ENABLE_BLINK = 0x01 +}; + +enum /* Entry Mode command */ +{ + LCD_CMD_MODES = 0x04, + LCD_CMD_MODE_INC = 0x02, + LCD_CMD_MODE_SHIFT = 0x01 +}; + +enum /* Home command */ +{ + LCD_CMD_HOME = 0x02 +}; + +enum /* Clear command */ +{ + LCD_CMD_CLEAR = 0x01 +}; + +/* Where in LCD character space do the rows start */ +static char colstart[4] = { 0x00, 0x40, 0x20, 0x60 }; + +/* --------------------------------------------------------------------- */ + +static void lcd_write_command(altera_avalon_lcd_16207_state* sp, + unsigned char command) +{ + unsigned int base = sp->base; + + /* We impose a timeout on the driver in case the LCD panel isn't connected. + * The first time we call this function the timeout is approx 25ms + * (assuming 5 cycles per loop and a 200MHz clock). Obviously systems + * with slower clocks, or debug builds, or slower memory will take longer. + */ + int i = 1000000; + + /* Don't bother if the LCD panel didn't work before */ + if (sp->broken) + return; + + /* Wait until LCD isn't busy. */ + while (IORD_ALTERA_AVALON_LCD_16207_STATUS(base) & ALTERA_AVALON_LCD_16207_STATUS_BUSY_MSK) + if (--i == 0) + { + sp->broken = 1; + return; + } + + /* Despite what it says in the datasheet, the LCD isn't ready to accept + * a write immediately after it returns BUSY=0. Wait for 100us more. + */ + usleep(100); + + IOWR_ALTERA_AVALON_LCD_16207_COMMAND(base, command); +} + +/* --------------------------------------------------------------------- */ + +static void lcd_write_data(altera_avalon_lcd_16207_state* sp, + unsigned char data) +{ + unsigned int base = sp->base; + + /* We impose a timeout on the driver in case the LCD panel isn't connected. + * The first time we call this function the timeout is approx 25ms + * (assuming 5 cycles per loop and a 200MHz clock). Obviously systems + * with slower clocks, or debug builds, or slower memory will take longer. + */ + int i = 1000000; + + /* Don't bother if the LCD panel didn't work before */ + if (sp->broken) + return; + + /* Wait until LCD isn't busy. */ + while (IORD_ALTERA_AVALON_LCD_16207_STATUS(base) & ALTERA_AVALON_LCD_16207_STATUS_BUSY_MSK) + if (--i == 0) + { + sp->broken = 1; + return; + } + + /* Despite what it says in the datasheet, the LCD isn't ready to accept + * a write immediately after it returns BUSY=0. Wait for 100us more. + */ + usleep(100); + + IOWR_ALTERA_AVALON_LCD_16207_DATA(base, data); + + sp->address++; +} + +/* --------------------------------------------------------------------- */ + +static void lcd_clear_screen(altera_avalon_lcd_16207_state* sp) +{ + int y; + + lcd_write_command(sp, LCD_CMD_CLEAR); + + sp->x = 0; + sp->y = 0; + sp->address = 0; + + for (y = 0 ; y < ALT_LCD_HEIGHT ; y++) + { + memset(sp->line[y].data, ' ', sizeof(sp->line[0].data)); + memset(sp->line[y].visible, ' ', sizeof(sp->line[0].visible)); + sp->line[y].width = 0; + } +} + +/* --------------------------------------------------------------------- */ + +static void lcd_repaint_screen(altera_avalon_lcd_16207_state* sp) +{ + int y, x; + + /* scrollpos controls how much the lines have scrolled round. The speed + * each line scrolls at is controlled by its speed variable - while + * scrolline lines will wrap at the position set by width + */ + + int scrollpos = sp->scrollpos; + + for (y = 0 ; y < ALT_LCD_HEIGHT ; y++) + { + int width = sp->line[y].width; + int offset = (scrollpos * sp->line[y].speed) >> 8; + if (offset >= width) + offset = 0; + + for (x = 0 ; x < ALT_LCD_WIDTH ; x++) + { + char c = sp->line[y].data[(x + offset) % width]; + + /* Writing data takes 40us, so don't do it unless required */ + if (sp->line[y].visible[x] != c) + { + unsigned char address = x + colstart[y]; + + if (address != sp->address) + { + lcd_write_command(sp, LCD_CMD_WRITE_DATA | address); + sp->address = address; + } + + lcd_write_data(sp, c); + sp->line[y].visible[x] = c; + } + } + } +} + +/* --------------------------------------------------------------------- */ + +static void lcd_scroll_up(altera_avalon_lcd_16207_state* sp) +{ + int y; + + for (y = 0 ; y < ALT_LCD_HEIGHT ; y++) + { + if (y < ALT_LCD_HEIGHT-1) + memcpy(sp->line[y].data, sp->line[y+1].data, ALT_LCD_VIRTUAL_WIDTH); + else + memset(sp->line[y].data, ' ', ALT_LCD_VIRTUAL_WIDTH); + } + + sp->y--; +} + +/* --------------------------------------------------------------------- */ + +static void lcd_handle_escape(altera_avalon_lcd_16207_state* sp, char c) +{ + int parm1 = 0, parm2 = 0; + + if (sp->escape[0] == '[') + { + char * ptr = sp->escape+1; + while (isdigit(*ptr)) + parm1 = (parm1 * 10) + (*ptr++ - '0'); + + if (*ptr == ';') + { + ptr++; + while (isdigit(*ptr)) + parm2 = (parm2 * 10) + (*ptr++ - '0'); + } + } + else + parm1 = -1; + + switch (c) + { + case 'H': /* ESC '[' ';' 'H' : Move cursor to location */ + case 'f': /* Same as above */ + if (parm2 > 0) + sp->x = parm2 - 1; + if (parm1 > 0) + { + sp->y = parm1 - 1; + if (sp->y > ALT_LCD_HEIGHT * 2) + sp->y = ALT_LCD_HEIGHT * 2; + while (sp->y > ALT_LCD_HEIGHT) + lcd_scroll_up(sp); + } + break; + + case 'J': + /* ESC J is clear to beginning of line [unimplemented] + * ESC [ 0 J is clear to bottom of screen [unimplemented] + * ESC [ 1 J is clear to beginning of screen [unimplemented] + * ESC [ 2 J is clear screen + */ + if (parm1 == 2) + lcd_clear_screen(sp); + break; + + case 'K': + /* ESC K is clear to end of line + * ESC [ 0 K is clear to end of line + * ESC [ 1 K is clear to beginning of line [unimplemented] + * ESC [ 2 K is clear line [unimplemented] + */ + if (parm1 < 1) + { + if (sp->x < ALT_LCD_VIRTUAL_WIDTH) + memset(sp->line[sp->y].data + sp->x, ' ', ALT_LCD_VIRTUAL_WIDTH - sp->x); + } + break; + } +} + +/* --------------------------------------------------------------------- */ + +int altera_avalon_lcd_16207_write(altera_avalon_lcd_16207_state* sp, + const char* ptr, int len, int flags) +{ + const char* end = ptr + len; + + int y; + int widthmax; + + /* When running in a multi threaded environment, obtain the "write_lock" + * semaphore. This ensures that writing to the device is thread-safe. + */ + + ALT_SEM_PEND (sp->write_lock, 0); + + /* Tell the routine which is called off the timer interrupt that the + * foreground routines are active so it must not repaint the display. */ + sp->active = 1; + + for ( ; ptr < end ; ptr++) + { + char c = *ptr; + + if (sp->esccount >= 0) + { + unsigned int esccount = sp->esccount; + + /* Single character escape sequences can end with any character + * Multi character escape sequences start with '[' and contain + * digits and semicolons before terminating + */ + if ((esccount == 0 && c != '[') || + (esccount > 0 && !isdigit(c) && c != ';')) + { + sp->escape[esccount] = 0; + + lcd_handle_escape(sp, c); + + sp->esccount = -1; + } + else if (sp->esccount < sizeof(sp->escape)-1) + { + sp->escape[esccount] = c; + sp->esccount++; + } + } + else if (c == 27) /* ESC */ + { + sp->esccount = 0; + } + else if (c == '\r') + { + sp->x = 0; + } + else if (c == '\n') + { + sp->x = 0; + sp->y++; + + /* Let the cursor sit at X=0, Y=HEIGHT without scrolling so the user + * can print two lines of data without losing one. + */ + if (sp->y > ALT_LCD_HEIGHT) + lcd_scroll_up(sp); + } + else if (c == '\b') + { + if (sp->x > 0) + sp->x--; + } + else if (isprint(c)) + { + /* If we didn't scroll on the last linefeed then we might need to do + * it now. */ + if (sp->y >= ALT_LCD_HEIGHT) + lcd_scroll_up(sp); + + if (sp->x < ALT_LCD_VIRTUAL_WIDTH) + sp->line[sp->y].data[sp->x] = c; + + sp->x++; + } + } + + /* Recalculate the scrolling parameters */ + widthmax = ALT_LCD_WIDTH; + for (y = 0 ; y < ALT_LCD_HEIGHT ; y++) + { + int width; + for (width = ALT_LCD_VIRTUAL_WIDTH ; width > 0 ; width--) + if (sp->line[y].data[width-1] != ' ') + break; + + /* The minimum width is the size of the LCD panel. If the real width + * is long enough to require scrolling then add an extra space so the + * end of the message doesn't run into the beginning of it. + */ + if (width <= ALT_LCD_WIDTH) + width = ALT_LCD_WIDTH; + else + width++; + + sp->line[y].width = width; + if (widthmax < width) + widthmax = width; + sp->line[y].speed = 0; /* By default lines don't scroll */ + } + + if (widthmax <= ALT_LCD_WIDTH) + sp->scrollmax = 0; + else + { + widthmax *= 2; + sp->scrollmax = widthmax; + + /* Now calculate how fast each of the other lines should go */ + for (y = 0 ; y < ALT_LCD_HEIGHT ; y++) + if (sp->line[y].width > ALT_LCD_WIDTH) + { + /* You have three options for how to make the display scroll, chosen + * using the preprocessor directives below + */ +#if 1 + /* This option makes all the lines scroll round at different speeds + * which are chosen so that all the scrolls finish at the same time. + */ + sp->line[y].speed = 256 * sp->line[y].width / widthmax; +#elif 1 + /* This option pads the shorter lines with spaces so that they all + * scroll together. + */ + sp->line[y].width = widthmax / 2; + sp->line[y].speed = 256/2; +#else + /* This option makes the shorter lines stop after they have rotated + * and waits for the longer lines to catch up + */ + sp->line[y].speed = 256/2; +#endif + } + } + + /* Repaint once, then check whether there has been a missed repaint + * (because active was set when the timer interrupt occurred). If there + * has been a missed repaint then paint again. And again. etc. + */ + for ( ; ; ) + { + int old_scrollpos = sp->scrollpos; + + lcd_repaint_screen(sp); + + /* Let the timer routines repaint the display again */ + sp->active = 0; + + /* Have the timer routines tried to scroll while we were painting? + * If not then we can exit */ + if (sp->scrollpos == old_scrollpos) + break; + + /* We need to repaint again since the display scrolled while we were + * painting last time */ + sp->active = 1; + } + + /* Now that access to the display is complete, release the write + * semaphore so that other threads can access the buffer. + */ + + ALT_SEM_POST (sp->write_lock); + + return len; +} + +/* --------------------------------------------------------------------- */ + +/* This should be in a top level header file really */ +#define container_of(ptr, type, member) ((type *)((char *)ptr - offsetof(type, member))) + +/* + * Timeout routine is called every second + */ + +static alt_u32 alt_lcd_16207_timeout(void* context) +{ + altera_avalon_lcd_16207_state* sp = (altera_avalon_lcd_16207_state*)context; + + /* Update the scrolling position */ + if (sp->scrollpos + 1 >= sp->scrollmax) + sp->scrollpos = 0; + else + sp->scrollpos = sp->scrollpos + 1; + + /* Repaint the panel unless the foreground will do it again soon */ + if (sp->scrollmax > 0 && !sp->active) + lcd_repaint_screen(sp); + + return sp->period; +} + +/* --------------------------------------------------------------------- */ + +/* + * Called at boot time to initialise the LCD driver + */ +void altera_avalon_lcd_16207_init(altera_avalon_lcd_16207_state* sp) +{ + unsigned int base = sp->base; + + /* Mark the device as functional */ + sp->broken = 0; + + ALT_SEM_CREATE (&sp->write_lock, 1); + + /* The initialisation sequence below is copied from the datasheet for + * the 16207 LCD display. The first commands need to be timed because + * the BUSY bit in the status register doesn't work until the display + * has been reset three times. + */ + + /* Wait for 15 ms then reset */ + usleep(15000); + IOWR_ALTERA_AVALON_LCD_16207_COMMAND(base, LCD_CMD_FUNCTION_SET | LCD_CMD_8BIT); + + /* Wait for another 4.1ms and reset again */ + usleep(4100); + IOWR_ALTERA_AVALON_LCD_16207_COMMAND(base, LCD_CMD_FUNCTION_SET | LCD_CMD_8BIT); + + /* Wait a further 1 ms and reset a third time */ + usleep(1000); + IOWR_ALTERA_AVALON_LCD_16207_COMMAND(base, LCD_CMD_FUNCTION_SET | LCD_CMD_8BIT); + + /* Setup interface parameters: 8 bit bus, 2 rows, 5x7 font */ + lcd_write_command(sp, LCD_CMD_FUNCTION_SET | LCD_CMD_8BIT | LCD_CMD_TWO_LINE); + + /* Turn display off */ + lcd_write_command(sp, LCD_CMD_ONOFF); + + /* Clear display */ + lcd_clear_screen(sp); + + /* Set mode: increment after writing, don't shift display */ + lcd_write_command(sp, LCD_CMD_MODES | LCD_CMD_MODE_INC); + + /* Turn display on */ + lcd_write_command(sp, LCD_CMD_ONOFF | LCD_CMD_ENABLE_DISP); + + sp->esccount = -1; + memset(sp->escape, 0, sizeof(sp->escape)); + + sp->scrollpos = 0; + sp->scrollmax = 0; + sp->active = 0; + + sp->period = alt_ticks_per_second() / 10; /* Call every 100ms */ + + alt_alarm_start(&sp->alarm, sp->period, &alt_lcd_16207_timeout, sp); +} diff --git a/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_lcd_16207_fd.c b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_lcd_16207_fd.c new file mode 100644 index 0000000..08c9371 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/drivers/src/altera_avalon_lcd_16207_fd.c @@ -0,0 +1,45 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "alt_types.h" +#include "sys/alt_dev.h" +#include "altera_avalon_lcd_16207.h" + +extern int altera_avalon_lcd_16207_write(altera_avalon_lcd_16207_state* sp, + const char* ptr, int count, int flags); + +int +altera_avalon_lcd_16207_write_fd(alt_fd* fd, const char* buffer, int space) +{ + altera_avalon_lcd_16207_dev* dev = (altera_avalon_lcd_16207_dev*) fd->dev; + + return altera_avalon_lcd_16207_write(&dev->state, buffer, space, + fd->fd_flags); +} diff --git a/software/DE2_115_ASM3_release_bsp/libhal_bsp.a b/software/DE2_115_ASM3_release_bsp/libhal_bsp.a new file mode 100644 index 0000000..0502508 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/libhal_bsp.a Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/linker.h b/software/DE2_115_ASM3_release_bsp/linker.h new file mode 100644 index 0000000..223f99a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/linker.h @@ -0,0 +1,101 @@ +/* + * linker.h - Linker script mapping information + * + * Machine generated for CPU 'nios2_processor' in SOPC Builder design 'nios_system' + * SOPC Builder design path: C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo + * + * Generated: Thu Jan 26 11:02:29 JST 2017 + */ + +/* + * DO NOT MODIFY THIS FILE + * + * Changing this file will have subtle consequences + * which will almost certainly lead to a nonfunctioning + * system. If you do modify this file, be aware that your + * changes will be overwritten and lost when this file + * is generated again. + * + * DO NOT MODIFY THIS FILE + */ + +/* + * License Agreement + * + * Copyright (c) 2008 + * Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + * + * This agreement shall be governed in all respects by the laws of the State + * of California and by the laws of the United States of America. + */ + +#ifndef __LINKER_H_ +#define __LINKER_H_ + + +/* + * BSP controls alt_load() behavior in crt0. + * + */ + +#define ALT_LOAD_EXPLICITLY_CONTROLLED + + +/* + * Base address and span (size in bytes) of each linker region + * + */ + +#define ONCHIP_MEMORY_REGION_BASE 0x20 +#define ONCHIP_MEMORY_REGION_SPAN 204768 +#define RESET_REGION_BASE 0x0 +#define RESET_REGION_SPAN 32 + + +/* + * Devices associated with code sections + * + */ + +#define ALT_EXCEPTIONS_DEVICE ONCHIP_MEMORY +#define ALT_RESET_DEVICE ONCHIP_MEMORY +#define ALT_RODATA_DEVICE ONCHIP_MEMORY +#define ALT_RWDATA_DEVICE ONCHIP_MEMORY +#define ALT_TEXT_DEVICE ONCHIP_MEMORY + + +/* + * Initialization code at the reset address is allowed (e.g. no external bootloader). + * + */ + +#define ALT_ALLOW_CODE_AT_RESET + + +/* + * The alt_load() facility is called from crt0 to copy sections into RAM. + * + */ + +#define ALT_LOAD_COPY_RWDATA + +#endif /* __LINKER_H_ */ diff --git a/software/DE2_115_ASM3_release_bsp/linker.x b/software/DE2_115_ASM3_release_bsp/linker.x new file mode 100644 index 0000000..8256f99 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/linker.x @@ -0,0 +1,385 @@ +/* + * linker.x - Linker script + * + * Machine generated for CPU 'nios2_processor' in SOPC Builder design 'nios_system' + * SOPC Builder design path: C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo + * + * Generated: Thu Jan 26 11:02:29 JST 2017 + */ + +/* + * DO NOT MODIFY THIS FILE + * + * Changing this file will have subtle consequences + * which will almost certainly lead to a nonfunctioning + * system. If you do modify this file, be aware that your + * changes will be overwritten and lost when this file + * is generated again. + * + * DO NOT MODIFY THIS FILE + */ + +/* + * License Agreement + * + * Copyright (c) 2008 + * Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + * + * This agreement shall be governed in all respects by the laws of the State + * of California and by the laws of the United States of America. + */ + +MEMORY +{ + reset : ORIGIN = 0x0, LENGTH = 32 + onchip_memory : ORIGIN = 0x20, LENGTH = 204768 +} + +/* Define symbols for each memory base-address */ +__alt_mem_onchip_memory = 0x0; + +OUTPUT_FORMAT( "elf32-littlenios2", + "elf32-littlenios2", + "elf32-littlenios2" ) +OUTPUT_ARCH( nios2 ) +ENTRY( _start ) + +/* + * The alt_load() facility is enabled. This typically happens when there isn't + * an external bootloader (e.g. flash bootloader). + * The LMA (aka physical address) of each loaded section is + * set to the .text memory device. + * The HAL alt_load() routine called from crt0 copies sections from + * the .text memory to RAM as needed. + */ + +SECTIONS +{ + + /* + * Output sections associated with reset and exceptions (they have to be first) + */ + + .entry : + { + KEEP (*(.entry)) + } > reset + + .exceptions : + { + PROVIDE (__ram_exceptions_start = ABSOLUTE(.)); + . = ALIGN(0x20); + KEEP (*(.irq)); + KEEP (*(.exceptions.entry.label)); + KEEP (*(.exceptions.entry.user)); + KEEP (*(.exceptions.entry)); + KEEP (*(.exceptions.irqtest.user)); + KEEP (*(.exceptions.irqtest)); + KEEP (*(.exceptions.irqhandler.user)); + KEEP (*(.exceptions.irqhandler)); + KEEP (*(.exceptions.irqreturn.user)); + KEEP (*(.exceptions.irqreturn)); + KEEP (*(.exceptions.notirq.label)); + KEEP (*(.exceptions.notirq.user)); + KEEP (*(.exceptions.notirq)); + KEEP (*(.exceptions.soft.user)); + KEEP (*(.exceptions.soft)); + KEEP (*(.exceptions.unknown.user)); + KEEP (*(.exceptions.unknown)); + KEEP (*(.exceptions.exit.label)); + KEEP (*(.exceptions.exit.user)); + KEEP (*(.exceptions.exit)); + KEEP (*(.exceptions)); + PROVIDE (__ram_exceptions_end = ABSOLUTE(.)); + } > onchip_memory + + PROVIDE (__flash_exceptions_start = LOADADDR(.exceptions)); + + .text : + { + /* + * All code sections are merged into the text output section, along with + * the read only data sections. + * + */ + + PROVIDE (stext = ABSOLUTE(.)); + + *(.interp) + *(.hash) + *(.dynsym) + *(.dynstr) + *(.gnu.version) + *(.gnu.version_d) + *(.gnu.version_r) + *(.rel.init) + *(.rela.init) + *(.rel.text .rel.text.* .rel.gnu.linkonce.t.*) + *(.rela.text .rela.text.* .rela.gnu.linkonce.t.*) + *(.rel.fini) + *(.rela.fini) + *(.rel.rodata .rel.rodata.* .rel.gnu.linkonce.r.*) + *(.rela.rodata .rela.rodata.* .rela.gnu.linkonce.r.*) + *(.rel.data .rel.data.* .rel.gnu.linkonce.d.*) + *(.rela.data .rela.data.* .rela.gnu.linkonce.d.*) + *(.rel.tdata .rel.tdata.* .rel.gnu.linkonce.td.*) + *(.rela.tdata .rela.tdata.* .rela.gnu.linkonce.td.*) + *(.rel.tbss .rel.tbss.* .rel.gnu.linkonce.tb.*) + *(.rela.tbss .rela.tbss.* .rela.gnu.linkonce.tb.*) + *(.rel.ctors) + *(.rela.ctors) + *(.rel.dtors) + *(.rela.dtors) + *(.rel.got) + *(.rela.got) + *(.rel.sdata .rel.sdata.* .rel.gnu.linkonce.s.*) + *(.rela.sdata .rela.sdata.* .rela.gnu.linkonce.s.*) + *(.rel.sbss .rel.sbss.* .rel.gnu.linkonce.sb.*) + *(.rela.sbss .rela.sbss.* .rela.gnu.linkonce.sb.*) + *(.rel.sdata2 .rel.sdata2.* .rel.gnu.linkonce.s2.*) + *(.rela.sdata2 .rela.sdata2.* .rela.gnu.linkonce.s2.*) + *(.rel.sbss2 .rel.sbss2.* .rel.gnu.linkonce.sb2.*) + *(.rela.sbss2 .rela.sbss2.* .rela.gnu.linkonce.sb2.*) + *(.rel.bss .rel.bss.* .rel.gnu.linkonce.b.*) + *(.rela.bss .rela.bss.* .rela.gnu.linkonce.b.*) + *(.rel.plt) + *(.rela.plt) + *(.rel.dyn) + + KEEP (*(.init)) + *(.plt) + *(.text .stub .text.* .gnu.linkonce.t.*) + + /* .gnu.warning sections are handled specially by elf32.em. */ + + *(.gnu.warning.*) + KEEP (*(.fini)) + PROVIDE (__etext = ABSOLUTE(.)); + PROVIDE (_etext = ABSOLUTE(.)); + PROVIDE (etext = ABSOLUTE(.)); + + *(.eh_frame_hdr) + /* Ensure the __preinit_array_start label is properly aligned. We + could instead move the label definition inside the section, but + the linker would then create the section even if it turns out to + be empty, which isn't pretty. */ + . = ALIGN(4); + PROVIDE (__preinit_array_start = ABSOLUTE(.)); + *(.preinit_array) + PROVIDE (__preinit_array_end = ABSOLUTE(.)); + PROVIDE (__init_array_start = ABSOLUTE(.)); + *(.init_array) + PROVIDE (__init_array_end = ABSOLUTE(.)); + PROVIDE (__fini_array_start = ABSOLUTE(.)); + *(.fini_array) + PROVIDE (__fini_array_end = ABSOLUTE(.)); + SORT(CONSTRUCTORS) + KEEP (*(.eh_frame)) + *(.gcc_except_table) + *(.dynamic) + PROVIDE (__CTOR_LIST__ = ABSOLUTE(.)); + KEEP (*(.ctors)) + KEEP (*(SORT(.ctors.*))) + PROVIDE (__CTOR_END__ = ABSOLUTE(.)); + PROVIDE (__DTOR_LIST__ = ABSOLUTE(.)); + KEEP (*(.dtors)) + KEEP (*(SORT(.dtors.*))) + PROVIDE (__DTOR_END__ = ABSOLUTE(.)); + KEEP (*(.jcr)) + . = ALIGN(4); + } > onchip_memory = 0x3a880100 /* Nios II NOP instruction */ + + .rodata : + { + PROVIDE (__ram_rodata_start = ABSOLUTE(.)); + . = ALIGN(4); + *(.rodata .rodata.* .gnu.linkonce.r.*) + *(.rodata1) + . = ALIGN(4); + PROVIDE (__ram_rodata_end = ABSOLUTE(.)); + } > onchip_memory + + PROVIDE (__flash_rodata_start = LOADADDR(.rodata)); + + /* + * + * This section's LMA is set to the .text region. + * crt0 will copy to this section's specified mapped region virtual memory address (VMA) + * + * .rwdata region equals the .text region, and is set to be loaded into .text region. + * This requires two copies of .rwdata in the .text region. One read writable at VMA. + * and one read-only at LMA. crt0 will copy from LMA to VMA on reset + * + */ + + .rwdata LOADADDR (.rodata) + SIZEOF (.rodata) : AT ( LOADADDR (.rodata) + SIZEOF (.rodata)+ SIZEOF (.rwdata) ) + { + PROVIDE (__ram_rwdata_start = ABSOLUTE(.)); + . = ALIGN(4); + *(.got.plt) *(.got) + *(.data1) + *(.data .data.* .gnu.linkonce.d.*) + + _gp = ABSOLUTE(. + 0x8000); + PROVIDE(gp = _gp); + + *(.rwdata .rwdata.*) + *(.sdata .sdata.* .gnu.linkonce.s.*) + *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) + + . = ALIGN(4); + _edata = ABSOLUTE(.); + PROVIDE (edata = ABSOLUTE(.)); + PROVIDE (__ram_rwdata_end = ABSOLUTE(.)); + } > onchip_memory + + PROVIDE (__flash_rwdata_start = LOADADDR(.rwdata)); + + /* + * + * This section's LMA is set to the .text region. + * crt0 will copy to this section's specified mapped region virtual memory address (VMA) + * + */ + + .bss LOADADDR (.rwdata) + SIZEOF (.rwdata) : AT ( LOADADDR (.rwdata) + SIZEOF (.rwdata) ) + { + __bss_start = ABSOLUTE(.); + PROVIDE (__sbss_start = ABSOLUTE(.)); + PROVIDE (___sbss_start = ABSOLUTE(.)); + + *(.dynsbss) + *(.sbss .sbss.* .gnu.linkonce.sb.*) + *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) + *(.scommon) + + PROVIDE (__sbss_end = ABSOLUTE(.)); + PROVIDE (___sbss_end = ABSOLUTE(.)); + + *(.dynbss) + *(.bss .bss.* .gnu.linkonce.b.*) + *(COMMON) + + . = ALIGN(4); + __bss_end = ABSOLUTE(.); + } > onchip_memory + + /* + * + * One output section mapped to the associated memory device for each of + * the available memory devices. These are not used by default, but can + * be used by user applications by using the .section directive. + * + * The output section used for the heap is treated in a special way, + * i.e. the symbols "end" and "_end" are added to point to the heap start. + * + * Because alt_load() is enabled, these sections have + * their LMA set to be loaded into the .text memory region. + * However, the alt_load() code will NOT automatically copy + * these sections into their mapped memory region. + * + */ + + /* + * + * This section's LMA is set to the .text region. + * crt0 will copy to this section's specified mapped region virtual memory address (VMA) + * + */ + + .onchip_memory LOADADDR (.bss) + SIZEOF (.bss) : AT ( LOADADDR (.bss) + SIZEOF (.bss) ) + { + PROVIDE (_alt_partition_onchip_memory_start = ABSOLUTE(.)); + *(.onchip_memory. onchip_memory.*) + . = ALIGN(4); + PROVIDE (_alt_partition_onchip_memory_end = ABSOLUTE(.)); + _end = ABSOLUTE(.); + end = ABSOLUTE(.); + __alt_stack_base = ABSOLUTE(.); + } > onchip_memory + + PROVIDE (_alt_partition_onchip_memory_load_addr = LOADADDR(.onchip_memory)); + + /* + * Stabs debugging sections. + * + */ + + .stab 0 : { *(.stab) } + .stabstr 0 : { *(.stabstr) } + .stab.excl 0 : { *(.stab.excl) } + .stab.exclstr 0 : { *(.stab.exclstr) } + .stab.index 0 : { *(.stab.index) } + .stab.indexstr 0 : { *(.stab.indexstr) } + .comment 0 : { *(.comment) } + /* DWARF debug sections. + Symbols in the DWARF debugging sections are relative to the beginning + of the section so we begin them at 0. */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + /* Altera debug extensions */ + .debug_alt_sim_info 0 : { *(.debug_alt_sim_info) } +} + +/* provide a pointer for the stack */ + +/* + * Don't override this, override the __alt_stack_* symbols instead. + */ +__alt_data_end = 0x32000; + +/* + * The next two symbols define the location of the default stack. You can + * override them to move the stack to a different memory. + */ +PROVIDE( __alt_stack_pointer = __alt_data_end ); +PROVIDE( __alt_stack_limit = __alt_stack_base ); + +/* + * This symbol controls where the start of the heap is. If the stack is + * contiguous with the heap then the stack will contract as memory is + * allocated to the heap. + * Override this symbol to put the heap in a different memory. + */ +PROVIDE( __alt_heap_start = end ); +PROVIDE( __alt_heap_limit = 0x32000 ); diff --git a/software/DE2_115_ASM3_release_bsp/mem_init.mk b/software/DE2_115_ASM3_release_bsp/mem_init.mk new file mode 100644 index 0000000..4bdd7f1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/mem_init.mk @@ -0,0 +1,322 @@ + +######################################################################### +####### M E M I N I T M A K E F I L E C O N T E N T ###### +######################################################################### + +######################################################################### +# This file is intended to be included by public.mk +# +# +# The following variables must be defined before including this file: +# - ELF +# +# The following variables may be defined to override the default behavior: +# - HDL_SIM_DIR +# - HDL_SIM_INSTALL_DIR +# - MEM_INIT_DIR +# - MEM_INIT_INSTALL_DIR +# - QUARTUS_PROJECT_DIR +# - SOPC_NAME +# - SIM_OPTIMIZE +# - RESET_ADDRESS +# +######################################################################### + +ifeq ($(MEM_INIT_FILE),) +# MEM_INIT_FILE should be set equal to the working relative path to this +# mem_init.mk makefile fragment +MEM_INIT_FILE := $(wildcard $(word $(words $(MAKEFILE_LIST)),$(MAKEFILE_LIST))) +endif + +ifeq ($(ELF2DAT),) +ELF2DAT := elf2dat +endif + +ifeq ($(ELF2HEX),) +ELF2HEX := elf2hex +endif + +ifeq ($(ELF2FLASH),) +ELF2FLASH := elf2flash +endif + +ifeq ($(FLASH2DAT),) +FLASH2DAT := flash2dat +endif + +ifeq ($(NM),) +NM := nios2-elf-nm +endif + +ifeq ($(MKDIR),) +MKDIR := mkdir -p +endif + +ifeq ($(RM),) +RM := rm -f +endif + +ifeq ($(CP),) +CP := cp +endif + +ifeq ($(ECHO),) +ECHO := echo +endif + +MEM_INIT_DIR ?= mem_init +HDL_SIM_DIR ?= $(MEM_INIT_DIR)/hdl_sim + +ifdef QUARTUS_PROJECT_DIR +MEM_INIT_INSTALL_DIR ?= $(patsubst %/,%,$(QUARTUS_PROJECT_DIR)) +ifdef SOPC_NAME +HDL_SIM_INSTALL_DIR ?= $(patsubst %/,%,$(QUARTUS_PROJECT_DIR))/$(SOPC_NAME)_sim +endif +endif + +MEM_INIT_DESCRIPTOR_FILE ?= $(MEM_INIT_DIR)/meminit.spd + +MEM_INIT_QIP_FILE ?= $(MEM_INIT_DIR)/meminit.qip + +#------------------------------------- +# Default Flash Boot Loaders +#------------------------------------- + +BOOT_LOADER_PATH ?= $(SOPC_KIT_NIOS2)/components/altera_nios2 +BOOT_LOADER_CFI ?= $(BOOT_LOADER_PATH)/boot_loader_cfi.srec +BOOT_LOADER_CFI_BE ?= $(BOOT_LOADER_PATH)/boot_loader_cfi_be.srec + + +#------------------------------------- +# Default Target +#------------------------------------- + +.PHONY: default_mem_init +ifeq ($(QSYS),1) +default_mem_init: mem_init_generate +else +default_mem_init: mem_init_install +endif +#------------------------------------- +# Runtime Macros +#------------------------------------- + +define post-process-info + @echo Post-processing to create $@... +endef + +target_stem = $(notdir $(basename $@)) + +mem_start_address = $($(target_stem)_START) +mem_end_address = $($(target_stem)_END) +mem_width = $($(target_stem)_WIDTH) +mem_endianness = $($(target_stem)_ENDIANNESS) +mem_create_lanes = $($(target_stem)_CREATE_LANES) + +mem_pad_flag = $($(target_stem)_PAD_FLAG) +mem_reloc_input_flag = $($(target_stem)_RELOC_INPUT_FLAG) +mem_no_zero_fill_flag = $($(target_stem)_NO_ZERO_FILL_FLAG) + +flash_mem_epcs_flag = $($(target_stem)_EPCS_FLAGS) +flash_mem_cfi_flag = $($(target_stem)_CFI_FLAGS) +flash_mem_boot_loader_flag = $($(target_stem)_BOOT_LOADER_FLAG) + +elf2dat_extra_args = $(mem_pad_flag) +elf2hex_extra_args = $(mem_no_zero_fill_flag) +elf2flash_extra_args = $(flash_mem_cfi_flag) $(flash_mem_epcs_flag) $(flash_mem_boot_loader_flag) +flash2dat_extra_args = $(mem_pad_flag) $(mem_reloc_input_flag) + +#------------------------------------------------------------------------------ +# BSP SPECIFIC CONTENT +# +# The content below is controlled by the BSP and SOPC System +#------------------------------------------------------------------------------ +#START OF BSP SPECIFIC + +#------------------------------------- +# Global Settings +#------------------------------------- + + +# The following TYPE comment allows tools to identify the 'type' of target this +# makefile is associated with. +# TYPE: BSP_MEMINIT_MAKEFILE + +# This following VERSION comment indicates the version of the tool used to +# generate this makefile. A makefile variable is provided for VERSION as well. +# ACDS_VERSION: 13.0sp1 +ACDS_VERSION := 13.0sp1 + +# This following BUILD_NUMBER comment indicates the build number of the tool +# used to generate this makefile. +# BUILD_NUMBER: 232 + +# Optimize for simulation +SIM_OPTIMIZE ?= 0 + +# The CPU reset address as needed by elf2flash +RESET_ADDRESS ?= 0x00000000 + +#------------------------------------- +# Pre-Initialized Memory Descriptions +#------------------------------------- + +# Memory: onchip_memory +MEM_0 := nios_system_onchip_memory +$(MEM_0)_NAME := onchip_memory +$(MEM_0)_MEM_INIT_FILE_PARAM_NAME := INIT_FILE +HEX_FILES += $(MEM_INIT_DIR)/$(MEM_0).hex +MEM_INIT_INSTALL_FILES += $(MEM_INIT_INSTALL_DIR)/$(MEM_0).hex +DAT_FILES += $(HDL_SIM_DIR)/$(MEM_0).dat +HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_0).dat +SYM_FILES += $(HDL_SIM_DIR)/$(MEM_0).sym +HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_0).sym +$(MEM_0)_START := 0x00000000 +$(MEM_0)_END := 0x00031fff +$(MEM_0)_HIERARCHICAL_PATH := onchip_memory +$(MEM_0)_WIDTH := 32 +$(MEM_0)_ENDIANNESS := --little-endian-mem +$(MEM_0)_CREATE_LANES := 0 + +.PHONY: onchip_memory +onchip_memory: check_elf_exists $(MEM_INIT_DIR)/$(MEM_0).hex $(HDL_SIM_DIR)/$(MEM_0).dat $(HDL_SIM_DIR)/$(MEM_0).sym + + +#END OF BSP SPECIFIC + +#------------------------------------- +# Pre-Initialized Memory Targets +#------------------------------------- + +.PHONY: mem_init_install mem_init_generate mem_init_clean + +ifeq ($(QSYS),1) +# Target mem_init_install is deprecated for QSys based systems +# To initialize onchip memories for Quartus II Synthesis with Qsys based systems: +# 1) Use "make mem_init_genearate" +# 2) Add the generated mem_init/meminit.qip file to your Quartus II Project +# +mem_init_install: + $(error Deprecated Makefile Target: '$@'. Use target 'mem_init_generate' and then add $(MEM_INIT_QIP_FILE) to your Quartus II Project) + +else # QSYS != 1, if SopcBuilder based system + +ifneq ($(MEM_INIT_INSTALL_DIR),) +mem_init_install: $(MEM_INIT_INSTALL_FILES) +endif + +ifneq ($(HDL_SIM_INSTALL_DIR),) +mem_init_install: $(HDL_SIM_INSTALL_FILES) +endif + +mem_init_install: mem_init_generate +ifeq ($(MEM_INIT_INSTALL_DIR),) + @echo "WARNING: MEM_INIT_INSTALL_DIR not set. Set your QUARTUS_PROJECT_DIR environment variable." +endif +ifeq ($(HDL_SIM_INSTALL_DIR),) + @echo "WARNING: HDL_SIM_INSTALL_DIR not set. Set your QUARTUS_PROJECT_DIR and SOPC_NAME environment variable." +endif + +$(MEM_INIT_INSTALL_FILES): $(MEM_INIT_INSTALL_DIR)/%: $(MEM_INIT_DIR)/% + @$(MKDIR) $(@D) + @$(CP) -v $< $@ + +$(HDL_SIM_INSTALL_FILES): $(HDL_SIM_INSTALL_DIR)/%: $(HDL_SIM_DIR)/% + @$(MKDIR) $(@D) + @$(CP) -v $< $@ + +endif # QSYS == 1 + + +mem_init_generate: hex dat sym flash $(MEM_INIT_DESCRIPTOR_FILE) $(MEM_INIT_QIP_FILE) + +mem_init_clean: + @$(RM) -r $(MEM_INIT_DIR) $(HDL_SIM_DIR) $(FLASH_FILES) + +.PHONY: hex dat sym flash + +hex: check_elf_exists $(HEX_FILES) + +dat: check_elf_exists $(DAT_FILES) + +sym: check_elf_exists $(SYM_FILES) + +flash: check_elf_exists $(FLASH_FILES) + +#------------------------------------- +# Pre-Initialized Memory Rules +#------------------------------------- + +.PHONY: check_elf_exists +check_elf_exists: $(ELF) +ifeq ($(ELF),) + $(error ELF var not set in mem_init.mk) +endif + +$(filter-out $(FLASH_DAT_FILES),$(DAT_FILES)): %.dat: $(ELF) + $(post-process-info) + @$(MKDIR) $(@D) + bash -c '$(ELF2DAT) --infile=$< --outfile=$@ \ + --base=$(mem_start_address) --end=$(mem_end_address) --width=$(mem_width) \ + $(mem_endianness) --create-lanes=$(mem_create_lanes) $(elf2dat_extra_args)' + +$(foreach i,0 1 2 3 4 5 6 7,%_lane$(i).dat): %.dat + @true + +$(HEX_FILES): %.hex: $(ELF) + $(post-process-info) + @$(MKDIR) $(@D) + bash -c '$(ELF2HEX) $< $(mem_start_address) $(mem_end_address) --width=$(mem_width) \ + $(mem_endianness) --create-lanes=$(mem_create_lanes) $(elf2hex_extra_args) $@' + +$(SYM_FILES): %.sym: $(ELF) + $(post-process-info) + @$(MKDIR) $(@D) + $(NM) -n $< > $@ + +$(FLASH_FILES): %.flash: $(ELF) + $(post-process-info) + @$(MKDIR) $(@D) + bash -c '$(ELF2FLASH) --input=$< --outfile=$@ --sim_optimize=$(SIM_OPTIMIZE) $(mem_endianness) \ + $(elf2flash_extra_args)' + +# +# Function generate_spd_entry +# Arg1: path to the memory initialization file +# Arg2: Type HEX or DAT +# Arg3: Output spd file to append +gen_spd_entry.BASE_FILE = $(basename $(notdir $1)) +gen_spd_entry.PARAM_NAME = $($(gen_spd_entry.BASE_FILE)_MEM_INIT_FILE_PARAM_NAME) +gen_spd_entry.MEM_PATH = $($(gen_spd_entry.BASE_FILE)_HIERARCHICAL_PATH) +gen_spd_entry.SETTINGS = $(strip \ + path=\"$1\" \ + type=\"$2\" \ + $(if $(gen_spd_entry.PARAM_NAME),initParamName=\"$(gen_spd_entry.PARAM_NAME)\") \ + $(if $(gen_spd_entry.MEM_PATH),memoryPath=\"$(gen_spd_entry.MEM_PATH)\") \ +) +define gen_spd_entry +$(ECHO) "" >> $3 +endef + +$(MEM_INIT_DESCRIPTOR_FILE).DAT_FILESET := $(patsubst $(dir $(MEM_INIT_DESCRIPTOR_FILE))%,%,$(DAT_FILES)) +$(MEM_INIT_DESCRIPTOR_FILE).HEX_FILESET := $(patsubst $(dir $(MEM_INIT_DESCRIPTOR_FILE))%,%,$(HEX_FILES)) + +$(MEM_INIT_DESCRIPTOR_FILE): %.spd: $(MEM_INIT_FILE) + $(post-process-info) + @$(MKDIR) $(@D) + @$(RM) $@ + @$(ECHO) "" > $@ + @$(ECHO) "" >> $@ + @$(foreach dat_file,$($@.DAT_FILESET),$(call gen_spd_entry,$(dat_file),DAT,$@) &&)true + @$(foreach hex_file,$($@.HEX_FILESET),$(call gen_spd_entry,$(hex_file),HEX,$@) &&)true + @$(ECHO) "" >> $@ + +.DELETE_ON_ERROR: $(MEM_INIT_DESCRIPTOR_FILE) + +$(MEM_INIT_QIP_FILE): %.qip: $(MEM_INIT_FILE) + $(post-process-info) + @$(MKDIR) $(@D) + @$(RM) $@ + @$(ECHO) "set_global_assignment -name SEARCH_PATH $$::quartus(qip_path)" > $@ + +.DELETE_ON_ERROR: $(MEM_INIT_QIP_FILE) diff --git a/software/DE2_115_ASM3_release_bsp/memory.gdb b/software/DE2_115_ASM3_release_bsp/memory.gdb new file mode 100644 index 0000000..7051541 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/memory.gdb @@ -0,0 +1,50 @@ +# memory.gdb - GDB memory region definitions +# +# Machine generated for CPU 'nios2_processor' in SOPC Builder design 'nios_system' +# SOPC Builder design path: C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo +# +# Generated: Thu Jan 26 11:02:29 JST 2017 + +# DO NOT MODIFY THIS FILE +# +# Changing this file will have subtle consequences +# which will almost certainly lead to a nonfunctioning +# system. If you do modify this file, be aware that your +# changes will be overwritten and lost when this file +# is generated again. +# +# DO NOT MODIFY THIS FILE + +# License Agreement +# +# Copyright (c) 2008 +# Altera Corporation, San Jose, California, USA. +# All rights reserved. +# +# Permission is hereby granted, free of charge, to any person obtaining a +# copy of this software and associated documentation files (the "Software"), +# to deal in the Software without restriction, including without limitation +# the rights to use, copy, modify, merge, publish, distribute, sublicense, +# and/or sell copies of the Software, and to permit persons to whom the +# Software is furnished to do so, subject to the following conditions: +# +# The above copyright notice and this permission notice shall be included in +# all copies or substantial portions of the Software. +# +# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +# AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +# LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING +# FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER +# DEALINGS IN THE SOFTWARE. +# +# This agreement shall be governed in all respects by the laws of the State +# of California and by the laws of the United States of America. + +# Define memory regions for each memory connected to the CPU. +# The cache attribute is specified which improves GDB performance +# by allowing GDB to cache memory contents on the host. + +# onchip_memory +memory 0x0 0x32000 cache diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_alarm_start.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_alarm_start.d new file mode 100644 index 0000000..a293917 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_alarm_start.d @@ -0,0 +1,22 @@ +obj/HAL/src/alt_alarm_start.o: HAL/src/alt_alarm_start.c \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h system.h linker.h + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_alarm_start.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_alarm_start.o new file mode 100644 index 0000000..9b7d022 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_alarm_start.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_busy_sleep.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_busy_sleep.d new file mode 100644 index 0000000..0441737 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_busy_sleep.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_busy_sleep.o: HAL/src/alt_busy_sleep.c system.h linker.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_busy_sleep.h + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_busy_sleep.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_busy_sleep.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_busy_sleep.o new file mode 100644 index 0000000..c335537 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_busy_sleep.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_close.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_close.d new file mode 100644 index 0000000..c678dc5 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_close.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_close.o: HAL/src/alt_close.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_close.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_close.o new file mode 100644 index 0000000..590ab60 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_close.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush.d new file mode 100644 index 0000000..cc01756 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush.d @@ -0,0 +1,15 @@ +obj/HAL/src/alt_dcache_flush.o: HAL/src/alt_dcache_flush.c \ + HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h + +HAL/inc/nios2.h: + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush.o new file mode 100644 index 0000000..7ffb0d3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_all.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_all.d new file mode 100644 index 0000000..9b4b55d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_all.d @@ -0,0 +1,15 @@ +obj/HAL/src/alt_dcache_flush_all.o: HAL/src/alt_dcache_flush_all.c \ + HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h + +HAL/inc/nios2.h: + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_all.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_all.o new file mode 100644 index 0000000..abbdc97 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_all.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.d new file mode 100644 index 0000000..a1291f0 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.d @@ -0,0 +1,16 @@ +obj/HAL/src/alt_dcache_flush_no_writeback.o: \ + HAL/src/alt_dcache_flush_no_writeback.c HAL/inc/nios2.h system.h \ + linker.h HAL/inc/alt_types.h HAL/inc/sys/alt_cache.h \ + HAL/inc/alt_types.h + +HAL/inc/nios2.h: + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.o new file mode 100644 index 0000000..1eec9ac --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev.d new file mode 100644 index 0000000..89b9883 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_dev.o: HAL/src/alt_dev.c HAL/inc/sys/alt_dev.h system.h \ + linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ + HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h HAL/inc/alt_types.h \ + system.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev.o new file mode 100644 index 0000000..6a1f8e6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev_llist_insert.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev_llist_insert.d new file mode 100644 index 0000000..f23980f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev_llist_insert.d @@ -0,0 +1,13 @@ +obj/HAL/src/alt_dev_llist_insert.o: HAL/src/alt_dev_llist_insert.c \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/alt_types.h HAL/inc/sys/alt_errno.h + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_errno.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev_llist_insert.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev_llist_insert.o new file mode 100644 index 0000000..d732b7c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dev_llist_insert.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_rxchan_open.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_rxchan_open.d new file mode 100644 index 0000000..71aa62d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_rxchan_open.d @@ -0,0 +1,35 @@ +obj/HAL/src/alt_dma_rxchan_open.o: HAL/src/alt_dma_rxchan_open.c \ + HAL/inc/sys/alt_dma.h HAL/inc/sys/alt_dma_dev.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/alt_types.h HAL/inc/sys/alt_errno.h \ + HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ + HAL/inc/sys/alt_llist.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h + +HAL/inc/sys/alt_dma.h: + +HAL/inc/sys/alt_dma_dev.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_rxchan_open.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_rxchan_open.o new file mode 100644 index 0000000..0d63bc3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_rxchan_open.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_txchan_open.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_txchan_open.d new file mode 100644 index 0000000..2a33dba --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_txchan_open.d @@ -0,0 +1,35 @@ +obj/HAL/src/alt_dma_txchan_open.o: HAL/src/alt_dma_txchan_open.c \ + HAL/inc/sys/alt_dma.h HAL/inc/sys/alt_dma_dev.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/alt_types.h HAL/inc/sys/alt_errno.h \ + HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ + HAL/inc/sys/alt_llist.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h + +HAL/inc/sys/alt_dma.h: + +HAL/inc/sys/alt_dma_dev.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_txchan_open.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_txchan_open.o new file mode 100644 index 0000000..f68fbeb --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_dma_txchan_open.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_ctors.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_ctors.d new file mode 100644 index 0000000..0898fbc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_ctors.d @@ -0,0 +1 @@ +obj/HAL/src/alt_do_ctors.o: HAL/src/alt_do_ctors.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_ctors.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_ctors.o new file mode 100644 index 0000000..633794f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_ctors.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_dtors.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_dtors.d new file mode 100644 index 0000000..abdadfa --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_dtors.d @@ -0,0 +1 @@ +obj/HAL/src/alt_do_dtors.o: HAL/src/alt_do_dtors.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_dtors.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_dtors.o new file mode 100644 index 0000000..5833fcd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_do_dtors.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_env_lock.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_env_lock.d new file mode 100644 index 0000000..fd8a733 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_env_lock.d @@ -0,0 +1 @@ +obj/HAL/src/alt_env_lock.o: HAL/src/alt_env_lock.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_env_lock.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_env_lock.o new file mode 100644 index 0000000..608ea7f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_env_lock.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_environ.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_environ.d new file mode 100644 index 0000000..b622e4b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_environ.d @@ -0,0 +1,3 @@ +obj/HAL/src/alt_environ.o: HAL/src/alt_environ.c HAL/inc/os/alt_syscall.h + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_environ.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_environ.o new file mode 100644 index 0000000..25d491b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_environ.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_errno.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_errno.d new file mode 100644 index 0000000..51c7dcd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_errno.d @@ -0,0 +1,8 @@ +obj/HAL/src/alt_errno.o: HAL/src/alt_errno.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/alt_types.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_errno.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_errno.o new file mode 100644 index 0000000..0f90826 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_errno.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_entry.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_entry.d new file mode 100644 index 0000000..7960631 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_entry.d @@ -0,0 +1,6 @@ +obj/HAL/src/alt_exception_entry.o: HAL/src/alt_exception_entry.S system.h \ + linker.h + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_entry.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_entry.o new file mode 100644 index 0000000..8059abd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_entry.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_muldiv.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_muldiv.d new file mode 100644 index 0000000..a1e5556 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_muldiv.d @@ -0,0 +1 @@ +obj/HAL/src/alt_exception_muldiv.o: HAL/src/alt_exception_muldiv.S diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_muldiv.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_muldiv.o new file mode 100644 index 0000000..b46375e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_muldiv.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_trap.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_trap.d new file mode 100644 index 0000000..ef4edc6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_trap.d @@ -0,0 +1 @@ +obj/HAL/src/alt_exception_trap.o: HAL/src/alt_exception_trap.S diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_trap.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_trap.o new file mode 100644 index 0000000..4746c42 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exception_trap.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_execve.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_execve.d new file mode 100644 index 0000000..0060a9d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_execve.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_execve.o: HAL/src/alt_execve.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_execve.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_execve.o new file mode 100644 index 0000000..9a28ffa --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_execve.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exit.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exit.d new file mode 100644 index 0000000..09ed618 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exit.d @@ -0,0 +1,26 @@ +obj/HAL/src/alt_exit.o: HAL/src/alt_exit.c HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ + HAL/inc/sys/alt_sim.h HAL/inc/os/alt_hooks.h HAL/inc/os/alt_syscall.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_sim.h: + +HAL/inc/os/alt_hooks.h: + +HAL/inc/os/alt_syscall.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exit.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exit.o new file mode 100644 index 0000000..11a92c3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_exit.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fcntl.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fcntl.d new file mode 100644 index 0000000..b8835a6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fcntl.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_fcntl.o: HAL/src/alt_fcntl.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/alt_types.h \ + HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fcntl.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fcntl.o new file mode 100644 index 0000000..7ee40dd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fcntl.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_lock.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_lock.d new file mode 100644 index 0000000..f6d94e1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_lock.d @@ -0,0 +1,27 @@ +obj/HAL/src/alt_fd_lock.o: HAL/src/alt_fd_lock.c HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_lock.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_lock.o new file mode 100644 index 0000000..433e6c6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_lock.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_unlock.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_unlock.d new file mode 100644 index 0000000..9778463 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_unlock.d @@ -0,0 +1,27 @@ +obj/HAL/src/alt_fd_unlock.o: HAL/src/alt_fd_unlock.c \ + HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_unlock.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_unlock.o new file mode 100644 index 0000000..c72245e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fd_unlock.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_dev.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_dev.d new file mode 100644 index 0000000..7b37308 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_dev.d @@ -0,0 +1,31 @@ +obj/HAL/src/alt_find_dev.o: HAL/src/alt_find_dev.c HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ + HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h HAL/inc/alt_types.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_dev.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_dev.o new file mode 100644 index 0000000..fbc6172 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_dev.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_file.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_file.d new file mode 100644 index 0000000..4f15c6d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_file.d @@ -0,0 +1,32 @@ +obj/HAL/src/alt_find_file.o: HAL/src/alt_find_file.c \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/alt_types.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_file.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_file.o new file mode 100644 index 0000000..eca93fb --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_find_file.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_flash_dev.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_flash_dev.d new file mode 100644 index 0000000..e665b37 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_flash_dev.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_flash_dev.o: HAL/src/alt_flash_dev.c \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/sys/alt_flash_dev.h \ + HAL/inc/sys/alt_flash_types.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_flash_dev.h: + +HAL/inc/sys/alt_flash_types.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_flash_dev.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_flash_dev.o new file mode 100644 index 0000000..671fd82 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_flash_dev.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fork.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fork.d new file mode 100644 index 0000000..ef5b637 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fork.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_fork.o: HAL/src/alt_fork.c HAL/inc/sys/alt_warning.h \ + HAL/inc/sys/alt_errno.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_warning.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fork.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fork.o new file mode 100644 index 0000000..28091d1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fork.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fs_reg.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fs_reg.d new file mode 100644 index 0000000..f56b56f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fs_reg.d @@ -0,0 +1,29 @@ +obj/HAL/src/alt_fs_reg.o: HAL/src/alt_fs_reg.c HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ + HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fs_reg.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fs_reg.o new file mode 100644 index 0000000..7fca958 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fs_reg.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fstat.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fstat.d new file mode 100644 index 0000000..b1c4a1e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fstat.d @@ -0,0 +1,36 @@ +obj/HAL/src/alt_fstat.o: HAL/src/alt_fstat.c HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/sys/alt_errno.h \ + HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fstat.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fstat.o new file mode 100644 index 0000000..864536a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_fstat.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_get_fd.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_get_fd.d new file mode 100644 index 0000000..5524f68 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_get_fd.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_get_fd.o: HAL/src/alt_get_fd.c HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ + HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h HAL/inc/alt_types.h \ + system.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_get_fd.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_get_fd.o new file mode 100644 index 0000000..768a38d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_get_fd.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getchar.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getchar.d new file mode 100644 index 0000000..aa88591 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getchar.d @@ -0,0 +1 @@ +obj/HAL/src/alt_getchar.o: HAL/src/alt_getchar.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getchar.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getchar.o new file mode 100644 index 0000000..9ebad96 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getchar.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getpid.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getpid.d new file mode 100644 index 0000000..365651b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getpid.d @@ -0,0 +1,3 @@ +obj/HAL/src/alt_getpid.o: HAL/src/alt_getpid.c HAL/inc/os/alt_syscall.h + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getpid.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getpid.o new file mode 100644 index 0000000..487f4b6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_getpid.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gettod.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gettod.d new file mode 100644 index 0000000..ffd23b8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gettod.d @@ -0,0 +1,17 @@ +obj/HAL/src/alt_gettod.o: HAL/src/alt_gettod.c HAL/inc/sys/alt_alarm.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_alarm.h \ + HAL/inc/alt_types.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gettod.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gettod.o new file mode 100644 index 0000000..6aaae0f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gettod.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gmon.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gmon.d new file mode 100644 index 0000000..6a213bf --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gmon.d @@ -0,0 +1,24 @@ +obj/HAL/src/alt_gmon.o: HAL/src/alt_gmon.c HAL/inc/priv/nios2_gmon_data.h \ + HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ + linker.h HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h + +HAL/inc/priv/nios2_gmon_data.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gmon.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gmon.o new file mode 100644 index 0000000..9503333 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_gmon.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush.d new file mode 100644 index 0000000..a6048d7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush.d @@ -0,0 +1,15 @@ +obj/HAL/src/alt_icache_flush.o: HAL/src/alt_icache_flush.c \ + HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h + +HAL/inc/nios2.h: + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush.o new file mode 100644 index 0000000..1821e6b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush_all.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush_all.d new file mode 100644 index 0000000..19ee42e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush_all.d @@ -0,0 +1,15 @@ +obj/HAL/src/alt_icache_flush_all.o: HAL/src/alt_icache_flush_all.c \ + HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h + +HAL/inc/nios2.h: + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush_all.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush_all.o new file mode 100644 index 0000000..112b3cc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_icache_flush_all.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic.d new file mode 100644 index 0000000..444ca68 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic.d @@ -0,0 +1,29 @@ +obj/HAL/src/alt_iic.o: HAL/src/alt_iic.c system.h linker.h \ + HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ + HAL/inc/priv/alt_iic_isr_register.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_irq.h HAL/inc/priv/alt_legacy_irq.h system.h \ + HAL/inc/nios2.h + +system.h: + +linker.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +HAL/inc/priv/alt_iic_isr_register.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/priv/alt_legacy_irq.h: + +system.h: + +HAL/inc/nios2.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic.o new file mode 100644 index 0000000..7470a34 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic_isr_register.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic_isr_register.d new file mode 100644 index 0000000..93b9138 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic_isr_register.d @@ -0,0 +1,30 @@ +obj/HAL/src/alt_iic_isr_register.o: HAL/src/alt_iic_isr_register.c \ + system.h linker.h HAL/inc/alt_types.h HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ + HAL/inc/priv/alt_iic_isr_register.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_irq.h HAL/inc/sys/alt_irq_entry.h \ + HAL/inc/priv/alt_irq_table.h + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +HAL/inc/priv/alt_iic_isr_register.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/sys/alt_irq_entry.h: + +HAL/inc/priv/alt_irq_table.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic_isr_register.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic_isr_register.o new file mode 100644 index 0000000..c804d22 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_iic_isr_register.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_entry.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_entry.d new file mode 100644 index 0000000..f91b75a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_entry.d @@ -0,0 +1,18 @@ +obj/HAL/src/alt_instruction_exception_entry.o: \ + HAL/src/alt_instruction_exception_entry.c HAL/inc/sys/alt_exceptions.h \ + HAL/inc/alt_types.h system.h linker.h HAL/inc/nios2.h \ + HAL/inc/alt_types.h system.h + +HAL/inc/sys/alt_exceptions.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_entry.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_entry.o new file mode 100644 index 0000000..b778715 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_entry.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_register.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_register.d new file mode 100644 index 0000000..24c4435 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_register.d @@ -0,0 +1,16 @@ +obj/HAL/src/alt_instruction_exception_register.o: \ + HAL/src/alt_instruction_exception_register.c \ + HAL/inc/sys/alt_exceptions.h HAL/inc/alt_types.h system.h linker.h \ + HAL/inc/alt_types.h system.h + +HAL/inc/sys/alt_exceptions.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/alt_types.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_register.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_register.o new file mode 100644 index 0000000..312993d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_instruction_exception_register.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_io_redirect.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_io_redirect.d new file mode 100644 index 0000000..d84ac28 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_io_redirect.d @@ -0,0 +1,29 @@ +obj/HAL/src/alt_io_redirect.o: HAL/src/alt_io_redirect.c \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_io_redirect.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_io_redirect.o new file mode 100644 index 0000000..6dda3a8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_io_redirect.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_ioctl.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_ioctl.d new file mode 100644 index 0000000..0b46e82 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_ioctl.d @@ -0,0 +1,36 @@ +obj/HAL/src/alt_ioctl.o: HAL/src/alt_ioctl.c HAL/inc/sys/ioctl.h \ + HAL/inc/sys/alt_errno.h HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h \ + HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/ioctl.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_ioctl.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_ioctl.o new file mode 100644 index 0000000..b24a3e6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_ioctl.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_entry.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_entry.d new file mode 100644 index 0000000..c2477c1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_entry.d @@ -0,0 +1,5 @@ +obj/HAL/src/alt_irq_entry.o: HAL/src/alt_irq_entry.S system.h linker.h + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_entry.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_entry.o new file mode 100644 index 0000000..d02df70 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_entry.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_handler.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_handler.d new file mode 100644 index 0000000..c6ee821 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_handler.d @@ -0,0 +1,19 @@ +obj/HAL/src/alt_irq_handler.o: HAL/src/alt_irq_handler.c system.h \ + linker.h HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h \ + system.h HAL/inc/os/alt_hooks.h HAL/inc/alt_types.h + +system.h: + +linker.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +HAL/inc/os/alt_hooks.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_handler.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_handler.o new file mode 100644 index 0000000..1a98d07 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_handler.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_register.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_register.d new file mode 100644 index 0000000..ad1830e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_register.d @@ -0,0 +1,36 @@ +obj/HAL/src/alt_irq_register.o: HAL/src/alt_irq_register.c system.h \ + linker.h HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h \ + system.h HAL/inc/priv/alt_legacy_irq.h system.h HAL/inc/nios2.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_irq.h HAL/inc/os/alt_hooks.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_irq_entry.h \ + HAL/inc/priv/alt_irq_table.h + +system.h: + +linker.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +HAL/inc/priv/alt_legacy_irq.h: + +system.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/os/alt_hooks.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_irq_entry.h: + +HAL/inc/priv/alt_irq_table.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_register.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_register.o new file mode 100644 index 0000000..c760c75 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_register.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_vars.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_vars.d new file mode 100644 index 0000000..c339fc6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_vars.d @@ -0,0 +1,8 @@ +obj/HAL/src/alt_irq_vars.o: HAL/src/alt_irq_vars.c HAL/inc/alt_types.h \ + system.h linker.h + +HAL/inc/alt_types.h: + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_vars.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_vars.o new file mode 100644 index 0000000..213e8aa --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_irq_vars.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_isatty.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_isatty.d new file mode 100644 index 0000000..1b9868b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_isatty.d @@ -0,0 +1,36 @@ +obj/HAL/src/alt_isatty.o: HAL/src/alt_isatty.c HAL/inc/sys/alt_dev.h \ + system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_errno.h HAL/inc/sys/alt_warning.h \ + HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_isatty.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_isatty.o new file mode 100644 index 0000000..9537068 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_isatty.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_kill.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_kill.d new file mode 100644 index 0000000..7ced1b6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_kill.d @@ -0,0 +1,8 @@ +obj/HAL/src/alt_kill.o: HAL/src/alt_kill.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_kill.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_kill.o new file mode 100644 index 0000000..781c4d1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_kill.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_link.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_link.d new file mode 100644 index 0000000..2156c3d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_link.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_link.o: HAL/src/alt_link.c HAL/inc/sys/alt_warning.h \ + HAL/inc/sys/alt_errno.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_warning.h: + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_link.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_link.o new file mode 100644 index 0000000..d9bffa3 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_link.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_load.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_load.d new file mode 100644 index 0000000..ff64508 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_load.d @@ -0,0 +1,8 @@ +obj/HAL/src/alt_load.o: HAL/src/alt_load.c HAL/inc/sys/alt_load.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_cache.h + +HAL/inc/sys/alt_load.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_cache.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_load.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_load.o new file mode 100644 index 0000000..c094957 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_load.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_macro.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_macro.d new file mode 100644 index 0000000..b6c30c4 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_macro.d @@ -0,0 +1 @@ +obj/HAL/src/alt_log_macro.o: HAL/src/alt_log_macro.S diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_macro.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_macro.o new file mode 100644 index 0000000..489e2cc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_macro.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_printf.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_printf.d new file mode 100644 index 0000000..ddd7cdb --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_printf.d @@ -0,0 +1 @@ +obj/HAL/src/alt_log_printf.o: HAL/src/alt_log_printf.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_printf.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_printf.o new file mode 100644 index 0000000..a03c33d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_log_printf.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_lseek.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_lseek.d new file mode 100644 index 0000000..a103673 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_lseek.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_lseek.o: HAL/src/alt_lseek.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_lseek.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_lseek.o new file mode 100644 index 0000000..f6bd20c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_lseek.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_main.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_main.d new file mode 100644 index 0000000..67b6a7b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_main.d @@ -0,0 +1,47 @@ +obj/HAL/src/alt_main.o: HAL/src/alt_main.c HAL/inc/sys/alt_dev.h system.h \ + linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_sys_init.h HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/os/alt_hooks.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/alt_types.h system.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_sys_init.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/os/alt_hooks.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: + +system.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_main.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_main.o new file mode 100644 index 0000000..2138029 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_main.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_malloc_lock.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_malloc_lock.d new file mode 100644 index 0000000..981b056 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_malloc_lock.d @@ -0,0 +1 @@ +obj/HAL/src/alt_malloc_lock.o: HAL/src/alt_malloc_lock.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_malloc_lock.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_malloc_lock.o new file mode 100644 index 0000000..9817fed --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_malloc_lock.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_mcount.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_mcount.d new file mode 100644 index 0000000..4fa3643 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_mcount.d @@ -0,0 +1 @@ +obj/HAL/src/alt_mcount.o: HAL/src/alt_mcount.S diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_mcount.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_mcount.o new file mode 100644 index 0000000..68bebd5 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_mcount.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_open.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_open.d new file mode 100644 index 0000000..a5c2358 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_open.d @@ -0,0 +1,36 @@ +obj/HAL/src/alt_open.o: HAL/src/alt_open.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_open.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_open.o new file mode 100644 index 0000000..0ef5a38 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_open.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_printf.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_printf.d new file mode 100644 index 0000000..0fe3550 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_printf.d @@ -0,0 +1,3 @@ +obj/HAL/src/alt_printf.o: HAL/src/alt_printf.c HAL/inc/sys/alt_stdio.h + +HAL/inc/sys/alt_stdio.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_printf.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_printf.o new file mode 100644 index 0000000..e05b2ae --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_printf.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putchar.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putchar.d new file mode 100644 index 0000000..fa6d19f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putchar.d @@ -0,0 +1 @@ +obj/HAL/src/alt_putchar.o: HAL/src/alt_putchar.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putchar.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putchar.o new file mode 100644 index 0000000..545dd19 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putchar.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putstr.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putstr.d new file mode 100644 index 0000000..a28ce55 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putstr.d @@ -0,0 +1 @@ +obj/HAL/src/alt_putstr.o: HAL/src/alt_putstr.c diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putstr.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putstr.o new file mode 100644 index 0000000..98a2df8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_putstr.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_read.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_read.d new file mode 100644 index 0000000..f5d459d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_read.d @@ -0,0 +1,34 @@ +obj/HAL/src/alt_read.o: HAL/src/alt_read.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_read.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_read.o new file mode 100644 index 0000000..1400e0c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_read.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_release_fd.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_release_fd.d new file mode 100644 index 0000000..137f496 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_release_fd.d @@ -0,0 +1,29 @@ +obj/HAL/src/alt_release_fd.o: HAL/src/alt_release_fd.c \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_release_fd.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_release_fd.o new file mode 100644 index 0000000..2092c7d --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_release_fd.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_cached.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_cached.d new file mode 100644 index 0000000..abacaa4 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_cached.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_remap_cached.o: HAL/src/alt_remap_cached.c \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_cached.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_cached.o new file mode 100644 index 0000000..365b651 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_cached.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_uncached.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_uncached.d new file mode 100644 index 0000000..19b8e4c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_uncached.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_remap_uncached.o: HAL/src/alt_remap_uncached.c \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_uncached.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_uncached.o new file mode 100644 index 0000000..de96c96 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_remap_uncached.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_rename.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_rename.d new file mode 100644 index 0000000..f16ad38 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_rename.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_rename.o: HAL/src/alt_rename.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_rename.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_rename.o new file mode 100644 index 0000000..65f2aaf --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_rename.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_sbrk.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_sbrk.d new file mode 100644 index 0000000..cc6de28 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_sbrk.d @@ -0,0 +1,19 @@ +obj/HAL/src/alt_sbrk.o: HAL/src/alt_sbrk.c HAL/inc/os/alt_syscall.h \ + HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ + linker.h HAL/inc/sys/alt_stack.h system.h + +HAL/inc/os/alt_syscall.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_stack.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_sbrk.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_sbrk.o new file mode 100644 index 0000000..68e74fd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_sbrk.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_settod.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_settod.d new file mode 100644 index 0000000..f8e7109 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_settod.d @@ -0,0 +1,17 @@ +obj/HAL/src/alt_settod.o: HAL/src/alt_settod.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_settod.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_settod.o new file mode 100644 index 0000000..cefb980 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_settod.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_software_exception.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_software_exception.d new file mode 100644 index 0000000..d17d10b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_software_exception.d @@ -0,0 +1,6 @@ +obj/HAL/src/alt_software_exception.o: HAL/src/alt_software_exception.S \ + system.h linker.h + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_software_exception.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_software_exception.o new file mode 100644 index 0000000..f9e01c2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_software_exception.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_stat.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_stat.d new file mode 100644 index 0000000..b66e05e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_stat.d @@ -0,0 +1,3 @@ +obj/HAL/src/alt_stat.o: HAL/src/alt_stat.c HAL/inc/os/alt_syscall.h + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_stat.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_stat.o new file mode 100644 index 0000000..6c250d7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_stat.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_tick.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_tick.d new file mode 100644 index 0000000..88d8e2a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_tick.d @@ -0,0 +1,27 @@ +obj/HAL/src/alt_tick.o: HAL/src/alt_tick.c HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/os/alt_hooks.h \ + HAL/inc/alt_types.h + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_hooks.h: + +HAL/inc/alt_types.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_tick.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_tick.o new file mode 100644 index 0000000..1c68bd6 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_tick.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_times.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_times.d new file mode 100644 index 0000000..e0ded1e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_times.d @@ -0,0 +1,17 @@ +obj/HAL/src/alt_times.o: HAL/src/alt_times.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_times.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_times.o new file mode 100644 index 0000000..c37555e --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_times.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_free.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_free.d new file mode 100644 index 0000000..7d5ae2b --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_free.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_uncached_free.o: HAL/src/alt_uncached_free.c \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_free.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_free.o new file mode 100644 index 0000000..1d9d0e0 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_free.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_malloc.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_malloc.d new file mode 100644 index 0000000..05d57d8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_malloc.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_uncached_malloc.o: HAL/src/alt_uncached_malloc.c \ + HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h + +HAL/inc/sys/alt_cache.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_malloc.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_malloc.o new file mode 100644 index 0000000..1cdb55c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_uncached_malloc.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_unlink.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_unlink.d new file mode 100644 index 0000000..04b6dcd --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_unlink.d @@ -0,0 +1,10 @@ +obj/HAL/src/alt_unlink.o: HAL/src/alt_unlink.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_unlink.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_unlink.o new file mode 100644 index 0000000..17b5ae9 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_unlink.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_usleep.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_usleep.d new file mode 100644 index 0000000..c3ccee2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_usleep.d @@ -0,0 +1,6 @@ +obj/HAL/src/alt_usleep.o: HAL/src/alt_usleep.c \ + HAL/inc/priv/alt_busy_sleep.h HAL/inc/os/alt_syscall.h + +HAL/inc/priv/alt_busy_sleep.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_usleep.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_usleep.o new file mode 100644 index 0000000..1b46b35 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_usleep.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_wait.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_wait.d new file mode 100644 index 0000000..98bf5df --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_wait.d @@ -0,0 +1,8 @@ +obj/HAL/src/alt_wait.o: HAL/src/alt_wait.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_syscall.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_wait.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_wait.o new file mode 100644 index 0000000..eb3a3da --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_wait.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_write.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_write.d new file mode 100644 index 0000000..722e8bc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_write.d @@ -0,0 +1,38 @@ +obj/HAL/src/alt_write.o: HAL/src/alt_write.c HAL/inc/sys/alt_errno.h \ + HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ + HAL/inc/os/alt_syscall.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/alt_errno.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/priv/alt_file.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_syscall.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_write.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_write.o new file mode 100644 index 0000000..d3aaded --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/alt_write.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/altera_nios2_qsys_irq.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/altera_nios2_qsys_irq.d new file mode 100644 index 0000000..45223c8 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/altera_nios2_qsys_irq.d @@ -0,0 +1,15 @@ +obj/HAL/src/altera_nios2_qsys_irq.o: HAL/src/altera_nios2_qsys_irq.c \ + HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ + linker.h HAL/inc/altera_nios2_qsys_irq.h + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/altera_nios2_qsys_irq.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/altera_nios2_qsys_irq.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/altera_nios2_qsys_irq.o new file mode 100644 index 0000000..41f3ff2 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/altera_nios2_qsys_irq.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/crt0.d b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/crt0.d new file mode 100644 index 0000000..c1049a0 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/crt0.d @@ -0,0 +1,12 @@ +obj/HAL/src/crt0.o: HAL/src/crt0.S system.h linker.h HAL/inc/nios2.h \ + HAL/inc/sys/alt_log_printf.h system.h + +system.h: + +linker.h: + +HAL/inc/nios2.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/HAL/src/crt0.o b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/crt0.o new file mode 100644 index 0000000..631ef04 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/HAL/src/crt0.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/alt_sys_init.d b/software/DE2_115_ASM3_release_bsp/obj/alt_sys_init.d new file mode 100644 index 0000000..df7e15a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/alt_sys_init.d @@ -0,0 +1,59 @@ +obj/alt_sys_init.o: alt_sys_init.c system.h linker.h \ + HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ + HAL/inc/sys/alt_sys_init.h HAL/inc/altera_nios2_qsys_irq.h \ + drivers/inc/altera_avalon_jtag_uart.h HAL/inc/sys/alt_alarm.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h \ + HAL/inc/sys/alt_warning.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_flag.h \ + drivers/inc/altera_avalon_jtag_uart_fd.h HAL/inc/sys/alt_dev.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h drivers/inc/altera_avalon_lcd_16207.h \ + drivers/inc/altera_avalon_lcd_16207_fd.h + +system.h: + +linker.h: + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +HAL/inc/sys/alt_sys_init.h: + +HAL/inc/altera_nios2_qsys_irq.h: + +drivers/inc/altera_avalon_jtag_uart.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_flag.h: + +drivers/inc/altera_avalon_jtag_uart_fd.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +drivers/inc/altera_avalon_lcd_16207.h: + +drivers/inc/altera_avalon_lcd_16207_fd.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/alt_sys_init.o b/software/DE2_115_ASM3_release_bsp/obj/alt_sys_init.o new file mode 100644 index 0000000..834c72f --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/alt_sys_init.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_fd.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_fd.d new file mode 100644 index 0000000..5fd0251 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_fd.d @@ -0,0 +1,48 @@ +obj/drivers/src/altera_avalon_jtag_uart_fd.o: \ + drivers/src/altera_avalon_jtag_uart_fd.c HAL/inc/alt_types.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + drivers/inc/altera_avalon_jtag_uart.h HAL/inc/sys/alt_alarm.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_alarm.h \ + HAL/inc/sys/alt_warning.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_flag.h \ + drivers/inc/altera_avalon_jtag_uart_fd.h HAL/inc/sys/alt_dev.h + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_flag.h: + +drivers/inc/altera_avalon_jtag_uart_fd.h: + +HAL/inc/sys/alt_dev.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_fd.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_fd.o new file mode 100644 index 0000000..8fed9bc --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_fd.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_init.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_init.d new file mode 100644 index 0000000..39101c1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_init.d @@ -0,0 +1,66 @@ +obj/drivers/src/altera_avalon_jtag_uart_init.o: \ + drivers/src/altera_avalon_jtag_uart_init.c HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/sys/ioctl.h \ + HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart_regs.h \ + HAL/inc/io.h HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_flag.h \ + drivers/inc/altera_avalon_jtag_uart_fd.h HAL/inc/sys/alt_dev.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/ioctl.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart_regs.h: + +HAL/inc/io.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_flag.h: + +drivers/inc/altera_avalon_jtag_uart_fd.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_init.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_init.o new file mode 100644 index 0000000..f1e7a26 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_init.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_ioctl.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_ioctl.d new file mode 100644 index 0000000..39508a1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_ioctl.d @@ -0,0 +1,58 @@ +obj/drivers/src/altera_avalon_jtag_uart_ioctl.o: \ + drivers/src/altera_avalon_jtag_uart_ioctl.c HAL/inc/sys/ioctl.h \ + HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart_regs.h \ + HAL/inc/io.h HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h \ + HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_flag.h \ + drivers/inc/altera_avalon_jtag_uart_fd.h HAL/inc/sys/alt_dev.h system.h \ + linker.h HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/ioctl.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart_regs.h: + +HAL/inc/io.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_flag.h: + +drivers/inc/altera_avalon_jtag_uart_fd.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_ioctl.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_ioctl.o new file mode 100644 index 0000000..48d20e1 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_ioctl.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_read.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_read.d new file mode 100644 index 0000000..915c27a --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_read.d @@ -0,0 +1,66 @@ +obj/drivers/src/altera_avalon_jtag_uart_read.o: \ + drivers/src/altera_avalon_jtag_uart_read.c HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/sys/ioctl.h \ + HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart_regs.h \ + HAL/inc/io.h HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_flag.h \ + drivers/inc/altera_avalon_jtag_uart_fd.h HAL/inc/sys/alt_dev.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/ioctl.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart_regs.h: + +HAL/inc/io.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_flag.h: + +drivers/inc/altera_avalon_jtag_uart_fd.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_read.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_read.o new file mode 100644 index 0000000..4a804ed --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_read.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_write.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_write.d new file mode 100644 index 0000000..4e67aaa --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_write.d @@ -0,0 +1,66 @@ +obj/drivers/src/altera_avalon_jtag_uart_write.o: \ + drivers/src/altera_avalon_jtag_uart_write.c HAL/inc/sys/alt_irq.h \ + HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_alarm.h HAL/inc/alt_types.h HAL/inc/sys/ioctl.h \ + HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart_regs.h \ + HAL/inc/io.h HAL/inc/alt_types.h drivers/inc/altera_avalon_jtag_uart.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_flag.h \ + drivers/inc/altera_avalon_jtag_uart_fd.h HAL/inc/sys/alt_dev.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/sys/alt_log_printf.h system.h + +HAL/inc/sys/alt_irq.h: + +HAL/inc/nios2.h: + +HAL/inc/alt_types.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +HAL/inc/sys/ioctl.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart_regs.h: + +HAL/inc/io.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_jtag_uart.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_warning.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +HAL/inc/os/alt_flag.h: + +drivers/inc/altera_avalon_jtag_uart_fd.h: + +HAL/inc/sys/alt_dev.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/sys/alt_log_printf.h: + +system.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_write.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_write.o new file mode 100644 index 0000000..611a09c --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_jtag_uart_write.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207.d new file mode 100644 index 0000000..238baea --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207.d @@ -0,0 +1,47 @@ +obj/drivers/src/altera_avalon_lcd_16207.o: \ + drivers/src/altera_avalon_lcd_16207.c HAL/inc/sys/alt_alarm.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_alarm.h \ + HAL/inc/alt_types.h drivers/inc/altera_avalon_lcd_16207_regs.h \ + HAL/inc/io.h HAL/inc/alt_types.h drivers/inc/altera_avalon_lcd_16207.h \ + HAL/inc/sys/alt_alarm.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h drivers/inc/altera_avalon_lcd_16207_fd.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_lcd_16207_regs.h: + +HAL/inc/io.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_lcd_16207.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +drivers/inc/altera_avalon_lcd_16207_fd.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207.o new file mode 100644 index 0000000..40ba7da --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207_fd.d b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207_fd.d new file mode 100644 index 0000000..d45be02 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207_fd.d @@ -0,0 +1,43 @@ +obj/drivers/src/altera_avalon_lcd_16207_fd.o: \ + drivers/src/altera_avalon_lcd_16207_fd.c HAL/inc/alt_types.h \ + HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ + HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ + HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ + drivers/inc/altera_avalon_lcd_16207.h HAL/inc/sys/alt_alarm.h \ + HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_alarm.h HAL/inc/os/alt_sem.h \ + HAL/inc/priv/alt_no_error.h drivers/inc/altera_avalon_lcd_16207_fd.h \ + HAL/inc/sys/alt_dev.h + +HAL/inc/alt_types.h: + +HAL/inc/sys/alt_dev.h: + +system.h: + +linker.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +HAL/inc/priv/alt_dev_llist.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/alt_types.h: + +drivers/inc/altera_avalon_lcd_16207.h: + +HAL/inc/sys/alt_alarm.h: + +HAL/inc/sys/alt_llist.h: + +HAL/inc/priv/alt_alarm.h: + +HAL/inc/os/alt_sem.h: + +HAL/inc/priv/alt_no_error.h: + +drivers/inc/altera_avalon_lcd_16207_fd.h: + +HAL/inc/sys/alt_dev.h: diff --git a/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207_fd.o b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207_fd.o new file mode 100644 index 0000000..9052822 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/obj/drivers/src/altera_avalon_lcd_16207_fd.o Binary files differ diff --git a/software/DE2_115_ASM3_release_bsp/public.mk b/software/DE2_115_ASM3_release_bsp/public.mk new file mode 100644 index 0000000..a8f9076 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/public.mk @@ -0,0 +1,377 @@ +#------------------------------------------------------------------------------ +# BSP "PUBLIC" MAKEFILE CONTENT +# +# This file is intended to be included in an application or library +# Makefile that is using this BSP. You can create such a Makefile with +# the nios2-app-generate-makefile or nios2-lib-generate-makefile +# commands. +# +# The following variables must be defined before including this file: +# +# ALT_LIBRARY_ROOT_DIR +# Contains the path to the BSP top-level (aka root) directory +#------------------------------------------------------------------------------ + +#------------------------------------------------------------------------------ +# PATHS +#------------------------------------------------------------------------------ + + + +# Path to the provided linker script. +BSP_LINKER_SCRIPT := $(ALT_LIBRARY_ROOT_DIR)/linker.x + +# Include paths: +# The path to root of all header files that a library wishes to make +# available for an application's use is specified here. Note that this +# may not be *all* folders within a hierarchy. For example, if it is +# desired that the application developer type: +# #include +# #include +# With files laid out like this: +# /inc/sockets.h +# /inc/ip/tcpip.h +# +# Then, only /inc need be added to the list of include +# directories. Alternatively, if you wish to be able to directly include +# all files in a hierarchy, separate paths to each folder in that +# hierarchy must be defined. + +# The following are the "base" set of include paths for a BSP. +# These paths are appended to the list that individual software +# components, drivers, etc., add in the generated portion of this +# file (below). +ALT_INCLUDE_DIRS_TO_APPEND += \ + $(ALT_LIBRARY_ROOT_DIR) \ + $(ALT_LIBRARY_ROOT_DIR)/drivers/inc + +# Additions to linker library search-path: +# Here we provide a path to "our self" for the application to construct a +# "-L " out of. This should contain a list of directories, +# relative to the library root, of all directories with .a files to link +# against. +ALT_LIBRARY_DIRS += $(ALT_LIBRARY_ROOT_DIR) + + +#------------------------------------------------------------------------------ +# COMPILATION FLAGS +#------------------------------------------------------------------------------ +# Default C pre-processor flags for a BSP: +ALT_CPPFLAGS += -DSYSTEM_BUS_WIDTH=32 \ + -pipe + + +#------------------------------------------------------------------------------ +# MANAGED CONTENT +# +# All content between the lines "START MANAGED" and "END MANAGED" below is +# generated based on variables in the BSP settings file when the +# nios2-bsp-generate-files command is invoked. If you wish to persist any +# information pertaining to the build process, it is recomended that you +# utilize the BSP settings mechanism to do so. +#------------------------------------------------------------------------------ +#START MANAGED + +# The following TYPE comment allows tools to identify the 'type' of target this +# makefile is associated with. +# TYPE: BSP_PUBLIC_MAKEFILE + +# This following VERSION comment indicates the version of the tool used to +# generate this makefile. A makefile variable is provided for VERSION as well. +# ACDS_VERSION: 13.0sp1 +ACDS_VERSION := 13.0sp1 + +# This following BUILD_NUMBER comment indicates the build number of the tool +# used to generate this makefile. +# BUILD_NUMBER: 232 + +# Qsys--generated SOPCINFO file. Required for resolving node instance ID's with +# design component names. +SOPCINFO_FILE := C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo + +# Big-Endian operation. +# setting BIG_ENDIAN is false +ALT_CFLAGS += -EL + +# Path to the provided C language runtime initialization code. +BSP_CRT0 := $(ALT_LIBRARY_ROOT_DIR)/obj/HAL/src/crt0.o + +# Name of BSP library as provided to linker using the "-msys-lib" flag or +# linker script GROUP command. +# setting BSP_SYS_LIB is hal_bsp +BSP_SYS_LIB := hal_bsp +ELF_PATCH_FLAG += --thread_model hal + +# Type identifier of the BSP library +# setting BSP_TYPE is hal +ALT_CPPFLAGS += -D__hal__ +BSP_TYPE := hal + +# CPU Name +# setting CPU_NAME is nios2_processor +CPU_NAME = nios2_processor +ELF_PATCH_FLAG += --cpu_name $(CPU_NAME) + +# Hardware Divider present. +# setting HARDWARE_DIVIDE is false +ALT_CFLAGS += -mno-hw-div + +# Hardware Multiplier present. +# setting HARDWARE_MULTIPLY is false +ALT_CFLAGS += -mno-hw-mul + +# Hardware Mulx present. +# setting HARDWARE_MULX is false +ALT_CFLAGS += -mno-hw-mulx + +# Debug Core present. +# setting HAS_DEBUG_CORE is true +CPU_HAS_DEBUG_CORE = 1 + +# Qsys generated design +# setting QSYS is 1 +QSYS := 1 +ELF_PATCH_FLAG += --qsys true + +# Design Name +# setting SOPC_NAME is nios_system +SOPC_NAME := nios_system + +# SopcBuilder Simulation Enabled +# setting SOPC_SIMULATION_ENABLED is false +ELF_PATCH_FLAG += --simulation_enabled false + +# Enable JTAG UART driver to recover when host is inactive causing buffer to +# full without returning error. Printf will not fail with this recovery. none +# setting altera_avalon_jtag_uart_driver.enable_jtag_uart_ignore_fifo_full_error is false + +# Small-footprint (polled mode) driver none +# setting altera_avalon_jtag_uart_driver.enable_small_driver is false + +# Build a custom version of newlib with the specified space-separated compiler +# flags. The custom newlib build will be placed in the <bsp root>/newlib +# directory, and will be used only for applications that utilize this BSP. +# setting hal.custom_newlib_flags is none + +# Enable support for a subset of the C++ language. This option increases code +# footprint by adding support for C++ constructors. Certain features, such as +# multiple inheritance and exceptions are not supported. If false, adds +# -DALT_NO_C_PLUS_PLUS to ALT_CPPFLAGS in public.mk, and reduces code +# footprint. none +# setting hal.enable_c_plus_plus is true + +# When your application exits, close file descriptors, call C++ destructors, +# etc. Code footprint can be reduced by disabling clean exit. If disabled, adds +# -DALT_NO_CLEAN_EXIT to ALT_CPPFLAGS and -Wl,--defsym, exit=_exit to +# ALT_LDFLAGS in public.mk. none +# setting hal.enable_clean_exit is true + +# Add exit() support. This option increases code footprint if your "main()" +# routine does "return" or call "exit()". If false, adds -DALT_NO_EXIT to +# ALT_CPPFLAGS in public.mk, and reduces footprint none +# setting hal.enable_exit is true + +# Causes code to be compiled with gprof profiling enabled and the application +# ELF to be linked with the GPROF library. If true, adds -DALT_PROVIDE_GMON to +# ALT_CPPFLAGS and -pg to ALT_CFLAGS in public.mk. none +# setting hal.enable_gprof is false + +# Enables lightweight device driver API. This reduces code and data footprint +# by removing the HAL layer that maps device names (e.g. /dev/uart0) to file +# descriptors. Instead, driver routines are called directly. The open(), +# close(), and lseek() routines will always fail if called. The read(), +# write(), fstat(), ioctl(), and isatty() routines only work for the stdio +# devices. If true, adds -DALT_USE_DIRECT_DRIVERS to ALT_CPPFLAGS in public.mk. +# The Altera Host and read-only ZIP file systems can't be used if +# hal.enable_lightweight_device_driver_api is true. +# setting hal.enable_lightweight_device_driver_api is false + +# Adds code to emulate multiply and divide instructions in case they are +# executed but aren't present in the CPU. Normally this isn't required because +# the compiler won't use multiply and divide instructions that aren't present +# in the CPU. If false, adds -DALT_NO_INSTRUCTION_EMULATION to ALT_CPPFLAGS in +# public.mk. none +# setting hal.enable_mul_div_emulation is false +ALT_CPPFLAGS += -DALT_NO_INSTRUCTION_EMULATION + +# Certain drivers are compiled with reduced functionality to reduce code +# footprint. Not all drivers observe this setting. The altera_avalon_uart and +# altera_avalon_jtag_uart drivers switch from interrupt-driven to polled +# operation. CAUTION: Several device drivers are disabled entirely. These +# include the altera_avalon_cfi_flash, altera_avalon_epcs_flash_controller, and +# altera_avalon_lcd_16207 drivers. This can result in certain API (HAL flash +# access routines) to fail. You can define a symbol provided by each driver to +# prevent it from being removed. If true, adds -DALT_USE_SMALL_DRIVERS to +# ALT_CPPFLAGS in public.mk. none +# setting hal.enable_reduced_device_drivers is false + +# Turns on HAL runtime stack checking feature. Enabling this setting causes +# additional code to be placed into each subroutine call to generate an +# exception if a stack collision occurs with the heap or statically allocated +# data. If true, adds -DALT_STACK_CHECK and -mstack-check to ALT_CPPFLAGS in +# public.mk. none +# setting hal.enable_runtime_stack_checking is false + +# The BSP is compiled with optimizations to speedup HDL simulation such as +# initializing the cache, clearing the .bss section, and skipping long delay +# loops. If true, adds -DALT_SIM_OPTIMIZE to ALT_CPPFLAGS in public.mk. When +# this setting is true, the BSP shouldn't be used to build applications that +# are expected to run real hardware. +# setting hal.enable_sim_optimize is false + +# Causes the small newlib (C library) to be used. This reduces code and data +# footprint at the expense of reduced functionality. Several newlib features +# are removed such as floating-point support in printf(), stdin input routines, +# and buffered I/O. The small C library is not compatible with Micrium +# MicroC/OS-II. If true, adds -msmallc to ALT_LDFLAGS in public.mk. none +# setting hal.enable_small_c_library is false + +# Enable SOPC Builder System ID. If a System ID SOPC Builder component is +# connected to the CPU associated with this BSP, it will be enabled in the +# creation of command-line arguments to download an ELF to the target. +# Otherwise, system ID and timestamp values are left out of public.mk for +# application Makefile "download-elf" target definition. With the system ID +# check disabled, the Nios II EDS tools will not automatically ensure that the +# application .elf file (and BSP it is linked against) corresponds to the +# hardware design on the target. If false, adds --accept-bad-sysid to +# SOPC_SYSID_FLAG in public.mk. none +# setting hal.enable_sopc_sysid_check is true + +# Enable BSP generation to query if SOPC system is big endian. If true ignores +# export of 'ALT_CFLAGS += -EB' to public.mk if big endian system. If true +# ignores export of 'ALT_CFLAGS += -EL' if little endian system. none +# setting hal.make.ignore_system_derived.big_endian is false + +# Enable BSP generation to query if SOPC system has a debug core present. If +# true ignores export of 'CPU_HAS_DEBUG_CORE = 1' to public.mk if a debug core +# is found in the system. If true ignores export of 'CPU_HAS_DEBUG_CORE = 0' if +# no debug core is found in the system. none +# setting hal.make.ignore_system_derived.debug_core_present is false + +# Enable BSP generation to query if SOPC system has FPU present. If true +# ignores export of 'ALT_CFLAGS += -mhard-float' to public.mk if FPU is found +# in the system. If true ignores export of 'ALT_CFLAGS += -mhard-soft' if FPU +# is not found in the system. none +# setting hal.make.ignore_system_derived.fpu_present is false + +# Enable BSP generation to query if SOPC system has hardware divide present. If +# true ignores export of 'ALT_CFLAGS += -mno-hw-div' to public.mk if no +# division is found in system. If true ignores export of 'ALT_CFLAGS += +# -mhw-div' if division is found in the system. none +# setting hal.make.ignore_system_derived.hardware_divide_present is false + +# Enable BSP generation to query if SOPC system floating point custom +# instruction with a divider is present. If true ignores export of 'ALT_CFLAGS +# += -mcustom-fpu-cfg=60-2' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-2' to +# public.mk if the custom instruction is found in the system. none +# setting hal.make.ignore_system_derived.hardware_fp_cust_inst_divider_present is false + +# Enable BSP generation to query if SOPC system floating point custom +# instruction without a divider is present. If true ignores export of +# 'ALT_CFLAGS += -mcustom-fpu-cfg=60-1' and 'ALT_LDFLAGS += +# -mcustom-fpu-cfg=60-1' to public.mk if the custom instruction is found in the +# system. none +# setting hal.make.ignore_system_derived.hardware_fp_cust_inst_no_divider_present is false + +# Enable BSP generation to query if SOPC system has multiplier present. If true +# ignores export of 'ALT_CFLAGS += -mno-hw-mul' to public.mk if no multiplier +# is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mul' if +# multiplier is found in the system. none +# setting hal.make.ignore_system_derived.hardware_multiplier_present is false + +# Enable BSP generation to query if SOPC system has hardware mulx present. If +# true ignores export of 'ALT_CFLAGS += -mno-hw-mulx' to public.mk if no mulx +# is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mulx' +# if mulx is found in the system. none +# setting hal.make.ignore_system_derived.hardware_mulx_present is false + +# Enable BSP generation to query if SOPC system has simulation enabled. If true +# ignores export of 'ELF_PATCH_FLAG += --simulation_enabled' to public.mk. none +# setting hal.make.ignore_system_derived.sopc_simulation_enabled is false + +# Enable BSP generation to query SOPC system for system ID base address. If +# true ignores export of 'SOPC_SYSID_FLAG += --sidp=
' and +# 'ELF_PATCH_FLAG += --sidp=
' to public.mk. none +# setting hal.make.ignore_system_derived.sopc_system_base_address is false + +# Enable BSP generation to query SOPC system for system ID. If true ignores +# export of 'SOPC_SYSID_FLAG += --id=' and 'ELF_PATCH_FLAG += +# --id=' to public.mk. none +# setting hal.make.ignore_system_derived.sopc_system_id is false + +# Enable BSP generation to query SOPC system for system timestamp. If true +# ignores export of 'SOPC_SYSID_FLAG += --timestamp=' and +# 'ELF_PATCH_FLAG += --timestamp=' to public.mk. none +# setting hal.make.ignore_system_derived.sopc_system_timestamp is false + +# Slave descriptor of STDERR character-mode device. This setting is used by the +# ALT_STDERR family of defines in system.h. none +# setting hal.stderr is jtag_uart +ELF_PATCH_FLAG += --stderr_dev jtag_uart + +# Slave descriptor of STDIN character-mode device. This setting is used by the +# ALT_STDIN family of defines in system.h. none +# setting hal.stdin is jtag_uart +ELF_PATCH_FLAG += --stdin_dev jtag_uart + +# Slave descriptor of STDOUT character-mode device. This setting is used by the +# ALT_STDOUT family of defines in system.h. none +# setting hal.stdout is jtag_uart +ELF_PATCH_FLAG += --stdout_dev jtag_uart + + +#------------------------------------------------------------------------------ +# SOFTWARE COMPONENT & DRIVER INCLUDE PATHS +#------------------------------------------------------------------------------ + +ALT_INCLUDE_DIRS += $(ALT_LIBRARY_ROOT_DIR)/HAL/inc + +#------------------------------------------------------------------------------ +# SOFTWARE COMPONENT & DRIVER PRODUCED ALT_CPPFLAGS ADDITIONS +#------------------------------------------------------------------------------ + +ALT_CPPFLAGS += -DALT_SINGLE_THREADED + +#END MANAGED + + +#------------------------------------------------------------------------------ +# LIBRARY INFORMATION +#------------------------------------------------------------------------------ +# Assemble the name of the BSP *.a file using the BSP library name +# (BSP_SYS_LIB) in generated content above. +BSP_LIB := lib$(BSP_SYS_LIB).a + +# Additional libraries to link against: +# An application including this file will prefix each library with "-l". +# For example, to include the Newlib math library "m" is included, which +# becomes "-lm" when linking the application. +ALT_LIBRARY_NAMES += m + +# Additions to linker dependencies: +# An application Makefile will typically add these directly to the list +# of dependencies required to build the executable target(s). The BSP +# library (*.a) file is specified here. +ALT_LDDEPS += $(ALT_LIBRARY_ROOT_DIR)/$(BSP_LIB) + +# Is this library "Makeable"? +# Add to list of root library directories that support running 'make' +# to build them. Because libraries may or may not have a Makefile in their +# root, appending to this variable tells an application to run 'make' in +# the library root to build/update this library. +MAKEABLE_LIBRARY_ROOT_DIRS += $(ALT_LIBRARY_ROOT_DIR) + +# Additional Assembler Flags +# -gdwarf2 flag is required for stepping through assembly code +ALT_ASFLAGS += -gdwarf2 + +#------------------------------------------------------------------------------ +# FINAL INCLUDE PATH LIST +#------------------------------------------------------------------------------ +# Append static include paths to paths specified by OS/driver/sw package +# additions to the BSP thus giving them precedence in case a BSP addition +# is attempting to override BSP sources. +ALT_INCLUDE_DIRS += $(ALT_INCLUDE_DIRS_TO_APPEND) + + + diff --git a/software/DE2_115_ASM3_release_bsp/settings.bsp b/software/DE2_115_ASM3_release_bsp/settings.bsp new file mode 100644 index 0000000..404c1b7 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/settings.bsp @@ -0,0 +1,991 @@ + + + hal + default + 2017/01/26 11:02:28 + 1485396148639 + C:\Users\takayun\Documents\DE2-115\qsys_tutorial\software\DE2_115_ASM3_release_bsp + .\settings.bsp + C:\Users\takayun\Documents\DE2-115\qsys_tutorial\nios_system.sopcinfo + default + nios2_processor + 1.9 + + hal.sys_clk_timer + ALT_SYS_CLK + UnquotedString + none + none + system_h_define + Slave descriptor of the system clock timer device. This device provides a periodic interrupt ("tick") and is typically required for RTOS use. This setting defines the value of ALT_SYS_CLK in system.h. + none + false + common + + + hal.timestamp_timer + ALT_TIMESTAMP_CLK + UnquotedString + none + none + system_h_define + Slave descriptor of timestamp timer device. This device is used by Altera HAL timestamp drivers for high-resolution time measurement. This setting defines the value of ALT_TIMESTAMP_CLK in system.h. + none + false + common + + + hal.max_file_descriptors + ALT_MAX_FD + DecimalNumber + 32 + 32 + system_h_define + Determines the number of file descriptors statically allocated. This setting defines the value of ALT_MAX_FD in system.h. + If hal.enable_lightweight_device_driver_api is true, there are no file descriptors so this setting is ignored. If hal.enable_lightweight_device_driver_api is false, this setting must be at least 4 because HAL needs a file descriptor for /dev/null, /dev/stdin, /dev/stdout, and /dev/stderr. + false + + + + hal.enable_instruction_related_exceptions_api + ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API + BooleanDefineOnly + false + false + system_h_define + Enables API for registering handlers to service instruction-related exceptions. Enabling this setting increases the size of the exception entry code. + These exception types can be generated if various processor options are enabled, such as the MMU, MPU, or other advanced exception types. + false + + + + hal.linker.allow_code_at_reset + ALT_ALLOW_CODE_AT_RESET + Boolean + 1 + 0 + none + Indicates if initialization code is allowed at the reset address. If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h. + If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h. This setting is typically false if an external bootloader (e.g. flash bootloader) is present. + false + + + + hal.linker.enable_alt_load + NONE + Boolean + 1 + 0 + none + Enables the alt_load() facility. The alt_load() facility copies sections from the .text memory into RAM. If true, this setting sets up the VMA/LMA of sections in linker.x to allow them to be loaded into the .text memory. + This setting is typically false if an external bootloader (e.g. flash bootloader) is present. + false + + + + hal.linker.enable_alt_load_copy_rodata + NONE + Boolean + 0 + 0 + none + Causes the alt_load() facility to copy the .rodata section. If true, this setting defines the macro ALT_LOAD_COPY_RODATA in linker.h. + none + false + + + + hal.linker.enable_alt_load_copy_rwdata + NONE + Boolean + 1 + 0 + none + Causes the alt_load() facility to copy the .rwdata section. If true, this setting defines the macro ALT_LOAD_COPY_RWDATA in linker.h. + none + false + + + + hal.linker.enable_alt_load_copy_exceptions + NONE + Boolean + 0 + 0 + none + Causes the alt_load() facility to copy the .exceptions section. If true, this setting defines the macro ALT_LOAD_COPY_EXCEPTIONS in linker.h. + none + false + + + + hal.linker.enable_exception_stack + NONE + Boolean + 0 + 0 + none + Enables use of a separate exception stack. If true, defines the macro ALT_EXCEPTION_STACK in linker.h, adds a memory region called exception_stack to linker.x, and provides the symbols __alt_exception_stack_pointer and __alt_exception_stack_limit in linker.x. + The hal.linker.exception_stack_size and hal.linker.exception_stack_memory_region_name settings must also be valid. This setting must be false for MicroC/OS-II BSPs. The exception stack can be used to improve interrupt and other exception performance if the EIC is *not* used. + false + common + + + hal.linker.exception_stack_size + NONE + DecimalNumber + 1024 + 1024 + none + Size of the exception stack in bytes. + Only used if hal.linker.enable_exception_stack is true. + false + common + + + hal.linker.exception_stack_memory_region_name + NONE + UnquotedString + onchip_memory + none + none + Name of the existing memory region that will be divided up to create the 'exception_stack' memory region. The selected region name will be adjusted automatically when the BSP is generated to create the 'exception_stack' memory region. + Only used if hal.linker.enable_exception_stack is true. + false + common + + + hal.linker.enable_interrupt_stack + NONE + Boolean + 0 + 0 + none + Enables use of a separate interrupt stack. If true, defines the macro ALT_INTERRUPT_STACK in linker.h, adds a memory region called interrupt_stack to linker.x, and provides the symbols __alt_interrupt_stack_pointer and __alt_interrupt_stack_limit in linker.x. + The hal.linker.interrupt_stack_size and hal.linker.interrupt_stack_memory_region_name settings must also be valid. This setting must be false for MicroC/OS-II BSPs. Only enable if the EIC is used exclusively. The exception stack can be used to improve interrupt and other exception performance if the EIC is *not* used. + false + common + + + hal.linker.interrupt_stack_size + NONE + DecimalNumber + 1024 + 1024 + none + Size of the interrupt stack in bytes. + Only used if hal.linker.enable_interrupt_stack is true. + false + common + + + hal.linker.interrupt_stack_memory_region_name + NONE + UnquotedString + onchip_memory + none + none + Name of the existing memory region that will be divided up to create the 'interrupt_stack' memory region. The selected region name will be adjusted automatically when the BSP is generated to create the 'interrupt_stack' memory region. + Only used if hal.linker.enable_interrupt_stack is true. + false + common + + + hal.stdin + NONE + UnquotedString + jtag_uart + none + system_h_define + Slave descriptor of STDIN character-mode device. This setting is used by the ALT_STDIN family of defines in system.h. + none + false + common + + + hal.stdout + NONE + UnquotedString + jtag_uart + none + system_h_define + Slave descriptor of STDOUT character-mode device. This setting is used by the ALT_STDOUT family of defines in system.h. + none + false + common + + + hal.stderr + NONE + UnquotedString + jtag_uart + none + system_h_define + Slave descriptor of STDERR character-mode device. This setting is used by the ALT_STDERR family of defines in system.h. + none + false + common + + + hal.log_port + NONE + UnquotedString + none + none + public_mk_define + Slave descriptor of debug logging character-mode device. If defined, it enables extra debug messages in the HAL source. This setting is used by the ALT_LOG_PORT family of defines in system.h. + none + false + none + + + hal.make.build_pre_process + BUILD_PRE_PROCESS + UnquotedString + none + none + makefile_variable + Command executed before BSP built. + none + false + none + + + hal.make.ar_pre_process + AR_PRE_PROCESS + UnquotedString + none + none + makefile_variable + Command executed before archiver execution. + none + false + none + + + hal.make.bsp_cflags_defined_symbols + BSP_CFLAGS_DEFINED_SYMBOLS + UnquotedString + none + none + makefile_variable + Preprocessor macros to define. A macro definition in this setting has the same effect as a "#define" in source code. Adding "-DALT_DEBUG" to this setting has the same effect as "#define ALT_DEBUG" in a souce file. Adding "-DFOO=1" to this setting is equivalent to the macro "#define FOO 1" in a source file. Macros defined with this setting are applied to all .S, .c, and C++ files in the BSP. This setting defines the value of BSP_CFLAGS_DEFINED_SYMBOLS in the BSP Makefile. + none + false + none + + + hal.make.ar_post_process + AR_POST_PROCESS + UnquotedString + none + none + makefile_variable + Command executed after archiver execution. + none + false + none + + + hal.make.as + AS + UnquotedString + nios2-elf-gcc + nios2-elf-gcc + makefile_variable + Assembler command. Note that CC is used for .S files. + none + false + none + + + hal.make.build_post_process + BUILD_POST_PROCESS + UnquotedString + none + none + makefile_variable + Command executed after BSP built. + none + false + none + + + hal.make.bsp_cflags_debug + BSP_CFLAGS_DEBUG + UnquotedString + -g + -g + makefile_variable + C/C++ compiler debug level. '-g' provides the default set of debug symbols typically required to debug a typical application. Omitting '-g' removes debug symbols from the ELF. This setting defines the value of BSP_CFLAGS_DEBUG in Makefile. + none + false + common + + + hal.make.ar + AR + UnquotedString + nios2-elf-ar + nios2-elf-ar + makefile_variable + Archiver command. Creates library files. + none + false + none + + + hal.make.rm + RM + UnquotedString + rm -f + rm -f + makefile_variable + Command used to remove files during 'clean' target. + none + false + none + + + hal.make.cxx_pre_process + CXX_PRE_PROCESS + UnquotedString + none + none + makefile_variable + Command executed before each C++ file is compiled. + none + false + none + + + hal.make.bsp_cflags_warnings + BSP_CFLAGS_WARNINGS + UnquotedString + -Wall + -Wall + makefile_variable + C/C++ compiler warning level. "-Wall" is commonly used.This setting defines the value of BSP_CFLAGS_WARNINGS in Makefile. + none + false + none + + + hal.make.bsp_arflags + BSP_ARFLAGS + UnquotedString + -src + -src + makefile_variable + Custom flags only passed to the archiver. This content of this variable is directly passed to the archiver rather than the more standard "ARFLAGS". The reason for this is that GNU Make assumes some default content in ARFLAGS. This setting defines the value of BSP_ARFLAGS in Makefile. + none + false + none + + + hal.make.bsp_cflags_optimization + BSP_CFLAGS_OPTIMIZATION + UnquotedString + -O0 + -O0 + makefile_variable + C/C++ compiler optimization level. "-O0" = no optimization,"-O2" = "normal" optimization, etc. "-O0" is recommended for code that you want to debug since compiler optimization can remove variables and produce non-sequential execution of code while debugging. This setting defines the value of BSP_CFLAGS_OPTIMIZATION in Makefile. + none + false + common + + + hal.make.as_post_process + AS_POST_PROCESS + UnquotedString + none + none + makefile_variable + Command executed after each assembly file is compiled. + none + false + none + + + hal.make.cc_pre_process + CC_PRE_PROCESS + UnquotedString + none + none + makefile_variable + Command executed before each .c/.S file is compiled. + none + false + none + + + hal.make.bsp_asflags + BSP_ASFLAGS + UnquotedString + -Wa,-gdwarf2 + -Wa,-gdwarf2 + makefile_variable + Custom flags only passed to the assembler. This setting defines the value of BSP_ASFLAGS in Makefile. + none + false + none + + + hal.make.as_pre_process + AS_PRE_PROCESS + UnquotedString + none + none + makefile_variable + Command executed before each assembly file is compiled. + none + false + none + + + hal.make.bsp_cflags_undefined_symbols + BSP_CFLAGS_UNDEFINED_SYMBOLS + UnquotedString + none + none + makefile_variable + Preprocessor macros to undefine. Undefined macros are similar to defined macros, but replicate the "#undef" directive in source code. To undefine the macro FOO use the syntax "-u FOO" in this setting. This is equivalent to "#undef FOO" in a source file. Note: the syntax differs from macro definition (there is a space, i.e. "-u FOO" versus "-DFOO"). Macros defined with this setting are applied to all .S, .c, and C++ files in the BSP. This setting defines the value of BSP_CFLAGS_UNDEFINED_SYMBOLS in the BSP Makefile. + none + false + none + + + hal.make.cc_post_process + CC_POST_PROCESS + UnquotedString + none + none + makefile_variable + Command executed after each .c/.S file is compiled. + none + false + none + + + hal.make.cxx_post_process + CXX_POST_PROCESS + UnquotedString + none + none + makefile_variable + Command executed before each C++ file is compiled. + none + false + none + + + hal.make.cc + CC + UnquotedString + nios2-elf-gcc -xc + nios2-elf-gcc -xc + makefile_variable + C compiler command. + none + false + none + + + hal.make.bsp_cxx_flags + BSP_CXXFLAGS + UnquotedString + none + none + makefile_variable + Custom flags only passed to the C++ compiler. This setting defines the value of BSP_CXXFLAGS in Makefile. + none + false + none + + + hal.make.bsp_inc_dirs + BSP_INC_DIRS + UnquotedString + none + none + makefile_variable + Space separated list of extra include directories to scan for header files. Directories are relative to the top-level BSP directory. The -I prefix's added by the makefile so don't add it here. This setting defines the value of BSP_INC_DIRS in Makefile. + none + false + none + + + hal.make.cxx + CXX + UnquotedString + nios2-elf-gcc -xc++ + nios2-elf-gcc -xc++ + makefile_variable + C++ compiler command. + none + false + none + + + hal.make.bsp_cflags_user_flags + BSP_CFLAGS_USER_FLAGS + UnquotedString + none + none + makefile_variable + Custom flags passed to the compiler when compiling C, C++, and .S files. This setting defines the value of BSP_CFLAGS_USER_FLAGS in Makefile. + none + false + none + + + hal.make.ignore_system_derived.sopc_system_id + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query SOPC system for system ID. If true ignores export of 'SOPC_SYSID_FLAG += --id=<sysid>' and 'ELF_PATCH_FLAG += --id=<sysid>' to public.mk. + none + false + none + + + hal.make.ignore_system_derived.sopc_system_timestamp + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query SOPC system for system timestamp. If true ignores export of 'SOPC_SYSID_FLAG += --timestamp=<timestamp>' and 'ELF_PATCH_FLAG += --timestamp=<timestamp>' to public.mk. + none + false + none + + + hal.make.ignore_system_derived.sopc_system_base_address + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query SOPC system for system ID base address. If true ignores export of 'SOPC_SYSID_FLAG += --sidp=<address>' and 'ELF_PATCH_FLAG += --sidp=<address>' to public.mk. + none + false + none + + + hal.make.ignore_system_derived.sopc_simulation_enabled + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system has simulation enabled. If true ignores export of 'ELF_PATCH_FLAG += --simulation_enabled' to public.mk. + none + false + none + + + hal.make.ignore_system_derived.fpu_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system has FPU present. If true ignores export of 'ALT_CFLAGS += -mhard-float' to public.mk if FPU is found in the system. If true ignores export of 'ALT_CFLAGS += -mhard-soft' if FPU is not found in the system. + none + false + none + + + hal.make.ignore_system_derived.hardware_multiplier_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system has multiplier present. If true ignores export of 'ALT_CFLAGS += -mno-hw-mul' to public.mk if no multiplier is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mul' if multiplier is found in the system. + none + false + none + + + hal.make.ignore_system_derived.hardware_mulx_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system has hardware mulx present. If true ignores export of 'ALT_CFLAGS += -mno-hw-mulx' to public.mk if no mulx is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mulx' if mulx is found in the system. + none + false + none + + + hal.make.ignore_system_derived.hardware_divide_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system has hardware divide present. If true ignores export of 'ALT_CFLAGS += -mno-hw-div' to public.mk if no division is found in system. If true ignores export of 'ALT_CFLAGS += -mhw-div' if division is found in the system. + none + false + none + + + hal.make.ignore_system_derived.debug_core_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system has a debug core present. If true ignores export of 'CPU_HAS_DEBUG_CORE = 1' to public.mk if a debug core is found in the system. If true ignores export of 'CPU_HAS_DEBUG_CORE = 0' if no debug core is found in the system. + none + false + none + + + hal.make.ignore_system_derived.big_endian + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system is big endian. If true ignores export of 'ALT_CFLAGS += -EB' to public.mk if big endian system. If true ignores export of 'ALT_CFLAGS += -EL' if little endian system. + none + false + none + + + hal.make.ignore_system_derived.hardware_fp_cust_inst_divider_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system floating point custom instruction with a divider is present. If true ignores export of 'ALT_CFLAGS += -mcustom-fpu-cfg=60-2' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-2' to public.mk if the custom instruction is found in the system. + none + false + none + + + hal.make.ignore_system_derived.hardware_fp_cust_inst_no_divider_present + NONE + Boolean + 0 + 0 + public_mk_define + Enable BSP generation to query if SOPC system floating point custom instruction without a divider is present. If true ignores export of 'ALT_CFLAGS += -mcustom-fpu-cfg=60-1' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-1' to public.mk if the custom instruction is found in the system. + none + false + none + + + hal.enable_exit + ALT_NO_EXIT + Boolean + 1 + 1 + public_mk_define + Add exit() support. This option increases code footprint if your "main()" routine does "return" or call "exit()". If false, adds -DALT_NO_EXIT to ALT_CPPFLAGS in public.mk, and reduces footprint + none + false + none + + + hal.enable_small_c_library + NONE + Boolean + 0 + 0 + public_mk_define + Causes the small newlib (C library) to be used. This reduces code and data footprint at the expense of reduced functionality. Several newlib features are removed such as floating-point support in printf(), stdin input routines, and buffered I/O. The small C library is not compatible with Micrium MicroC/OS-II. If true, adds -msmallc to ALT_LDFLAGS in public.mk. + none + false + common + + + hal.enable_clean_exit + ALT_NO_CLEAN_EXIT + Boolean + 1 + 1 + public_mk_define + When your application exits, close file descriptors, call C++ destructors, etc. Code footprint can be reduced by disabling clean exit. If disabled, adds -DALT_NO_CLEAN_EXIT to ALT_CPPFLAGS and -Wl,--defsym, exit=_exit to ALT_LDFLAGS in public.mk. + none + false + none + + + hal.enable_runtime_stack_checking + ALT_STACK_CHECK + Boolean + 0 + 0 + public_mk_define + Turns on HAL runtime stack checking feature. Enabling this setting causes additional code to be placed into each subroutine call to generate an exception if a stack collision occurs with the heap or statically allocated data. If true, adds -DALT_STACK_CHECK and -mstack-check to ALT_CPPFLAGS in public.mk. + none + false + none + + + hal.enable_gprof + ALT_PROVIDE_GMON + Boolean + 0 + 0 + public_mk_define + Causes code to be compiled with gprof profiling enabled and the application ELF to be linked with the GPROF library. If true, adds -DALT_PROVIDE_GMON to ALT_CPPFLAGS and -pg to ALT_CFLAGS in public.mk. + none + false + common + + + hal.enable_c_plus_plus + ALT_NO_C_PLUS_PLUS + Boolean + 1 + 1 + public_mk_define + Enable support for a subset of the C++ language. This option increases code footprint by adding support for C++ constructors. Certain features, such as multiple inheritance and exceptions are not supported. If false, adds -DALT_NO_C_PLUS_PLUS to ALT_CPPFLAGS in public.mk, and reduces code footprint. + none + false + none + + + hal.enable_reduced_device_drivers + ALT_USE_SMALL_DRIVERS + Boolean + 0 + 0 + public_mk_define + Certain drivers are compiled with reduced functionality to reduce code footprint. Not all drivers observe this setting. The altera_avalon_uart and altera_avalon_jtag_uart drivers switch from interrupt-driven to polled operation. CAUTION: Several device drivers are disabled entirely. These include the altera_avalon_cfi_flash, altera_avalon_epcs_flash_controller, and altera_avalon_lcd_16207 drivers. This can result in certain API (HAL flash access routines) to fail. You can define a symbol provided by each driver to prevent it from being removed. If true, adds -DALT_USE_SMALL_DRIVERS to ALT_CPPFLAGS in public.mk. + none + false + common + + + hal.enable_lightweight_device_driver_api + ALT_USE_DIRECT_DRIVERS + Boolean + 0 + 0 + public_mk_define + Enables lightweight device driver API. This reduces code and data footprint by removing the HAL layer that maps device names (e.g. /dev/uart0) to file descriptors. Instead, driver routines are called directly. The open(), close(), and lseek() routines will always fail if called. The read(), write(), fstat(), ioctl(), and isatty() routines only work for the stdio devices. If true, adds -DALT_USE_DIRECT_DRIVERS to ALT_CPPFLAGS in public.mk. + The Altera Host and read-only ZIP file systems can't be used if hal.enable_lightweight_device_driver_api is true. + false + none + + + hal.enable_mul_div_emulation + ALT_NO_INSTRUCTION_EMULATION + Boolean + 0 + 0 + public_mk_define + Adds code to emulate multiply and divide instructions in case they are executed but aren't present in the CPU. Normally this isn't required because the compiler won't use multiply and divide instructions that aren't present in the CPU. If false, adds -DALT_NO_INSTRUCTION_EMULATION to ALT_CPPFLAGS in public.mk. + none + false + none + + + hal.enable_sim_optimize + ALT_SIM_OPTIMIZE + Boolean + 0 + 0 + public_mk_define + The BSP is compiled with optimizations to speedup HDL simulation such as initializing the cache, clearing the .bss section, and skipping long delay loops. If true, adds -DALT_SIM_OPTIMIZE to ALT_CPPFLAGS in public.mk. + When this setting is true, the BSP shouldn't be used to build applications that are expected to run real hardware. + false + common + + + hal.enable_sopc_sysid_check + NONE + Boolean + 1 + 1 + public_mk_define + Enable SOPC Builder System ID. If a System ID SOPC Builder component is connected to the CPU associated with this BSP, it will be enabled in the creation of command-line arguments to download an ELF to the target. Otherwise, system ID and timestamp values are left out of public.mk for application Makefile "download-elf" target definition. With the system ID check disabled, the Nios II EDS tools will not automatically ensure that the application .elf file (and BSP it is linked against) corresponds to the hardware design on the target. If false, adds --accept-bad-sysid to SOPC_SYSID_FLAG in public.mk. + none + false + none + + + hal.custom_newlib_flags + CUSTOM_NEWLIB_FLAGS + UnquotedString + none + none + public_mk_define + Build a custom version of newlib with the specified space-separated compiler flags. + The custom newlib build will be placed in the &lt;bsp root>/newlib directory, and will be used only for applications that utilize this BSP. + false + none + + + hal.log_flags + ALT_LOG_FLAGS + DecimalNumber + 0 + 0 + public_mk_define + The value is assigned to ALT_LOG_FLAGS in the generated public.mk. See hal.log_port setting description. Values can be -1 through 3. + hal.log_port must be set for this to be used. + false + none + + + altera_avalon_jtag_uart_driver.enable_small_driver + ALTERA_AVALON_JTAG_UART_SMALL + BooleanDefineOnly + false + false + public_mk_define + Small-footprint (polled mode) driver + none + false + + + + altera_avalon_jtag_uart_driver.enable_jtag_uart_ignore_fifo_full_error + ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR + BooleanDefineOnly + false + false + public_mk_define + Enable JTAG UART driver to recover when host is inactive causing buffer to full without returning error. Printf will not fail with this recovery. + none + false + + + + onchip_memory + 0x00000000 - 0x00031FFF + 204800 + memory + + + lcd_on + 0x00041010 - 0x0004101F + 16 + + + + lcd_blon + 0x00041020 - 0x0004102F + 16 + + + + lcd_16207_0 + 0x00041030 - 0x0004103F + 16 + printable + + + hex7 + 0x00041040 - 0x0004104F + 16 + + + + hex6 + 0x00041050 - 0x0004105F + 16 + + + + hex5 + 0x00041060 - 0x0004106F + 16 + + + + hex4 + 0x00041070 - 0x0004107F + 16 + + + + hex3 + 0x00041080 - 0x0004108F + 16 + + + + hex2 + 0x00041090 - 0x0004109F + 16 + + + + hex1 + 0x000410A0 - 0x000410AF + 16 + + + + hex0 + 0x000410B0 - 0x000410BF + 16 + + + + push_switches + 0x000410C0 - 0x000410CF + 16 + + + + switches + 0x000410D0 - 0x000410DF + 16 + + + + LEDRs + 0x000410E0 - 0x000410EF + 16 + + + + LEDs + 0x000410F0 - 0x000410FF + 16 + + + + jtag_uart + 0x00041100 - 0x00041107 + 8 + printable + + + .text + onchip_memory + + + .rodata + onchip_memory + + + .rwdata + onchip_memory + + + .bss + onchip_memory + + + .heap + onchip_memory + + + .stack + onchip_memory + + \ No newline at end of file diff --git a/software/DE2_115_ASM3_release_bsp/summary.html b/software/DE2_115_ASM3_release_bsp/summary.html new file mode 100644 index 0000000..77c7739 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/summary.html @@ -0,0 +1,2047 @@ + +Altera Nios II BSP Summary + +

BSP Description

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BSP Type:hal
SOPC Design File:C:\Users\takayun\Documents\DE2-115\qsys_tutorial\nios_system.sopcinfo
Quartus JDI File:default
CPU:nios2_processor
BSP Settings File:.\settings.bsp
BSP Version:default
BSP Generated On:2017/01/26 11:02:28
BSP Generated Timestamp:1485396148639
BSP Generated Location:C:\Users\takayun\Documents\DE2-115\qsys_tutorial\software\DE2_115_ASM3_release_bsp
+
+

Nios II Memory Map

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slave DescriptorAddress RangeSizeAttributes
jtag_uart0x00041100 - 0x000411078printable
LEDs0x000410F0 - 0x000410FF16 
LEDRs0x000410E0 - 0x000410EF16 
switches0x000410D0 - 0x000410DF16 
push_switches0x000410C0 - 0x000410CF16 
hex00x000410B0 - 0x000410BF16 
hex10x000410A0 - 0x000410AF16 
hex20x00041090 - 0x0004109F16 
hex30x00041080 - 0x0004108F16 
hex40x00041070 - 0x0004107F16 
hex50x00041060 - 0x0004106F16 
hex60x00041050 - 0x0004105F16 
hex70x00041040 - 0x0004104F16 
lcd_16207_00x00041030 - 0x0004103F16printable
lcd_blon0x00041020 - 0x0004102F16 
lcd_on0x00041010 - 0x0004101F16 
onchip_memory0x00000000 - 0x00031FFF204800memory
+
+
+

Linker Regions

+ + + + +
RegionAddress RangeSizeMemoryOffset
+
+
+

Linker Section Mappings

+ + + + + + + + + + + + + + + + + + + + + + +
SectionRegion
.textonchip_memory
.rodataonchip_memory
.rwdataonchip_memory
.bssonchip_memory
.heaponchip_memory
.stackonchip_memory
+

Settings

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:altera_avalon_jtag_uart_driver.enable_jtag_uart_ignore_fifo_full_error
Identifier:ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR
Default Value:false
Value:false
Type:BooleanDefineOnly
Destination:public_mk_define
Description:Enable JTAG UART driver to recover when host is inactive causing buffer to full without returning error. Printf will not fail with this recovery.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:altera_avalon_jtag_uart_driver.enable_small_driver
Identifier:ALTERA_AVALON_JTAG_UART_SMALL
Default Value:false
Value:false
Type:BooleanDefineOnly
Destination:public_mk_define
Description:Small-footprint (polled mode) driver
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.custom_newlib_flags
Identifier:CUSTOM_NEWLIB_FLAGS
Default Value:none
Value:none
Type:UnquotedString
Destination:public_mk_define
Description:Build a custom version of newlib with the specified space-separated compiler flags.
Restrictions:The custom newlib build will be placed in the &lt;bsp root>/newlib directory, and will be used only for applications that utilize this BSP.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_c_plus_plus
Identifier:ALT_NO_C_PLUS_PLUS
Default Value:1
Value:1
Type:Boolean
Destination:public_mk_define
Description:Enable support for a subset of the C++ language. This option increases code footprint by adding support for C++ constructors. Certain features, such as multiple inheritance and exceptions are not supported. If false, adds -DALT_NO_C_PLUS_PLUS to ALT_CPPFLAGS in public.mk, and reduces code footprint.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_clean_exit
Identifier:ALT_NO_CLEAN_EXIT
Default Value:1
Value:1
Type:Boolean
Destination:public_mk_define
Description:When your application exits, close file descriptors, call C++ destructors, etc. Code footprint can be reduced by disabling clean exit. If disabled, adds -DALT_NO_CLEAN_EXIT to ALT_CPPFLAGS and -Wl,--defsym, exit=_exit to ALT_LDFLAGS in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_exit
Identifier:ALT_NO_EXIT
Default Value:1
Value:1
Type:Boolean
Destination:public_mk_define
Description:Add exit() support. This option increases code footprint if your "main()" routine does "return" or call "exit()". If false, adds -DALT_NO_EXIT to ALT_CPPFLAGS in public.mk, and reduces footprint
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_gprof
Identifier:ALT_PROVIDE_GMON
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Causes code to be compiled with gprof profiling enabled and the application ELF to be linked with the GPROF library. If true, adds -DALT_PROVIDE_GMON to ALT_CPPFLAGS and -pg to ALT_CFLAGS in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_instruction_related_exceptions_api
Identifier:ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API
Default Value:false
Value:false
Type:BooleanDefineOnly
Destination:system_h_define
Description:Enables API for registering handlers to service instruction-related exceptions. Enabling this setting increases the size of the exception entry code.
Restrictions:These exception types can be generated if various processor options are enabled, such as the MMU, MPU, or other advanced exception types.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_lightweight_device_driver_api
Identifier:ALT_USE_DIRECT_DRIVERS
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enables lightweight device driver API. This reduces code and data footprint by removing the HAL layer that maps device names (e.g. /dev/uart0) to file descriptors. Instead, driver routines are called directly. The open(), close(), and lseek() routines will always fail if called. The read(), write(), fstat(), ioctl(), and isatty() routines only work for the stdio devices. If true, adds -DALT_USE_DIRECT_DRIVERS to ALT_CPPFLAGS in public.mk.
Restrictions:The Altera Host and read-only ZIP file systems can't be used if hal.enable_lightweight_device_driver_api is true.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_mul_div_emulation
Identifier:ALT_NO_INSTRUCTION_EMULATION
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Adds code to emulate multiply and divide instructions in case they are executed but aren't present in the CPU. Normally this isn't required because the compiler won't use multiply and divide instructions that aren't present in the CPU. If false, adds -DALT_NO_INSTRUCTION_EMULATION to ALT_CPPFLAGS in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_reduced_device_drivers
Identifier:ALT_USE_SMALL_DRIVERS
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Certain drivers are compiled with reduced functionality to reduce code footprint. Not all drivers observe this setting. The altera_avalon_uart and altera_avalon_jtag_uart drivers switch from interrupt-driven to polled operation. CAUTION: Several device drivers are disabled entirely. These include the altera_avalon_cfi_flash, altera_avalon_epcs_flash_controller, and altera_avalon_lcd_16207 drivers. This can result in certain API (HAL flash access routines) to fail. You can define a symbol provided by each driver to prevent it from being removed. If true, adds -DALT_USE_SMALL_DRIVERS to ALT_CPPFLAGS in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_runtime_stack_checking
Identifier:ALT_STACK_CHECK
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Turns on HAL runtime stack checking feature. Enabling this setting causes additional code to be placed into each subroutine call to generate an exception if a stack collision occurs with the heap or statically allocated data. If true, adds -DALT_STACK_CHECK and -mstack-check to ALT_CPPFLAGS in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_sim_optimize
Identifier:ALT_SIM_OPTIMIZE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:The BSP is compiled with optimizations to speedup HDL simulation such as initializing the cache, clearing the .bss section, and skipping long delay loops. If true, adds -DALT_SIM_OPTIMIZE to ALT_CPPFLAGS in public.mk.
Restrictions:When this setting is true, the BSP shouldn't be used to build applications that are expected to run real hardware.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_small_c_library
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Causes the small newlib (C library) to be used. This reduces code and data footprint at the expense of reduced functionality. Several newlib features are removed such as floating-point support in printf(), stdin input routines, and buffered I/O. The small C library is not compatible with Micrium MicroC/OS-II. If true, adds -msmallc to ALT_LDFLAGS in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.enable_sopc_sysid_check
Identifier:NONE
Default Value:1
Value:1
Type:Boolean
Destination:public_mk_define
Description:Enable SOPC Builder System ID. If a System ID SOPC Builder component is connected to the CPU associated with this BSP, it will be enabled in the creation of command-line arguments to download an ELF to the target. Otherwise, system ID and timestamp values are left out of public.mk for application Makefile "download-elf" target definition. With the system ID check disabled, the Nios II EDS tools will not automatically ensure that the application .elf file (and BSP it is linked against) corresponds to the hardware design on the target. If false, adds --accept-bad-sysid to SOPC_SYSID_FLAG in public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.allow_code_at_reset
Identifier:ALT_ALLOW_CODE_AT_RESET
Default Value:0
Value:1
Type:Boolean
Destination:none
Description:Indicates if initialization code is allowed at the reset address. If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h.
Restrictions:If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h. This setting is typically false if an external bootloader (e.g. flash bootloader) is present.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.enable_alt_load
Identifier:NONE
Default Value:0
Value:1
Type:Boolean
Destination:none
Description:Enables the alt_load() facility. The alt_load() facility copies sections from the .text memory into RAM. If true, this setting sets up the VMA/LMA of sections in linker.x to allow them to be loaded into the .text memory.
Restrictions:This setting is typically false if an external bootloader (e.g. flash bootloader) is present.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.enable_alt_load_copy_exceptions
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:none
Description:Causes the alt_load() facility to copy the .exceptions section. If true, this setting defines the macro ALT_LOAD_COPY_EXCEPTIONS in linker.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.enable_alt_load_copy_rodata
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:none
Description:Causes the alt_load() facility to copy the .rodata section. If true, this setting defines the macro ALT_LOAD_COPY_RODATA in linker.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.enable_alt_load_copy_rwdata
Identifier:NONE
Default Value:0
Value:1
Type:Boolean
Destination:none
Description:Causes the alt_load() facility to copy the .rwdata section. If true, this setting defines the macro ALT_LOAD_COPY_RWDATA in linker.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.enable_exception_stack
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:none
Description:Enables use of a separate exception stack. If true, defines the macro ALT_EXCEPTION_STACK in linker.h, adds a memory region called exception_stack to linker.x, and provides the symbols __alt_exception_stack_pointer and __alt_exception_stack_limit in linker.x.
Restrictions:The hal.linker.exception_stack_size and hal.linker.exception_stack_memory_region_name settings must also be valid. This setting must be false for MicroC/OS-II BSPs. The exception stack can be used to improve interrupt and other exception performance if the EIC is *not* used.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.enable_interrupt_stack
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:none
Description:Enables use of a separate interrupt stack. If true, defines the macro ALT_INTERRUPT_STACK in linker.h, adds a memory region called interrupt_stack to linker.x, and provides the symbols __alt_interrupt_stack_pointer and __alt_interrupt_stack_limit in linker.x.
Restrictions:The hal.linker.interrupt_stack_size and hal.linker.interrupt_stack_memory_region_name settings must also be valid. This setting must be false for MicroC/OS-II BSPs. Only enable if the EIC is used exclusively. The exception stack can be used to improve interrupt and other exception performance if the EIC is *not* used.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.exception_stack_memory_region_name
Identifier:NONE
Default Value:none
Value:onchip_memory
Type:UnquotedString
Destination:none
Description:Name of the existing memory region that will be divided up to create the 'exception_stack' memory region. The selected region name will be adjusted automatically when the BSP is generated to create the 'exception_stack' memory region.
Restrictions:Only used if hal.linker.enable_exception_stack is true.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.exception_stack_size
Identifier:NONE
Default Value:1024
Value:1024
Type:DecimalNumber
Destination:none
Description:Size of the exception stack in bytes.
Restrictions:Only used if hal.linker.enable_exception_stack is true.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.interrupt_stack_memory_region_name
Identifier:NONE
Default Value:none
Value:onchip_memory
Type:UnquotedString
Destination:none
Description:Name of the existing memory region that will be divided up to create the 'interrupt_stack' memory region. The selected region name will be adjusted automatically when the BSP is generated to create the 'interrupt_stack' memory region.
Restrictions:Only used if hal.linker.enable_interrupt_stack is true.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.linker.interrupt_stack_size
Identifier:NONE
Default Value:1024
Value:1024
Type:DecimalNumber
Destination:none
Description:Size of the interrupt stack in bytes.
Restrictions:Only used if hal.linker.enable_interrupt_stack is true.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.log_flags
Identifier:ALT_LOG_FLAGS
Default Value:0
Value:0
Type:DecimalNumber
Destination:public_mk_define
Description:The value is assigned to ALT_LOG_FLAGS in the generated public.mk. See hal.log_port setting description. Values can be -1 through 3.
Restrictions:hal.log_port must be set for this to be used.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.log_port
Identifier:NONE
Default Value:none
Value:none
Type:UnquotedString
Destination:public_mk_define
Description:Slave descriptor of debug logging character-mode device. If defined, it enables extra debug messages in the HAL source. This setting is used by the ALT_LOG_PORT family of defines in system.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ar
Identifier:AR
Default Value:nios2-elf-ar
Value:nios2-elf-ar
Type:UnquotedString
Destination:makefile_variable
Description:Archiver command. Creates library files.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ar_post_process
Identifier:AR_POST_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed after archiver execution.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ar_pre_process
Identifier:AR_PRE_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed before archiver execution.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.as
Identifier:AS
Default Value:nios2-elf-gcc
Value:nios2-elf-gcc
Type:UnquotedString
Destination:makefile_variable
Description:Assembler command. Note that CC is used for .S files.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.as_post_process
Identifier:AS_POST_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed after each assembly file is compiled.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.as_pre_process
Identifier:AS_PRE_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed before each assembly file is compiled.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_arflags
Identifier:BSP_ARFLAGS
Default Value:-src
Value:-src
Type:UnquotedString
Destination:makefile_variable
Description:Custom flags only passed to the archiver. This content of this variable is directly passed to the archiver rather than the more standard "ARFLAGS". The reason for this is that GNU Make assumes some default content in ARFLAGS. This setting defines the value of BSP_ARFLAGS in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_asflags
Identifier:BSP_ASFLAGS
Default Value:-Wa,-gdwarf2
Value:-Wa,-gdwarf2
Type:UnquotedString
Destination:makefile_variable
Description:Custom flags only passed to the assembler. This setting defines the value of BSP_ASFLAGS in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cflags_debug
Identifier:BSP_CFLAGS_DEBUG
Default Value:-g
Value:-g
Type:UnquotedString
Destination:makefile_variable
Description:C/C++ compiler debug level. '-g' provides the default set of debug symbols typically required to debug a typical application. Omitting '-g' removes debug symbols from the ELF. This setting defines the value of BSP_CFLAGS_DEBUG in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cflags_defined_symbols
Identifier:BSP_CFLAGS_DEFINED_SYMBOLS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Preprocessor macros to define. A macro definition in this setting has the same effect as a "#define" in source code. Adding "-DALT_DEBUG" to this setting has the same effect as "#define ALT_DEBUG" in a souce file. Adding "-DFOO=1" to this setting is equivalent to the macro "#define FOO 1" in a source file. Macros defined with this setting are applied to all .S, .c, and C++ files in the BSP. This setting defines the value of BSP_CFLAGS_DEFINED_SYMBOLS in the BSP Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cflags_optimization
Identifier:BSP_CFLAGS_OPTIMIZATION
Default Value:-O0
Value:-O0
Type:UnquotedString
Destination:makefile_variable
Description:C/C++ compiler optimization level. "-O0" = no optimization,"-O2" = "normal" optimization, etc. "-O0" is recommended for code that you want to debug since compiler optimization can remove variables and produce non-sequential execution of code while debugging. This setting defines the value of BSP_CFLAGS_OPTIMIZATION in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cflags_undefined_symbols
Identifier:BSP_CFLAGS_UNDEFINED_SYMBOLS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Preprocessor macros to undefine. Undefined macros are similar to defined macros, but replicate the "#undef" directive in source code. To undefine the macro FOO use the syntax "-u FOO" in this setting. This is equivalent to "#undef FOO" in a source file. Note: the syntax differs from macro definition (there is a space, i.e. "-u FOO" versus "-DFOO"). Macros defined with this setting are applied to all .S, .c, and C++ files in the BSP. This setting defines the value of BSP_CFLAGS_UNDEFINED_SYMBOLS in the BSP Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cflags_user_flags
Identifier:BSP_CFLAGS_USER_FLAGS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Custom flags passed to the compiler when compiling C, C++, and .S files. This setting defines the value of BSP_CFLAGS_USER_FLAGS in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cflags_warnings
Identifier:BSP_CFLAGS_WARNINGS
Default Value:-Wall
Value:-Wall
Type:UnquotedString
Destination:makefile_variable
Description:C/C++ compiler warning level. "-Wall" is commonly used.This setting defines the value of BSP_CFLAGS_WARNINGS in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_cxx_flags
Identifier:BSP_CXXFLAGS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Custom flags only passed to the C++ compiler. This setting defines the value of BSP_CXXFLAGS in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.bsp_inc_dirs
Identifier:BSP_INC_DIRS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Space separated list of extra include directories to scan for header files. Directories are relative to the top-level BSP directory. The -I prefix's added by the makefile so don't add it here. This setting defines the value of BSP_INC_DIRS in Makefile.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.build_post_process
Identifier:BUILD_POST_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed after BSP built.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.build_pre_process
Identifier:BUILD_PRE_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed before BSP built.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.cc
Identifier:CC
Default Value:nios2-elf-gcc -xc
Value:nios2-elf-gcc -xc
Type:UnquotedString
Destination:makefile_variable
Description:C compiler command.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.cc_post_process
Identifier:CC_POST_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed after each .c/.S file is compiled.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.cc_pre_process
Identifier:CC_PRE_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed before each .c/.S file is compiled.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.cxx
Identifier:CXX
Default Value:nios2-elf-gcc -xc++
Value:nios2-elf-gcc -xc++
Type:UnquotedString
Destination:makefile_variable
Description:C++ compiler command.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.cxx_post_process
Identifier:CXX_POST_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed before each C++ file is compiled.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.cxx_pre_process
Identifier:CXX_PRE_PROCESS
Default Value:none
Value:none
Type:UnquotedString
Destination:makefile_variable
Description:Command executed before each C++ file is compiled.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.big_endian
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system is big endian. If true ignores export of 'ALT_CFLAGS += -EB' to public.mk if big endian system. If true ignores export of 'ALT_CFLAGS += -EL' if little endian system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.debug_core_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system has a debug core present. If true ignores export of 'CPU_HAS_DEBUG_CORE = 1' to public.mk if a debug core is found in the system. If true ignores export of 'CPU_HAS_DEBUG_CORE = 0' if no debug core is found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.fpu_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system has FPU present. If true ignores export of 'ALT_CFLAGS += -mhard-float' to public.mk if FPU is found in the system. If true ignores export of 'ALT_CFLAGS += -mhard-soft' if FPU is not found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.hardware_divide_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system has hardware divide present. If true ignores export of 'ALT_CFLAGS += -mno-hw-div' to public.mk if no division is found in system. If true ignores export of 'ALT_CFLAGS += -mhw-div' if division is found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.hardware_fp_cust_inst_divider_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system floating point custom instruction with a divider is present. If true ignores export of 'ALT_CFLAGS += -mcustom-fpu-cfg=60-2' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-2' to public.mk if the custom instruction is found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.hardware_fp_cust_inst_no_divider_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system floating point custom instruction without a divider is present. If true ignores export of 'ALT_CFLAGS += -mcustom-fpu-cfg=60-1' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-1' to public.mk if the custom instruction is found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.hardware_multiplier_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system has multiplier present. If true ignores export of 'ALT_CFLAGS += -mno-hw-mul' to public.mk if no multiplier is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mul' if multiplier is found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.hardware_mulx_present
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system has hardware mulx present. If true ignores export of 'ALT_CFLAGS += -mno-hw-mulx' to public.mk if no mulx is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mulx' if mulx is found in the system.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.sopc_simulation_enabled
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query if SOPC system has simulation enabled. If true ignores export of 'ELF_PATCH_FLAG += --simulation_enabled' to public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.sopc_system_base_address
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query SOPC system for system ID base address. If true ignores export of 'SOPC_SYSID_FLAG += --sidp=<address>' and 'ELF_PATCH_FLAG += --sidp=<address>' to public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.sopc_system_id
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query SOPC system for system ID. If true ignores export of 'SOPC_SYSID_FLAG += --id=<sysid>' and 'ELF_PATCH_FLAG += --id=<sysid>' to public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.ignore_system_derived.sopc_system_timestamp
Identifier:NONE
Default Value:0
Value:0
Type:Boolean
Destination:public_mk_define
Description:Enable BSP generation to query SOPC system for system timestamp. If true ignores export of 'SOPC_SYSID_FLAG += --timestamp=<timestamp>' and 'ELF_PATCH_FLAG += --timestamp=<timestamp>' to public.mk.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.make.rm
Identifier:RM
Default Value:rm -f
Value:rm -f
Type:UnquotedString
Destination:makefile_variable
Description:Command used to remove files during 'clean' target.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.max_file_descriptors
Identifier:ALT_MAX_FD
Default Value:32
Value:32
Type:DecimalNumber
Destination:system_h_define
Description:Determines the number of file descriptors statically allocated. This setting defines the value of ALT_MAX_FD in system.h.
Restrictions:If hal.enable_lightweight_device_driver_api is true, there are no file descriptors so this setting is ignored. If hal.enable_lightweight_device_driver_api is false, this setting must be at least 4 because HAL needs a file descriptor for /dev/null, /dev/stdin, /dev/stdout, and /dev/stderr.
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.stderr
Identifier:NONE
Default Value:none
Value:jtag_uart
Type:UnquotedString
Destination:system_h_define
Description:Slave descriptor of STDERR character-mode device. This setting is used by the ALT_STDERR family of defines in system.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.stdin
Identifier:NONE
Default Value:none
Value:jtag_uart
Type:UnquotedString
Destination:system_h_define
Description:Slave descriptor of STDIN character-mode device. This setting is used by the ALT_STDIN family of defines in system.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.stdout
Identifier:NONE
Default Value:none
Value:jtag_uart
Type:UnquotedString
Destination:system_h_define
Description:Slave descriptor of STDOUT character-mode device. This setting is used by the ALT_STDOUT family of defines in system.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.sys_clk_timer
Identifier:ALT_SYS_CLK
Default Value:none
Value:none
Type:UnquotedString
Destination:system_h_define
Description:Slave descriptor of the system clock timer device. This device provides a periodic interrupt ("tick") and is typically required for RTOS use. This setting defines the value of ALT_SYS_CLK in system.h.
Restrictions:none
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
Setting Name:hal.timestamp_timer
Identifier:ALT_TIMESTAMP_CLK
Default Value:none
Value:none
Type:UnquotedString
Destination:system_h_define
Description:Slave descriptor of timestamp timer device. This device is used by Altera HAL timestamp drivers for high-resolution time measurement. This setting defines the value of ALT_TIMESTAMP_CLK in system.h.
Restrictions:none
+
+
+
+ + diff --git a/software/DE2_115_ASM3_release_bsp/system.h b/software/DE2_115_ASM3_release_bsp/system.h new file mode 100644 index 0000000..650cf13 --- /dev/null +++ b/software/DE2_115_ASM3_release_bsp/system.h @@ -0,0 +1,617 @@ +/* + * system.h - SOPC Builder system and BSP software package information + * + * Machine generated for CPU 'nios2_processor' in SOPC Builder design 'nios_system' + * SOPC Builder design path: C:/Users/takayun/Documents/DE2-115/qsys_tutorial/nios_system.sopcinfo + * + * Generated: Thu Jan 26 11:02:29 JST 2017 + */ + +/* + * DO NOT MODIFY THIS FILE + * + * Changing this file will have subtle consequences + * which will almost certainly lead to a nonfunctioning + * system. If you do modify this file, be aware that your + * changes will be overwritten and lost when this file + * is generated again. + * + * DO NOT MODIFY THIS FILE + */ + +/* + * License Agreement + * + * Copyright (c) 2008 + * Altera Corporation, San Jose, California, USA. + * All rights reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER + * DEALINGS IN THE SOFTWARE. + * + * This agreement shall be governed in all respects by the laws of the State + * of California and by the laws of the United States of America. + */ + +#ifndef __SYSTEM_H_ +#define __SYSTEM_H_ + +/* Include definitions from linker script generator */ +#include "linker.h" + + +/* + * CPU configuration + * + */ + +#define ALT_CPU_ARCHITECTURE "altera_nios2_qsys" +#define ALT_CPU_BIG_ENDIAN 0 +#define ALT_CPU_BREAK_ADDR 0x40820 +#define ALT_CPU_CPU_FREQ 50000000u +#define ALT_CPU_CPU_ID_SIZE 1 +#define ALT_CPU_CPU_ID_VALUE 0x00000000 +#define ALT_CPU_CPU_IMPLEMENTATION "tiny" +#define ALT_CPU_DATA_ADDR_WIDTH 0x13 +#define ALT_CPU_DCACHE_LINE_SIZE 0 +#define ALT_CPU_DCACHE_LINE_SIZE_LOG2 0 +#define ALT_CPU_DCACHE_SIZE 0 +#define ALT_CPU_EXCEPTION_ADDR 0x20 +#define ALT_CPU_FLUSHDA_SUPPORTED +#define ALT_CPU_FREQ 50000000 +#define ALT_CPU_HARDWARE_DIVIDE_PRESENT 0 +#define ALT_CPU_HARDWARE_MULTIPLY_PRESENT 0 +#define ALT_CPU_HARDWARE_MULX_PRESENT 0 +#define ALT_CPU_HAS_DEBUG_CORE 1 +#define ALT_CPU_HAS_DEBUG_STUB +#define ALT_CPU_HAS_JMPI_INSTRUCTION +#define ALT_CPU_ICACHE_LINE_SIZE 0 +#define ALT_CPU_ICACHE_LINE_SIZE_LOG2 0 +#define ALT_CPU_ICACHE_SIZE 0 +#define ALT_CPU_INST_ADDR_WIDTH 0x13 +#define ALT_CPU_NAME "nios2_processor" +#define ALT_CPU_RESET_ADDR 0x0 + + +/* + * CPU configuration (with legacy prefix - don't use these anymore) + * + */ + +#define NIOS2_BIG_ENDIAN 0 +#define NIOS2_BREAK_ADDR 0x40820 +#define NIOS2_CPU_FREQ 50000000u +#define NIOS2_CPU_ID_SIZE 1 +#define NIOS2_CPU_ID_VALUE 0x00000000 +#define NIOS2_CPU_IMPLEMENTATION "tiny" +#define NIOS2_DATA_ADDR_WIDTH 0x13 +#define NIOS2_DCACHE_LINE_SIZE 0 +#define NIOS2_DCACHE_LINE_SIZE_LOG2 0 +#define NIOS2_DCACHE_SIZE 0 +#define NIOS2_EXCEPTION_ADDR 0x20 +#define NIOS2_FLUSHDA_SUPPORTED +#define NIOS2_HARDWARE_DIVIDE_PRESENT 0 +#define NIOS2_HARDWARE_MULTIPLY_PRESENT 0 +#define NIOS2_HARDWARE_MULX_PRESENT 0 +#define NIOS2_HAS_DEBUG_CORE 1 +#define NIOS2_HAS_DEBUG_STUB +#define NIOS2_HAS_JMPI_INSTRUCTION +#define NIOS2_ICACHE_LINE_SIZE 0 +#define NIOS2_ICACHE_LINE_SIZE_LOG2 0 +#define NIOS2_ICACHE_SIZE 0 +#define NIOS2_INST_ADDR_WIDTH 0x13 +#define NIOS2_RESET_ADDR 0x0 + + +/* + * Define for each module class mastered by the CPU + * + */ + +#define __ALTERA_AVALON_JTAG_UART +#define __ALTERA_AVALON_LCD_16207 +#define __ALTERA_AVALON_ONCHIP_MEMORY2 +#define __ALTERA_AVALON_PIO +#define __ALTERA_NIOS2_QSYS + + +/* + * LEDRs configuration + * + */ + +#define ALT_MODULE_CLASS_LEDRs altera_avalon_pio +#define LEDRS_BASE 0x410e0 +#define LEDRS_BIT_CLEARING_EDGE_REGISTER 0 +#define LEDRS_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define LEDRS_CAPTURE 0 +#define LEDRS_DATA_WIDTH 18 +#define LEDRS_DO_TEST_BENCH_WIRING 0 +#define LEDRS_DRIVEN_SIM_VALUE 0 +#define LEDRS_EDGE_TYPE "NONE" +#define LEDRS_FREQ 50000000 +#define LEDRS_HAS_IN 0 +#define LEDRS_HAS_OUT 1 +#define LEDRS_HAS_TRI 0 +#define LEDRS_IRQ -1 +#define LEDRS_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define LEDRS_IRQ_TYPE "NONE" +#define LEDRS_NAME "/dev/LEDRs" +#define LEDRS_RESET_VALUE 0 +#define LEDRS_SPAN 16 +#define LEDRS_TYPE "altera_avalon_pio" + + +/* + * LEDs configuration + * + */ + +#define ALT_MODULE_CLASS_LEDs altera_avalon_pio +#define LEDS_BASE 0x410f0 +#define LEDS_BIT_CLEARING_EDGE_REGISTER 0 +#define LEDS_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define LEDS_CAPTURE 0 +#define LEDS_DATA_WIDTH 8 +#define LEDS_DO_TEST_BENCH_WIRING 0 +#define LEDS_DRIVEN_SIM_VALUE 0 +#define LEDS_EDGE_TYPE "NONE" +#define LEDS_FREQ 50000000 +#define LEDS_HAS_IN 0 +#define LEDS_HAS_OUT 1 +#define LEDS_HAS_TRI 0 +#define LEDS_IRQ -1 +#define LEDS_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define LEDS_IRQ_TYPE "NONE" +#define LEDS_NAME "/dev/LEDs" +#define LEDS_RESET_VALUE 0 +#define LEDS_SPAN 16 +#define LEDS_TYPE "altera_avalon_pio" + + +/* + * System configuration + * + */ + +#define ALT_DEVICE_FAMILY "Cyclone IV E" +#define ALT_ENHANCED_INTERRUPT_API_PRESENT +#define ALT_IRQ_BASE NULL +#define ALT_LOG_PORT "/dev/null" +#define ALT_LOG_PORT_BASE 0x0 +#define ALT_LOG_PORT_DEV null +#define ALT_LOG_PORT_TYPE "" +#define ALT_NUM_EXTERNAL_INTERRUPT_CONTROLLERS 0 +#define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 +#define ALT_NUM_INTERRUPT_CONTROLLERS 1 +#define ALT_STDERR "/dev/jtag_uart" +#define ALT_STDERR_BASE 0x41100 +#define ALT_STDERR_DEV jtag_uart +#define ALT_STDERR_IS_JTAG_UART +#define ALT_STDERR_PRESENT +#define ALT_STDERR_TYPE "altera_avalon_jtag_uart" +#define ALT_STDIN "/dev/jtag_uart" +#define ALT_STDIN_BASE 0x41100 +#define ALT_STDIN_DEV jtag_uart +#define ALT_STDIN_IS_JTAG_UART +#define ALT_STDIN_PRESENT +#define ALT_STDIN_TYPE "altera_avalon_jtag_uart" +#define ALT_STDOUT "/dev/jtag_uart" +#define ALT_STDOUT_BASE 0x41100 +#define ALT_STDOUT_DEV jtag_uart +#define ALT_STDOUT_IS_JTAG_UART +#define ALT_STDOUT_PRESENT +#define ALT_STDOUT_TYPE "altera_avalon_jtag_uart" +#define ALT_SYSTEM_NAME "nios_system" + + +/* + * hal configuration + * + */ + +#define ALT_MAX_FD 32 +#define ALT_SYS_CLK none +#define ALT_TIMESTAMP_CLK none + + +/* + * hex0 configuration + * + */ + +#define ALT_MODULE_CLASS_hex0 altera_avalon_pio +#define HEX0_BASE 0x410b0 +#define HEX0_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX0_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX0_CAPTURE 0 +#define HEX0_DATA_WIDTH 7 +#define HEX0_DO_TEST_BENCH_WIRING 0 +#define HEX0_DRIVEN_SIM_VALUE 0 +#define HEX0_EDGE_TYPE "NONE" +#define HEX0_FREQ 50000000 +#define HEX0_HAS_IN 0 +#define HEX0_HAS_OUT 1 +#define HEX0_HAS_TRI 0 +#define HEX0_IRQ -1 +#define HEX0_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX0_IRQ_TYPE "NONE" +#define HEX0_NAME "/dev/hex0" +#define HEX0_RESET_VALUE 0 +#define HEX0_SPAN 16 +#define HEX0_TYPE "altera_avalon_pio" + + +/* + * hex1 configuration + * + */ + +#define ALT_MODULE_CLASS_hex1 altera_avalon_pio +#define HEX1_BASE 0x410a0 +#define HEX1_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX1_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX1_CAPTURE 0 +#define HEX1_DATA_WIDTH 7 +#define HEX1_DO_TEST_BENCH_WIRING 0 +#define HEX1_DRIVEN_SIM_VALUE 0 +#define HEX1_EDGE_TYPE "NONE" +#define HEX1_FREQ 50000000 +#define HEX1_HAS_IN 0 +#define HEX1_HAS_OUT 1 +#define HEX1_HAS_TRI 0 +#define HEX1_IRQ -1 +#define HEX1_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX1_IRQ_TYPE "NONE" +#define HEX1_NAME "/dev/hex1" +#define HEX1_RESET_VALUE 0 +#define HEX1_SPAN 16 +#define HEX1_TYPE "altera_avalon_pio" + + +/* + * hex2 configuration + * + */ + +#define ALT_MODULE_CLASS_hex2 altera_avalon_pio +#define HEX2_BASE 0x41090 +#define HEX2_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX2_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX2_CAPTURE 0 +#define HEX2_DATA_WIDTH 7 +#define HEX2_DO_TEST_BENCH_WIRING 0 +#define HEX2_DRIVEN_SIM_VALUE 0 +#define HEX2_EDGE_TYPE "NONE" +#define HEX2_FREQ 50000000 +#define HEX2_HAS_IN 0 +#define HEX2_HAS_OUT 1 +#define HEX2_HAS_TRI 0 +#define HEX2_IRQ -1 +#define HEX2_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX2_IRQ_TYPE "NONE" +#define HEX2_NAME "/dev/hex2" +#define HEX2_RESET_VALUE 0 +#define HEX2_SPAN 16 +#define HEX2_TYPE "altera_avalon_pio" + + +/* + * hex3 configuration + * + */ + +#define ALT_MODULE_CLASS_hex3 altera_avalon_pio +#define HEX3_BASE 0x41080 +#define HEX3_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX3_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX3_CAPTURE 0 +#define HEX3_DATA_WIDTH 7 +#define HEX3_DO_TEST_BENCH_WIRING 0 +#define HEX3_DRIVEN_SIM_VALUE 0 +#define HEX3_EDGE_TYPE "NONE" +#define HEX3_FREQ 50000000 +#define HEX3_HAS_IN 0 +#define HEX3_HAS_OUT 1 +#define HEX3_HAS_TRI 0 +#define HEX3_IRQ -1 +#define HEX3_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX3_IRQ_TYPE "NONE" +#define HEX3_NAME "/dev/hex3" +#define HEX3_RESET_VALUE 0 +#define HEX3_SPAN 16 +#define HEX3_TYPE "altera_avalon_pio" + + +/* + * hex4 configuration + * + */ + +#define ALT_MODULE_CLASS_hex4 altera_avalon_pio +#define HEX4_BASE 0x41070 +#define HEX4_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX4_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX4_CAPTURE 0 +#define HEX4_DATA_WIDTH 7 +#define HEX4_DO_TEST_BENCH_WIRING 0 +#define HEX4_DRIVEN_SIM_VALUE 0 +#define HEX4_EDGE_TYPE "NONE" +#define HEX4_FREQ 50000000 +#define HEX4_HAS_IN 0 +#define HEX4_HAS_OUT 1 +#define HEX4_HAS_TRI 0 +#define HEX4_IRQ -1 +#define HEX4_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX4_IRQ_TYPE "NONE" +#define HEX4_NAME "/dev/hex4" +#define HEX4_RESET_VALUE 0 +#define HEX4_SPAN 16 +#define HEX4_TYPE "altera_avalon_pio" + + +/* + * hex5 configuration + * + */ + +#define ALT_MODULE_CLASS_hex5 altera_avalon_pio +#define HEX5_BASE 0x41060 +#define HEX5_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX5_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX5_CAPTURE 0 +#define HEX5_DATA_WIDTH 7 +#define HEX5_DO_TEST_BENCH_WIRING 0 +#define HEX5_DRIVEN_SIM_VALUE 0 +#define HEX5_EDGE_TYPE "NONE" +#define HEX5_FREQ 50000000 +#define HEX5_HAS_IN 0 +#define HEX5_HAS_OUT 1 +#define HEX5_HAS_TRI 0 +#define HEX5_IRQ -1 +#define HEX5_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX5_IRQ_TYPE "NONE" +#define HEX5_NAME "/dev/hex5" +#define HEX5_RESET_VALUE 0 +#define HEX5_SPAN 16 +#define HEX5_TYPE "altera_avalon_pio" + + +/* + * hex6 configuration + * + */ + +#define ALT_MODULE_CLASS_hex6 altera_avalon_pio +#define HEX6_BASE 0x41050 +#define HEX6_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX6_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX6_CAPTURE 0 +#define HEX6_DATA_WIDTH 7 +#define HEX6_DO_TEST_BENCH_WIRING 0 +#define HEX6_DRIVEN_SIM_VALUE 0 +#define HEX6_EDGE_TYPE "NONE" +#define HEX6_FREQ 50000000 +#define HEX6_HAS_IN 0 +#define HEX6_HAS_OUT 1 +#define HEX6_HAS_TRI 0 +#define HEX6_IRQ -1 +#define HEX6_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX6_IRQ_TYPE "NONE" +#define HEX6_NAME "/dev/hex6" +#define HEX6_RESET_VALUE 0 +#define HEX6_SPAN 16 +#define HEX6_TYPE "altera_avalon_pio" + + +/* + * hex7 configuration + * + */ + +#define ALT_MODULE_CLASS_hex7 altera_avalon_pio +#define HEX7_BASE 0x41040 +#define HEX7_BIT_CLEARING_EDGE_REGISTER 0 +#define HEX7_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define HEX7_CAPTURE 0 +#define HEX7_DATA_WIDTH 7 +#define HEX7_DO_TEST_BENCH_WIRING 0 +#define HEX7_DRIVEN_SIM_VALUE 0 +#define HEX7_EDGE_TYPE "NONE" +#define HEX7_FREQ 50000000 +#define HEX7_HAS_IN 0 +#define HEX7_HAS_OUT 1 +#define HEX7_HAS_TRI 0 +#define HEX7_IRQ -1 +#define HEX7_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define HEX7_IRQ_TYPE "NONE" +#define HEX7_NAME "/dev/hex7" +#define HEX7_RESET_VALUE 0 +#define HEX7_SPAN 16 +#define HEX7_TYPE "altera_avalon_pio" + + +/* + * jtag_uart configuration + * + */ + +#define ALT_MODULE_CLASS_jtag_uart altera_avalon_jtag_uart +#define JTAG_UART_BASE 0x41100 +#define JTAG_UART_IRQ 5 +#define JTAG_UART_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define JTAG_UART_NAME "/dev/jtag_uart" +#define JTAG_UART_READ_DEPTH 64 +#define JTAG_UART_READ_THRESHOLD 8 +#define JTAG_UART_SPAN 8 +#define JTAG_UART_TYPE "altera_avalon_jtag_uart" +#define JTAG_UART_WRITE_DEPTH 64 +#define JTAG_UART_WRITE_THRESHOLD 8 + + +/* + * lcd_16207_0 configuration + * + */ + +#define ALT_MODULE_CLASS_lcd_16207_0 altera_avalon_lcd_16207 +#define LCD_16207_0_BASE 0x41030 +#define LCD_16207_0_IRQ -1 +#define LCD_16207_0_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define LCD_16207_0_NAME "/dev/lcd_16207_0" +#define LCD_16207_0_SPAN 16 +#define LCD_16207_0_TYPE "altera_avalon_lcd_16207" + + +/* + * lcd_blon configuration + * + */ + +#define ALT_MODULE_CLASS_lcd_blon altera_avalon_pio +#define LCD_BLON_BASE 0x41020 +#define LCD_BLON_BIT_CLEARING_EDGE_REGISTER 0 +#define LCD_BLON_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define LCD_BLON_CAPTURE 0 +#define LCD_BLON_DATA_WIDTH 1 +#define LCD_BLON_DO_TEST_BENCH_WIRING 0 +#define LCD_BLON_DRIVEN_SIM_VALUE 0 +#define LCD_BLON_EDGE_TYPE "NONE" +#define LCD_BLON_FREQ 50000000 +#define LCD_BLON_HAS_IN 0 +#define LCD_BLON_HAS_OUT 1 +#define LCD_BLON_HAS_TRI 0 +#define LCD_BLON_IRQ -1 +#define LCD_BLON_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define LCD_BLON_IRQ_TYPE "NONE" +#define LCD_BLON_NAME "/dev/lcd_blon" +#define LCD_BLON_RESET_VALUE 0 +#define LCD_BLON_SPAN 16 +#define LCD_BLON_TYPE "altera_avalon_pio" + + +/* + * lcd_on configuration + * + */ + +#define ALT_MODULE_CLASS_lcd_on altera_avalon_pio +#define LCD_ON_BASE 0x41010 +#define LCD_ON_BIT_CLEARING_EDGE_REGISTER 0 +#define LCD_ON_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define LCD_ON_CAPTURE 0 +#define LCD_ON_DATA_WIDTH 1 +#define LCD_ON_DO_TEST_BENCH_WIRING 0 +#define LCD_ON_DRIVEN_SIM_VALUE 0 +#define LCD_ON_EDGE_TYPE "NONE" +#define LCD_ON_FREQ 50000000 +#define LCD_ON_HAS_IN 0 +#define LCD_ON_HAS_OUT 1 +#define LCD_ON_HAS_TRI 0 +#define LCD_ON_IRQ -1 +#define LCD_ON_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define LCD_ON_IRQ_TYPE "NONE" +#define LCD_ON_NAME "/dev/lcd_on" +#define LCD_ON_RESET_VALUE 0 +#define LCD_ON_SPAN 16 +#define LCD_ON_TYPE "altera_avalon_pio" + + +/* + * onchip_memory configuration + * + */ + +#define ALT_MODULE_CLASS_onchip_memory altera_avalon_onchip_memory2 +#define ONCHIP_MEMORY_ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 +#define ONCHIP_MEMORY_ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 +#define ONCHIP_MEMORY_BASE 0x0 +#define ONCHIP_MEMORY_CONTENTS_INFO "" +#define ONCHIP_MEMORY_DUAL_PORT 0 +#define ONCHIP_MEMORY_GUI_RAM_BLOCK_TYPE "AUTO" +#define ONCHIP_MEMORY_INIT_CONTENTS_FILE "nios_system_onchip_memory" +#define ONCHIP_MEMORY_INIT_MEM_CONTENT 1 +#define ONCHIP_MEMORY_INSTANCE_ID "NONE" +#define ONCHIP_MEMORY_IRQ -1 +#define ONCHIP_MEMORY_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define ONCHIP_MEMORY_NAME "/dev/onchip_memory" +#define ONCHIP_MEMORY_NON_DEFAULT_INIT_FILE_ENABLED 0 +#define ONCHIP_MEMORY_RAM_BLOCK_TYPE "AUTO" +#define ONCHIP_MEMORY_READ_DURING_WRITE_MODE "DONT_CARE" +#define ONCHIP_MEMORY_SINGLE_CLOCK_OP 0 +#define ONCHIP_MEMORY_SIZE_MULTIPLE 1 +#define ONCHIP_MEMORY_SIZE_VALUE 204800 +#define ONCHIP_MEMORY_SPAN 204800 +#define ONCHIP_MEMORY_TYPE "altera_avalon_onchip_memory2" +#define ONCHIP_MEMORY_WRITABLE 1 + + +/* + * push_switches configuration + * + */ + +#define ALT_MODULE_CLASS_push_switches altera_avalon_pio +#define PUSH_SWITCHES_BASE 0x410c0 +#define PUSH_SWITCHES_BIT_CLEARING_EDGE_REGISTER 0 +#define PUSH_SWITCHES_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define PUSH_SWITCHES_CAPTURE 0 +#define PUSH_SWITCHES_DATA_WIDTH 3 +#define PUSH_SWITCHES_DO_TEST_BENCH_WIRING 0 +#define PUSH_SWITCHES_DRIVEN_SIM_VALUE 0 +#define PUSH_SWITCHES_EDGE_TYPE "NONE" +#define PUSH_SWITCHES_FREQ 50000000 +#define PUSH_SWITCHES_HAS_IN 1 +#define PUSH_SWITCHES_HAS_OUT 0 +#define PUSH_SWITCHES_HAS_TRI 0 +#define PUSH_SWITCHES_IRQ -1 +#define PUSH_SWITCHES_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define PUSH_SWITCHES_IRQ_TYPE "NONE" +#define PUSH_SWITCHES_NAME "/dev/push_switches" +#define PUSH_SWITCHES_RESET_VALUE 0 +#define PUSH_SWITCHES_SPAN 16 +#define PUSH_SWITCHES_TYPE "altera_avalon_pio" + + +/* + * switches configuration + * + */ + +#define ALT_MODULE_CLASS_switches altera_avalon_pio +#define SWITCHES_BASE 0x410d0 +#define SWITCHES_BIT_CLEARING_EDGE_REGISTER 0 +#define SWITCHES_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define SWITCHES_CAPTURE 0 +#define SWITCHES_DATA_WIDTH 18 +#define SWITCHES_DO_TEST_BENCH_WIRING 0 +#define SWITCHES_DRIVEN_SIM_VALUE 0 +#define SWITCHES_EDGE_TYPE "NONE" +#define SWITCHES_FREQ 50000000 +#define SWITCHES_HAS_IN 1 +#define SWITCHES_HAS_OUT 0 +#define SWITCHES_HAS_TRI 0 +#define SWITCHES_IRQ -1 +#define SWITCHES_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define SWITCHES_IRQ_TYPE "NONE" +#define SWITCHES_NAME "/dev/switches" +#define SWITCHES_RESET_VALUE 0 +#define SWITCHES_SPAN 16 +#define SWITCHES_TYPE "altera_avalon_pio" + +#endif /* __SYSTEM_H_ */ diff --git a/software/DE2_115_ASM_bsp/.project b/software/DE2_115_ASM_bsp/.project new file mode 100644 index 0000000..8c2089c --- /dev/null +++ b/software/DE2_115_ASM_bsp/.project @@ -0,0 +1,85 @@ + + + DE2_115_ASM_bsp + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://DE2_115_ASM_bsp} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIBspNature + + diff --git a/software/qsys_tutorial_lcd4/.project b/software/qsys_tutorial_lcd4/.project new file mode 100644 index 0000000..9112c8d --- /dev/null +++ b/software/qsys_tutorial_lcd4/.project @@ -0,0 +1,96 @@ + + + qsys_tutorial_lcd4 + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://qsys_tutorial_lcd4} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/software/qsys_tutorial_lcd4_bsp/.project b/software/qsys_tutorial_lcd4_bsp/.project new file mode 100644 index 0000000..0598622 --- /dev/null +++ b/software/qsys_tutorial_lcd4_bsp/.project @@ -0,0 +1,85 @@ + + + qsys_tutorial_lcd4_bsp + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc://qsys_tutorial_lcd4_bsp} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIBspNature + +