+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Legal Partition Candidates ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ; NiosII|irq_mapper ; 3 ; 31 ; 2 ; 31 ; 32 ; 31 ; 31 ; 31 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_mux_001|arb|adder ; 72 ; 36 ; 0 ; 36 ; 36 ; 36 ; 36 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_mux_001|arb ; 22 ; 0 ; 4 ; 0 ; 18 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_mux_001 ; 2109 ; 0 ; 0 ; 0 ; 135 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_mux|arb|adder ; 8 ; 4 ; 0 ; 4 ; 4 ; 4 ; 4 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_mux|arb ; 6 ; 0 ; 4 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_mux ; 237 ; 0 ; 0 ; 0 ; 119 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_017 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_016 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_015 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_014 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_013 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_012 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_011 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_010 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_009 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_008 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_007 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_006 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_005 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_004 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_003 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_002 ; 120 ; 1 ; 2 ; 1 ; 118 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux_001 ; 121 ; 4 ; 2 ; 4 ; 235 ; 4 ; 4 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rsp_xbar_demux ; 121 ; 4 ; 2 ; 4 ; 235 ; 4 ; 4 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_mux_001|arb|adder ; 8 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_mux_001|arb ; 6 ; 0 ; 1 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_mux_001 ; 237 ; 0 ; 0 ; 0 ; 119 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_mux|arb|adder ; 8 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_mux|arb ; 6 ; 0 ; 1 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_mux ; 237 ; 0 ; 0 ; 0 ; 119 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_demux_001 ; 137 ; 324 ; 2 ; 324 ; 2107 ; 324 ; 324 ; 324 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|cmd_xbar_demux ; 121 ; 4 ; 2 ; 4 ; 235 ; 4 ; 4 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rst_controller|alt_rst_sync_uq1 ; 2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|rst_controller ; 17 ; 14 ; 0 ; 14 ; 2 ; 14 ; 14 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_017|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_017 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_016|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_016 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_015|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_015 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_014|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_014 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_013|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_013 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_012|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_012 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_011|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_011 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_010|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_010 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_009|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_009 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_008|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_008 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_007|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_007 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_006|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_006 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_005|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_005 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_004|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_004 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_003|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_003 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_002|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_002 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_001|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router_001 ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|id_router ; 102 ; 0 ; 2 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|addr_router_001|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|addr_router_001 ; 102 ; 0 ; 7 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|addr_router|the_default_decode ; 0 ; 23 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|addr_router ; 102 ; 0 ; 7 ; 0 ; 118 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_blon_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_blon_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_on_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_on_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex7_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex7_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex6_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex6_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex5_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex5_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex4_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex4_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex3_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex3_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex2_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex2_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex1_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex1_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex0_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex0_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|push_switches_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|push_switches_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|switches_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|switches_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|ledrs_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|ledrs_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|leds_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|leds_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory_s1_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory_s1_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo ; 142 ; 39 ; 0 ; 39 ; 101 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent|uncompressor ; 35 ; 1 ; 0 ; 1 ; 33 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent ; 294 ; 39 ; 55 ; 39 ; 299 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_data_master_translator_avalon_universal_master_0_agent ; 183 ; 39 ; 85 ; 39 ; 134 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_instruction_master_translator_avalon_universal_master_0_agent ; 183 ; 39 ; 85 ; 39 ; 134 ; 39 ; 39 ; 39 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_blon_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_on_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_16207_0_control_slave_translator ; 79 ; 31 ; 44 ; 31 ; 47 ; 31 ; 31 ; 31 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex7_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex6_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex5_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex4_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex3_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex2_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex1_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex0_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|push_switches_s1_translator ; 103 ; 7 ; 20 ; 7 ; 36 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|switches_s1_translator ; 103 ; 7 ; 20 ; 7 ; 36 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|ledrs_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart_avalon_jtag_slave_translator ; 103 ; 6 ; 21 ; 6 ; 70 ; 6 ; 6 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|leds_s1_translator ; 103 ; 7 ; 20 ; 7 ; 70 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory_s1_translator ; 103 ; 8 ; 3 ; 8 ; 89 ; 8 ; 8 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_jtag_debug_module_translator ; 103 ; 6 ; 10 ; 6 ; 82 ; 6 ; 6 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_data_master_translator ; 104 ; 13 ; 0 ; 13 ; 95 ; 13 ; 13 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor_instruction_master_translator ; 104 ; 52 ; 0 ; 52 ; 95 ; 52 ; 52 ; 52 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_blon ; 38 ; 31 ; 31 ; 31 ; 33 ; 31 ; 31 ; 31 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_on ; 38 ; 31 ; 31 ; 31 ; 33 ; 31 ; 31 ; 31 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|lcd_16207_0 ; 15 ; 0 ; 3 ; 0 ; 11 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex7 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex6 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex5 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex4 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex3 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex2 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex1 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|hex0 ; 38 ; 25 ; 25 ; 25 ; 39 ; 25 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|push_switches ; 7 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|switches ; 22 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|ledrs ; 38 ; 14 ; 14 ; 14 ; 50 ; 14 ; 14 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|leds ; 38 ; 24 ; 24 ; 24 ; 40 ; 24 ; 24 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo|wr_ptr ; 4 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo|rd_ptr_count ; 4 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo|FIFOram|altsyncram1 ; 24 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo|FIFOram ; 24 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo|fifo_state|count_usedw ; 5 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo|fifo_state ; 5 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated|dpfifo ; 13 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r|rfifo|auto_generated ; 12 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_r ; 13 ; 0 ; 1 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo|wr_ptr ; 4 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo|rd_ptr_count ; 4 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo|FIFOram|altsyncram1 ; 24 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo|FIFOram ; 24 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo|fifo_state|count_usedw ; 5 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo|fifo_state ; 5 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated|dpfifo ; 13 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w|wfifo|auto_generated ; 12 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart|the_nios_system_jtag_uart_scfifo_w ; 12 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|jtag_uart ; 38 ; 10 ; 23 ; 10 ; 34 ; 10 ; 10 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory|the_altsyncram|auto_generated|mux2 ; 227 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory|the_altsyncram|auto_generated|decode3 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory|the_altsyncram|auto_generated ; 55 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|onchip_memory ; 58 ; 0 ; 1 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_jtag_debug_module_wrapper|the_nios_system_nios2_processor_jtag_debug_module_sysclk ; 43 ; 0 ; 0 ; 0 ; 51 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_jtag_debug_module_wrapper|the_nios_system_nios2_processor_jtag_debug_module_tck ; 130 ; 0 ; 1 ; 0 ; 43 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_jtag_debug_module_wrapper ; 123 ; 0 ; 0 ; 0 ; 53 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_im ; 97 ; 36 ; 93 ; 36 ; 48 ; 36 ; 36 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_pib ; 39 ; 20 ; 38 ; 20 ; 19 ; 20 ; 20 ; 20 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_fifo|the_nios_system_nios2_processor_oci_test_bench ; 36 ; 0 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_fifo|nios_system_nios2_processor_nios2_oci_fifocount_inc_fifocount ; 5 ; 0 ; 0 ; 0 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_fifo|nios_system_nios2_processor_nios2_oci_fifowp_inc_fifowp ; 4 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_fifo|nios_system_nios2_processor_nios2_oci_compute_tm_count_tm_count ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_fifo ; 151 ; 0 ; 65 ; 0 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_dtrace|nios_system_nios2_processor_nios2_oci_trc_ctrl_td_mode ; 9 ; 0 ; 6 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_dtrace ; 104 ; 0 ; 93 ; 0 ; 72 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_itrace ; 25 ; 17 ; 23 ; 17 ; 87 ; 17 ; 17 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_dbrk ; 89 ; 0 ; 0 ; 0 ; 93 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_xbrk ; 55 ; 5 ; 52 ; 5 ; 6 ; 5 ; 5 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_break ; 52 ; 36 ; 6 ; 36 ; 71 ; 36 ; 36 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_avalon_reg ; 48 ; 0 ; 28 ; 0 ; 68 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_ocimem|nios_system_nios2_processor_ociram_sp_ram|the_altsyncram|auto_generated ; 46 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_ocimem|nios_system_nios2_processor_ociram_sp_ram ; 46 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_ocimem ; 91 ; 0 ; 6 ; 0 ; 65 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci|the_nios_system_nios2_processor_nios2_oci_debug ; 50 ; 1 ; 30 ; 1 ; 7 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_nios2_oci ; 159 ; 0 ; 0 ; 0 ; 69 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|nios_system_nios2_processor_register_bank_b|the_altsyncram|auto_generated ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|nios_system_nios2_processor_register_bank_b ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|nios_system_nios2_processor_register_bank_a|the_altsyncram|auto_generated ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|nios_system_nios2_processor_register_bank_a ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor|the_nios_system_nios2_processor_test_bench ; 275 ; 3 ; 238 ; 3 ; 34 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII|nios2_processor ; 148 ; 0 ; 31 ; 0 ; 112 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; NiosII ; 23 ; 0 ; 0 ; 0 ; 87 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 8 ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+