component nios_system is port ( clk_clk : in std_logic := 'X'; -- clk leds_export : out std_logic_vector(7 downto 0); -- export reset_reset_n : in std_logic := 'X'; -- reset_n ledrs_export : out std_logic_vector(17 downto 0); -- export switches_export : in std_logic_vector(17 downto 0) := (others => 'X'); -- export push_switches_export : in std_logic_vector(2 downto 0) := (others => 'X'); -- export hex0_export : out std_logic_vector(6 downto 0); -- export hex1_export : out std_logic_vector(6 downto 0); -- export hex2_export : out std_logic_vector(6 downto 0); -- export hex3_export : out std_logic_vector(6 downto 0); -- export hex4_export : out std_logic_vector(6 downto 0); -- export hex5_export : out std_logic_vector(6 downto 0); -- export hex6_export : out std_logic_vector(6 downto 0); -- export hex7_export : out std_logic_vector(6 downto 0); -- export lcd_16207_0_RS : out std_logic; -- RS lcd_16207_0_RW : out std_logic; -- RW lcd_16207_0_data : inout std_logic_vector(7 downto 0) := (others => 'X'); -- data lcd_16207_0_E : out std_logic; -- E lcd_on_export : out std_logic; -- export lcd_blon_export : out std_logic -- export ); end component nios_system;