// nios_system.v // Generated using ACDS version 13.0sp1 232 at 2016.12.02.01:19:35 `timescale 1 ps / 1 ps module nios_system ( input wire clk_clk, // clk.clk output wire [7:0] leds_export, // leds.export input wire reset_reset_n, // reset.reset_n output wire [17:0] ledrs_export, // ledrs.export input wire [17:0] switches_export, // switches.export input wire [2:0] push_switches_export, // push_switches.export output wire [6:0] hex0_export, // hex0.export output wire [6:0] hex1_export, // hex1.export output wire [6:0] hex2_export, // hex2.export output wire [6:0] hex3_export, // hex3.export output wire [6:0] hex4_export, // hex4.export output wire [6:0] hex5_export, // hex5.export output wire [6:0] hex6_export, // hex6.export output wire [6:0] hex7_export, // hex7.export output wire lcd_16207_0_RS, // lcd_16207_0.RS output wire lcd_16207_0_RW, // .RW inout wire [7:0] lcd_16207_0_data, // .data output wire lcd_16207_0_E, // .E output wire lcd_on_export, // lcd_on.export output wire lcd_blon_export // lcd_blon.export ); wire nios2_processor_instruction_master_waitrequest; // nios2_processor_instruction_master_translator:av_waitrequest -> nios2_processor:i_waitrequest wire [18:0] nios2_processor_instruction_master_address; // nios2_processor:i_address -> nios2_processor_instruction_master_translator:av_address wire nios2_processor_instruction_master_read; // nios2_processor:i_read -> nios2_processor_instruction_master_translator:av_read wire [31:0] nios2_processor_instruction_master_readdata; // nios2_processor_instruction_master_translator:av_readdata -> nios2_processor:i_readdata wire nios2_processor_data_master_waitrequest; // nios2_processor_data_master_translator:av_waitrequest -> nios2_processor:d_waitrequest wire [31:0] nios2_processor_data_master_writedata; // nios2_processor:d_writedata -> nios2_processor_data_master_translator:av_writedata wire [18:0] nios2_processor_data_master_address; // nios2_processor:d_address -> nios2_processor_data_master_translator:av_address wire nios2_processor_data_master_write; // nios2_processor:d_write -> nios2_processor_data_master_translator:av_write wire nios2_processor_data_master_read; // nios2_processor:d_read -> nios2_processor_data_master_translator:av_read wire [31:0] nios2_processor_data_master_readdata; // nios2_processor_data_master_translator:av_readdata -> nios2_processor:d_readdata wire nios2_processor_data_master_debugaccess; // nios2_processor:jtag_debug_module_debugaccess_to_roms -> nios2_processor_data_master_translator:av_debugaccess wire [3:0] nios2_processor_data_master_byteenable; // nios2_processor:d_byteenable -> nios2_processor_data_master_translator:av_byteenable wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest; // nios2_processor:jtag_debug_module_waitrequest -> nios2_processor_jtag_debug_module_translator:av_waitrequest wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata; // nios2_processor_jtag_debug_module_translator:av_writedata -> nios2_processor:jtag_debug_module_writedata wire [8:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address; // nios2_processor_jtag_debug_module_translator:av_address -> nios2_processor:jtag_debug_module_address wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write; // nios2_processor_jtag_debug_module_translator:av_write -> nios2_processor:jtag_debug_module_write wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read; // nios2_processor_jtag_debug_module_translator:av_read -> nios2_processor:jtag_debug_module_read wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata; // nios2_processor:jtag_debug_module_readdata -> nios2_processor_jtag_debug_module_translator:av_readdata wire nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess; // nios2_processor_jtag_debug_module_translator:av_debugaccess -> nios2_processor:jtag_debug_module_debugaccess wire [3:0] nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable; // nios2_processor_jtag_debug_module_translator:av_byteenable -> nios2_processor:jtag_debug_module_byteenable wire [31:0] onchip_memory_s1_translator_avalon_anti_slave_0_writedata; // onchip_memory_s1_translator:av_writedata -> onchip_memory:writedata wire [15:0] onchip_memory_s1_translator_avalon_anti_slave_0_address; // onchip_memory_s1_translator:av_address -> onchip_memory:address wire onchip_memory_s1_translator_avalon_anti_slave_0_chipselect; // onchip_memory_s1_translator:av_chipselect -> onchip_memory:chipselect wire onchip_memory_s1_translator_avalon_anti_slave_0_clken; // onchip_memory_s1_translator:av_clken -> onchip_memory:clken wire onchip_memory_s1_translator_avalon_anti_slave_0_write; // onchip_memory_s1_translator:av_write -> onchip_memory:write wire [31:0] onchip_memory_s1_translator_avalon_anti_slave_0_readdata; // onchip_memory:readdata -> onchip_memory_s1_translator:av_readdata wire [3:0] onchip_memory_s1_translator_avalon_anti_slave_0_byteenable; // onchip_memory_s1_translator:av_byteenable -> onchip_memory:byteenable wire [31:0] leds_s1_translator_avalon_anti_slave_0_writedata; // LEDs_s1_translator:av_writedata -> LEDs:writedata wire [1:0] leds_s1_translator_avalon_anti_slave_0_address; // LEDs_s1_translator:av_address -> LEDs:address wire leds_s1_translator_avalon_anti_slave_0_chipselect; // LEDs_s1_translator:av_chipselect -> LEDs:chipselect wire leds_s1_translator_avalon_anti_slave_0_write; // LEDs_s1_translator:av_write -> LEDs:write_n wire [31:0] leds_s1_translator_avalon_anti_slave_0_readdata; // LEDs:readdata -> LEDs_s1_translator:av_readdata wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest; // jtag_uart:av_waitrequest -> jtag_uart_avalon_jtag_slave_translator:av_waitrequest wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata; // jtag_uart_avalon_jtag_slave_translator:av_writedata -> jtag_uart:av_writedata wire [0:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address; // jtag_uart_avalon_jtag_slave_translator:av_address -> jtag_uart:av_address wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect; // jtag_uart_avalon_jtag_slave_translator:av_chipselect -> jtag_uart:av_chipselect wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write; // jtag_uart_avalon_jtag_slave_translator:av_write -> jtag_uart:av_write_n wire jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read; // jtag_uart_avalon_jtag_slave_translator:av_read -> jtag_uart:av_read_n wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata; // jtag_uart:av_readdata -> jtag_uart_avalon_jtag_slave_translator:av_readdata wire [31:0] ledrs_s1_translator_avalon_anti_slave_0_writedata; // LEDRs_s1_translator:av_writedata -> LEDRs:writedata wire [1:0] ledrs_s1_translator_avalon_anti_slave_0_address; // LEDRs_s1_translator:av_address -> LEDRs:address wire ledrs_s1_translator_avalon_anti_slave_0_chipselect; // LEDRs_s1_translator:av_chipselect -> LEDRs:chipselect wire ledrs_s1_translator_avalon_anti_slave_0_write; // LEDRs_s1_translator:av_write -> LEDRs:write_n wire [31:0] ledrs_s1_translator_avalon_anti_slave_0_readdata; // LEDRs:readdata -> LEDRs_s1_translator:av_readdata wire [1:0] switches_s1_translator_avalon_anti_slave_0_address; // switches_s1_translator:av_address -> switches:address wire [31:0] switches_s1_translator_avalon_anti_slave_0_readdata; // switches:readdata -> switches_s1_translator:av_readdata wire [1:0] push_switches_s1_translator_avalon_anti_slave_0_address; // push_switches_s1_translator:av_address -> push_switches:address wire [31:0] push_switches_s1_translator_avalon_anti_slave_0_readdata; // push_switches:readdata -> push_switches_s1_translator:av_readdata wire [31:0] hex0_s1_translator_avalon_anti_slave_0_writedata; // hex0_s1_translator:av_writedata -> hex0:writedata wire [1:0] hex0_s1_translator_avalon_anti_slave_0_address; // hex0_s1_translator:av_address -> hex0:address wire hex0_s1_translator_avalon_anti_slave_0_chipselect; // hex0_s1_translator:av_chipselect -> hex0:chipselect wire hex0_s1_translator_avalon_anti_slave_0_write; // hex0_s1_translator:av_write -> hex0:write_n wire [31:0] hex0_s1_translator_avalon_anti_slave_0_readdata; // hex0:readdata -> hex0_s1_translator:av_readdata wire [31:0] hex1_s1_translator_avalon_anti_slave_0_writedata; // hex1_s1_translator:av_writedata -> hex1:writedata wire [1:0] hex1_s1_translator_avalon_anti_slave_0_address; // hex1_s1_translator:av_address -> hex1:address wire hex1_s1_translator_avalon_anti_slave_0_chipselect; // hex1_s1_translator:av_chipselect -> hex1:chipselect wire hex1_s1_translator_avalon_anti_slave_0_write; // hex1_s1_translator:av_write -> hex1:write_n wire [31:0] hex1_s1_translator_avalon_anti_slave_0_readdata; // hex1:readdata -> hex1_s1_translator:av_readdata wire [31:0] hex2_s1_translator_avalon_anti_slave_0_writedata; // hex2_s1_translator:av_writedata -> hex2:writedata wire [1:0] hex2_s1_translator_avalon_anti_slave_0_address; // hex2_s1_translator:av_address -> hex2:address wire hex2_s1_translator_avalon_anti_slave_0_chipselect; // hex2_s1_translator:av_chipselect -> hex2:chipselect wire hex2_s1_translator_avalon_anti_slave_0_write; // hex2_s1_translator:av_write -> hex2:write_n wire [31:0] hex2_s1_translator_avalon_anti_slave_0_readdata; // hex2:readdata -> hex2_s1_translator:av_readdata wire [31:0] hex3_s1_translator_avalon_anti_slave_0_writedata; // hex3_s1_translator:av_writedata -> hex3:writedata wire [1:0] hex3_s1_translator_avalon_anti_slave_0_address; // hex3_s1_translator:av_address -> hex3:address wire hex3_s1_translator_avalon_anti_slave_0_chipselect; // hex3_s1_translator:av_chipselect -> hex3:chipselect wire hex3_s1_translator_avalon_anti_slave_0_write; // hex3_s1_translator:av_write -> hex3:write_n wire [31:0] hex3_s1_translator_avalon_anti_slave_0_readdata; // hex3:readdata -> hex3_s1_translator:av_readdata wire [31:0] hex4_s1_translator_avalon_anti_slave_0_writedata; // hex4_s1_translator:av_writedata -> hex4:writedata wire [1:0] hex4_s1_translator_avalon_anti_slave_0_address; // hex4_s1_translator:av_address -> hex4:address wire hex4_s1_translator_avalon_anti_slave_0_chipselect; // hex4_s1_translator:av_chipselect -> hex4:chipselect wire hex4_s1_translator_avalon_anti_slave_0_write; // hex4_s1_translator:av_write -> hex4:write_n wire [31:0] hex4_s1_translator_avalon_anti_slave_0_readdata; // hex4:readdata -> hex4_s1_translator:av_readdata wire [31:0] hex5_s1_translator_avalon_anti_slave_0_writedata; // hex5_s1_translator:av_writedata -> hex5:writedata wire [1:0] hex5_s1_translator_avalon_anti_slave_0_address; // hex5_s1_translator:av_address -> hex5:address wire hex5_s1_translator_avalon_anti_slave_0_chipselect; // hex5_s1_translator:av_chipselect -> hex5:chipselect wire hex5_s1_translator_avalon_anti_slave_0_write; // hex5_s1_translator:av_write -> hex5:write_n wire [31:0] hex5_s1_translator_avalon_anti_slave_0_readdata; // hex5:readdata -> hex5_s1_translator:av_readdata wire [31:0] hex6_s1_translator_avalon_anti_slave_0_writedata; // hex6_s1_translator:av_writedata -> hex6:writedata wire [1:0] hex6_s1_translator_avalon_anti_slave_0_address; // hex6_s1_translator:av_address -> hex6:address wire hex6_s1_translator_avalon_anti_slave_0_chipselect; // hex6_s1_translator:av_chipselect -> hex6:chipselect wire hex6_s1_translator_avalon_anti_slave_0_write; // hex6_s1_translator:av_write -> hex6:write_n wire [31:0] hex6_s1_translator_avalon_anti_slave_0_readdata; // hex6:readdata -> hex6_s1_translator:av_readdata wire [31:0] hex7_s1_translator_avalon_anti_slave_0_writedata; // hex7_s1_translator:av_writedata -> hex7:writedata wire [1:0] hex7_s1_translator_avalon_anti_slave_0_address; // hex7_s1_translator:av_address -> hex7:address wire hex7_s1_translator_avalon_anti_slave_0_chipselect; // hex7_s1_translator:av_chipselect -> hex7:chipselect wire hex7_s1_translator_avalon_anti_slave_0_write; // hex7_s1_translator:av_write -> hex7:write_n wire [31:0] hex7_s1_translator_avalon_anti_slave_0_readdata; // hex7:readdata -> hex7_s1_translator:av_readdata wire [7:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata; // lcd_16207_0_control_slave_translator:av_writedata -> lcd_16207_0:writedata wire [1:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address; // lcd_16207_0_control_slave_translator:av_address -> lcd_16207_0:address wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write; // lcd_16207_0_control_slave_translator:av_write -> lcd_16207_0:write wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read; // lcd_16207_0_control_slave_translator:av_read -> lcd_16207_0:read wire [7:0] lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata; // lcd_16207_0:readdata -> lcd_16207_0_control_slave_translator:av_readdata wire lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer; // lcd_16207_0_control_slave_translator:av_begintransfer -> lcd_16207_0:begintransfer wire [31:0] lcd_on_s1_translator_avalon_anti_slave_0_writedata; // lcd_on_s1_translator:av_writedata -> lcd_on:writedata wire [1:0] lcd_on_s1_translator_avalon_anti_slave_0_address; // lcd_on_s1_translator:av_address -> lcd_on:address wire lcd_on_s1_translator_avalon_anti_slave_0_chipselect; // lcd_on_s1_translator:av_chipselect -> lcd_on:chipselect wire lcd_on_s1_translator_avalon_anti_slave_0_write; // lcd_on_s1_translator:av_write -> lcd_on:write_n wire [31:0] lcd_on_s1_translator_avalon_anti_slave_0_readdata; // lcd_on:readdata -> lcd_on_s1_translator:av_readdata wire [31:0] lcd_blon_s1_translator_avalon_anti_slave_0_writedata; // lcd_blon_s1_translator:av_writedata -> lcd_blon:writedata wire [1:0] lcd_blon_s1_translator_avalon_anti_slave_0_address; // lcd_blon_s1_translator:av_address -> lcd_blon:address wire lcd_blon_s1_translator_avalon_anti_slave_0_chipselect; // lcd_blon_s1_translator:av_chipselect -> lcd_blon:chipselect wire lcd_blon_s1_translator_avalon_anti_slave_0_write; // lcd_blon_s1_translator:av_write -> lcd_blon:write_n wire [31:0] lcd_blon_s1_translator_avalon_anti_slave_0_readdata; // lcd_blon:readdata -> lcd_blon_s1_translator:av_readdata wire nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_processor_instruction_master_translator:uav_waitrequest wire [2:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount; // nios2_processor_instruction_master_translator:uav_burstcount -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_burstcount wire [31:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata; // nios2_processor_instruction_master_translator:uav_writedata -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_writedata wire [18:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_address; // nios2_processor_instruction_master_translator:uav_address -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_address wire nios2_processor_instruction_master_translator_avalon_universal_master_0_lock; // nios2_processor_instruction_master_translator:uav_lock -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_lock wire nios2_processor_instruction_master_translator_avalon_universal_master_0_write; // nios2_processor_instruction_master_translator:uav_write -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_write wire nios2_processor_instruction_master_translator_avalon_universal_master_0_read; // nios2_processor_instruction_master_translator:uav_read -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_read wire [31:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_processor_instruction_master_translator:uav_readdata wire nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess; // nios2_processor_instruction_master_translator:uav_debugaccess -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_debugaccess wire [3:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable; // nios2_processor_instruction_master_translator:uav_byteenable -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_byteenable wire nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_processor_instruction_master_translator:uav_readdatavalid wire nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_processor_data_master_translator:uav_waitrequest wire [2:0] nios2_processor_data_master_translator_avalon_universal_master_0_burstcount; // nios2_processor_data_master_translator:uav_burstcount -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_burstcount wire [31:0] nios2_processor_data_master_translator_avalon_universal_master_0_writedata; // nios2_processor_data_master_translator:uav_writedata -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_writedata wire [18:0] nios2_processor_data_master_translator_avalon_universal_master_0_address; // nios2_processor_data_master_translator:uav_address -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_address wire nios2_processor_data_master_translator_avalon_universal_master_0_lock; // nios2_processor_data_master_translator:uav_lock -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_lock wire nios2_processor_data_master_translator_avalon_universal_master_0_write; // nios2_processor_data_master_translator:uav_write -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_write wire nios2_processor_data_master_translator_avalon_universal_master_0_read; // nios2_processor_data_master_translator:uav_read -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_read wire [31:0] nios2_processor_data_master_translator_avalon_universal_master_0_readdata; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_processor_data_master_translator:uav_readdata wire nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess; // nios2_processor_data_master_translator:uav_debugaccess -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_debugaccess wire [3:0] nios2_processor_data_master_translator_avalon_universal_master_0_byteenable; // nios2_processor_data_master_translator:uav_byteenable -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_byteenable wire nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_processor_data_master_translator:uav_readdatavalid wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest; // nios2_processor_jtag_debug_module_translator:uav_waitrequest -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_burstcount -> nios2_processor_jtag_debug_module_translator:uav_burstcount wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_writedata -> nios2_processor_jtag_debug_module_translator:uav_writedata wire [18:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_address -> nios2_processor_jtag_debug_module_translator:uav_address wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_write -> nios2_processor_jtag_debug_module_translator:uav_write wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_lock -> nios2_processor_jtag_debug_module_translator:uav_lock wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_read -> nios2_processor_jtag_debug_module_translator:uav_read wire [31:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata; // nios2_processor_jtag_debug_module_translator:uav_readdata -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdata wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // nios2_processor_jtag_debug_module_translator:uav_readdatavalid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_debugaccess -> nios2_processor_jtag_debug_module_translator:uav_debugaccess wire [3:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_byteenable -> nios2_processor_jtag_debug_module_translator:uav_byteenable wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_ready wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_valid wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_data wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // onchip_memory_s1_translator:uav_waitrequest -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> onchip_memory_s1_translator:uav_burstcount wire [31:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> onchip_memory_s1_translator:uav_writedata wire [18:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_address -> onchip_memory_s1_translator:uav_address wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_write -> onchip_memory_s1_translator:uav_write wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_lock -> onchip_memory_s1_translator:uav_lock wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_read -> onchip_memory_s1_translator:uav_read wire [31:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // onchip_memory_s1_translator:uav_readdata -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // onchip_memory_s1_translator:uav_readdatavalid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> onchip_memory_s1_translator:uav_debugaccess wire [3:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> onchip_memory_s1_translator:uav_byteenable wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // LEDs_s1_translator:uav_waitrequest -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> LEDs_s1_translator:uav_burstcount wire [31:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> LEDs_s1_translator:uav_writedata wire [18:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_address; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_address -> LEDs_s1_translator:uav_address wire leds_s1_translator_avalon_universal_slave_0_agent_m0_write; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_write -> LEDs_s1_translator:uav_write wire leds_s1_translator_avalon_universal_slave_0_agent_m0_lock; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_lock -> LEDs_s1_translator:uav_lock wire leds_s1_translator_avalon_universal_slave_0_agent_m0_read; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_read -> LEDs_s1_translator:uav_read wire [31:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // LEDs_s1_translator:uav_readdata -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // LEDs_s1_translator:uav_readdatavalid -> LEDs_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> LEDs_s1_translator:uav_debugaccess wire [3:0] leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // LEDs_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> LEDs_s1_translator:uav_byteenable wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // jtag_uart_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> jtag_uart_avalon_jtag_slave_translator:uav_burstcount wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> jtag_uart_avalon_jtag_slave_translator:uav_writedata wire [18:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_address -> jtag_uart_avalon_jtag_slave_translator:uav_address wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_write -> jtag_uart_avalon_jtag_slave_translator:uav_write wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_lock -> jtag_uart_avalon_jtag_slave_translator:uav_lock wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_read -> jtag_uart_avalon_jtag_slave_translator:uav_read wire [31:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // jtag_uart_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdata wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // jtag_uart_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> jtag_uart_avalon_jtag_slave_translator:uav_debugaccess wire [3:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> jtag_uart_avalon_jtag_slave_translator:uav_byteenable wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_ready wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_data wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // LEDRs_s1_translator:uav_waitrequest -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> LEDRs_s1_translator:uav_burstcount wire [31:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> LEDRs_s1_translator:uav_writedata wire [18:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_address -> LEDRs_s1_translator:uav_address wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_write -> LEDRs_s1_translator:uav_write wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_lock -> LEDRs_s1_translator:uav_lock wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_read -> LEDRs_s1_translator:uav_read wire [31:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // LEDRs_s1_translator:uav_readdata -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // LEDRs_s1_translator:uav_readdatavalid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> LEDRs_s1_translator:uav_debugaccess wire [3:0] ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // LEDRs_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> LEDRs_s1_translator:uav_byteenable wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // switches_s1_translator:uav_waitrequest -> switches_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // switches_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switches_s1_translator:uav_burstcount wire [31:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // switches_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switches_s1_translator:uav_writedata wire [18:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_address; // switches_s1_translator_avalon_universal_slave_0_agent:m0_address -> switches_s1_translator:uav_address wire switches_s1_translator_avalon_universal_slave_0_agent_m0_write; // switches_s1_translator_avalon_universal_slave_0_agent:m0_write -> switches_s1_translator:uav_write wire switches_s1_translator_avalon_universal_slave_0_agent_m0_lock; // switches_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switches_s1_translator:uav_lock wire switches_s1_translator_avalon_universal_slave_0_agent_m0_read; // switches_s1_translator_avalon_universal_slave_0_agent:m0_read -> switches_s1_translator:uav_read wire [31:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // switches_s1_translator:uav_readdata -> switches_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // switches_s1_translator:uav_readdatavalid -> switches_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // switches_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switches_s1_translator:uav_debugaccess wire [3:0] switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // switches_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switches_s1_translator:uav_byteenable wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // switches_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // push_switches_s1_translator:uav_waitrequest -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> push_switches_s1_translator:uav_burstcount wire [31:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> push_switches_s1_translator:uav_writedata wire [18:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_address -> push_switches_s1_translator:uav_address wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_write -> push_switches_s1_translator:uav_write wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_lock -> push_switches_s1_translator:uav_lock wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_read -> push_switches_s1_translator:uav_read wire [31:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // push_switches_s1_translator:uav_readdata -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // push_switches_s1_translator:uav_readdatavalid -> push_switches_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> push_switches_s1_translator:uav_debugaccess wire [3:0] push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // push_switches_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> push_switches_s1_translator:uav_byteenable wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex0_s1_translator:uav_waitrequest -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex0_s1_translator:uav_burstcount wire [31:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex0_s1_translator:uav_writedata wire [18:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex0_s1_translator:uav_address wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex0_s1_translator:uav_write wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex0_s1_translator:uav_lock wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex0_s1_translator:uav_read wire [31:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex0_s1_translator:uav_readdata -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex0_s1_translator:uav_readdatavalid -> hex0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex0_s1_translator:uav_debugaccess wire [3:0] hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex0_s1_translator:uav_byteenable wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex1_s1_translator:uav_waitrequest -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex1_s1_translator:uav_burstcount wire [31:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex1_s1_translator:uav_writedata wire [18:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex1_s1_translator:uav_address wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex1_s1_translator:uav_write wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex1_s1_translator:uav_lock wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex1_s1_translator:uav_read wire [31:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex1_s1_translator:uav_readdata -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex1_s1_translator:uav_readdatavalid -> hex1_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex1_s1_translator:uav_debugaccess wire [3:0] hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex1_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex1_s1_translator:uav_byteenable wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex2_s1_translator:uav_waitrequest -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex2_s1_translator:uav_burstcount wire [31:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex2_s1_translator:uav_writedata wire [18:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex2_s1_translator:uav_address wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex2_s1_translator:uav_write wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex2_s1_translator:uav_lock wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex2_s1_translator:uav_read wire [31:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex2_s1_translator:uav_readdata -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex2_s1_translator:uav_readdatavalid -> hex2_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex2_s1_translator:uav_debugaccess wire [3:0] hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex2_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex2_s1_translator:uav_byteenable wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex3_s1_translator:uav_waitrequest -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex3_s1_translator:uav_burstcount wire [31:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex3_s1_translator:uav_writedata wire [18:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex3_s1_translator:uav_address wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex3_s1_translator:uav_write wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex3_s1_translator:uav_lock wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex3_s1_translator:uav_read wire [31:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex3_s1_translator:uav_readdata -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex3_s1_translator:uav_readdatavalid -> hex3_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex3_s1_translator:uav_debugaccess wire [3:0] hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex3_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex3_s1_translator:uav_byteenable wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex4_s1_translator:uav_waitrequest -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex4_s1_translator:uav_burstcount wire [31:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex4_s1_translator:uav_writedata wire [18:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex4_s1_translator:uav_address wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex4_s1_translator:uav_write wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex4_s1_translator:uav_lock wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex4_s1_translator:uav_read wire [31:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex4_s1_translator:uav_readdata -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex4_s1_translator:uav_readdatavalid -> hex4_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex4_s1_translator:uav_debugaccess wire [3:0] hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex4_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex4_s1_translator:uav_byteenable wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex5_s1_translator:uav_waitrequest -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex5_s1_translator:uav_burstcount wire [31:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex5_s1_translator:uav_writedata wire [18:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex5_s1_translator:uav_address wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex5_s1_translator:uav_write wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex5_s1_translator:uav_lock wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex5_s1_translator:uav_read wire [31:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex5_s1_translator:uav_readdata -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex5_s1_translator:uav_readdatavalid -> hex5_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex5_s1_translator:uav_debugaccess wire [3:0] hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex5_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex5_s1_translator:uav_byteenable wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex6_s1_translator:uav_waitrequest -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex6_s1_translator:uav_burstcount wire [31:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex6_s1_translator:uav_writedata wire [18:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex6_s1_translator:uav_address wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex6_s1_translator:uav_write wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex6_s1_translator:uav_lock wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex6_s1_translator:uav_read wire [31:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex6_s1_translator:uav_readdata -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex6_s1_translator:uav_readdatavalid -> hex6_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex6_s1_translator:uav_debugaccess wire [3:0] hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex6_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex6_s1_translator:uav_byteenable wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // hex7_s1_translator:uav_waitrequest -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> hex7_s1_translator:uav_burstcount wire [31:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> hex7_s1_translator:uav_writedata wire [18:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_address; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_address -> hex7_s1_translator:uav_address wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_write; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_write -> hex7_s1_translator:uav_write wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_lock -> hex7_s1_translator:uav_lock wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_read; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_read -> hex7_s1_translator:uav_read wire [31:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // hex7_s1_translator:uav_readdata -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // hex7_s1_translator:uav_readdatavalid -> hex7_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> hex7_s1_translator:uav_debugaccess wire [3:0] hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // hex7_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> hex7_s1_translator:uav_byteenable wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_16207_0_control_slave_translator:uav_waitrequest -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_16207_0_control_slave_translator:uav_burstcount wire [31:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_16207_0_control_slave_translator:uav_writedata wire [18:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_address -> lcd_16207_0_control_slave_translator:uav_address wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_write -> lcd_16207_0_control_slave_translator:uav_write wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_16207_0_control_slave_translator:uav_lock wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_read -> lcd_16207_0_control_slave_translator:uav_read wire [31:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_16207_0_control_slave_translator:uav_readdata -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdata wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_16207_0_control_slave_translator:uav_readdatavalid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_16207_0_control_slave_translator:uav_debugaccess wire [3:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_16207_0_control_slave_translator:uav_byteenable wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_ready wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_data wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_on_s1_translator:uav_waitrequest -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_on_s1_translator:uav_burstcount wire [31:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_on_s1_translator:uav_writedata wire [18:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_address -> lcd_on_s1_translator:uav_address wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_write -> lcd_on_s1_translator:uav_write wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_on_s1_translator:uav_lock wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_read -> lcd_on_s1_translator:uav_read wire [31:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_on_s1_translator:uav_readdata -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_on_s1_translator:uav_readdatavalid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_on_s1_translator:uav_debugaccess wire [3:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_on_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_on_s1_translator:uav_byteenable wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // lcd_blon_s1_translator:uav_waitrequest -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest wire [2:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> lcd_blon_s1_translator:uav_burstcount wire [31:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> lcd_blon_s1_translator:uav_writedata wire [18:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_address -> lcd_blon_s1_translator:uav_address wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_write -> lcd_blon_s1_translator:uav_write wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_lock -> lcd_blon_s1_translator:uav_lock wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_read -> lcd_blon_s1_translator:uav_read wire [31:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // lcd_blon_s1_translator:uav_readdata -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_readdata wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // lcd_blon_s1_translator:uav_readdatavalid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> lcd_blon_s1_translator:uav_debugaccess wire [3:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> lcd_blon_s1_translator:uav_byteenable wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket wire [96:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_source_ready wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket wire [96:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_data wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid wire [33:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router:sink_endofpacket wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router:sink_valid wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router:sink_startofpacket wire [95:0] nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router:sink_data wire nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router:sink_ready -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:cp_ready wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router_001:sink_endofpacket wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router_001:sink_valid wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router_001:sink_startofpacket wire [95:0] nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router_001:sink_data wire nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router_001:sink_ready -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:cp_ready wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router:sink_endofpacket wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_valid -> id_router:sink_valid wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router:sink_startofpacket wire [95:0] nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_data -> id_router:sink_data wire nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready; // id_router:sink_ready -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_ready wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_001:sink_endofpacket wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_001:sink_valid wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_001:sink_startofpacket wire [95:0] onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_001:sink_data wire onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_001:sink_ready -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:rp_ready wire leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_002:sink_endofpacket wire leds_s1_translator_avalon_universal_slave_0_agent_rp_valid; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_002:sink_valid wire leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_002:sink_startofpacket wire [95:0] leds_s1_translator_avalon_universal_slave_0_agent_rp_data; // LEDs_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_002:sink_data wire leds_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_002:sink_ready -> LEDs_s1_translator_avalon_universal_slave_0_agent:rp_ready wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_003:sink_endofpacket wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_003:sink_valid wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_003:sink_startofpacket wire [95:0] jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_003:sink_data wire jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_003:sink_ready -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_ready wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_004:sink_endofpacket wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_004:sink_valid wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_004:sink_startofpacket wire [95:0] ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data; // LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_004:sink_data wire ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_004:sink_ready -> LEDRs_s1_translator_avalon_universal_slave_0_agent:rp_ready wire switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_005:sink_endofpacket wire switches_s1_translator_avalon_universal_slave_0_agent_rp_valid; // switches_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_005:sink_valid wire switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // switches_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_005:sink_startofpacket wire [95:0] switches_s1_translator_avalon_universal_slave_0_agent_rp_data; // switches_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_005:sink_data wire switches_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_005:sink_ready -> switches_s1_translator_avalon_universal_slave_0_agent:rp_ready wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_006:sink_endofpacket wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_006:sink_valid wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_006:sink_startofpacket wire [95:0] push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data; // push_switches_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_006:sink_data wire push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_006:sink_ready -> push_switches_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_007:sink_endofpacket wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_007:sink_valid wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_007:sink_startofpacket wire [95:0] hex0_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_007:sink_data wire hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_007:sink_ready -> hex0_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_008:sink_endofpacket wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_008:sink_valid wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_008:sink_startofpacket wire [95:0] hex1_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex1_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_008:sink_data wire hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_008:sink_ready -> hex1_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_009:sink_endofpacket wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_009:sink_valid wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_009:sink_startofpacket wire [95:0] hex2_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex2_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_009:sink_data wire hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_009:sink_ready -> hex2_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_010:sink_endofpacket wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_010:sink_valid wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_010:sink_startofpacket wire [95:0] hex3_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex3_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_010:sink_data wire hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_010:sink_ready -> hex3_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_011:sink_endofpacket wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_011:sink_valid wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_011:sink_startofpacket wire [95:0] hex4_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex4_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_011:sink_data wire hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_011:sink_ready -> hex4_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_012:sink_endofpacket wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_012:sink_valid wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_012:sink_startofpacket wire [95:0] hex5_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex5_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_012:sink_data wire hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_012:sink_ready -> hex5_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_013:sink_endofpacket wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_013:sink_valid wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_013:sink_startofpacket wire [95:0] hex6_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex6_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_013:sink_data wire hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_013:sink_ready -> hex6_s1_translator_avalon_universal_slave_0_agent:rp_ready wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_014:sink_endofpacket wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_014:sink_valid wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_014:sink_startofpacket wire [95:0] hex7_s1_translator_avalon_universal_slave_0_agent_rp_data; // hex7_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_014:sink_data wire hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_014:sink_ready -> hex7_s1_translator_avalon_universal_slave_0_agent:rp_ready wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_015:sink_endofpacket wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_015:sink_valid wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_015:sink_startofpacket wire [95:0] lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_015:sink_data wire lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_015:sink_ready -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:rp_ready wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_016:sink_endofpacket wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_016:sink_valid wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_016:sink_startofpacket wire [95:0] lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data; // lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_016:sink_data wire lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_016:sink_ready -> lcd_on_s1_translator_avalon_universal_slave_0_agent:rp_ready wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_017:sink_endofpacket wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_017:sink_valid wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_017:sink_startofpacket wire [95:0] lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_017:sink_data wire lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_017:sink_ready -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:rp_ready wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [LEDRs:reset_n, LEDRs_s1_translator:reset, LEDRs_s1_translator_avalon_universal_slave_0_agent:reset, LEDRs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, LEDs:reset_n, LEDs_s1_translator:reset, LEDs_s1_translator_avalon_universal_slave_0_agent:reset, LEDs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, addr_router:reset, addr_router_001:reset, cmd_xbar_demux:reset, cmd_xbar_demux_001:reset, cmd_xbar_mux:reset, cmd_xbar_mux_001:reset, hex0:reset_n, hex0_s1_translator:reset, hex0_s1_translator_avalon_universal_slave_0_agent:reset, hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex1:reset_n, hex1_s1_translator:reset, hex1_s1_translator_avalon_universal_slave_0_agent:reset, hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex2:reset_n, hex2_s1_translator:reset, hex2_s1_translator_avalon_universal_slave_0_agent:reset, hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex3:reset_n, hex3_s1_translator:reset, hex3_s1_translator_avalon_universal_slave_0_agent:reset, hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex4:reset_n, hex4_s1_translator:reset, hex4_s1_translator_avalon_universal_slave_0_agent:reset, hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex5:reset_n, hex5_s1_translator:reset, hex5_s1_translator_avalon_universal_slave_0_agent:reset, hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex6:reset_n, hex6_s1_translator:reset, hex6_s1_translator_avalon_universal_slave_0_agent:reset, hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, hex7:reset_n, hex7_s1_translator:reset, hex7_s1_translator_avalon_universal_slave_0_agent:reset, hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, id_router:reset, id_router_001:reset, id_router_002:reset, id_router_003:reset, id_router_004:reset, id_router_005:reset, id_router_006:reset, id_router_007:reset, id_router_008:reset, id_router_009:reset, id_router_010:reset, id_router_011:reset, id_router_012:reset, id_router_013:reset, id_router_014:reset, id_router_015:reset, id_router_016:reset, id_router_017:reset, irq_mapper:reset, jtag_uart:rst_n, jtag_uart_avalon_jtag_slave_translator:reset, jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:reset, jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_16207_0:reset_n, lcd_16207_0_control_slave_translator:reset, lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:reset, lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_blon:reset_n, lcd_blon_s1_translator:reset, lcd_blon_s1_translator_avalon_universal_slave_0_agent:reset, lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, lcd_on:reset_n, lcd_on_s1_translator:reset, lcd_on_s1_translator_avalon_universal_slave_0_agent:reset, lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, nios2_processor:reset_n, nios2_processor_data_master_translator:reset, nios2_processor_data_master_translator_avalon_universal_master_0_agent:reset, nios2_processor_instruction_master_translator:reset, nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:reset, nios2_processor_jtag_debug_module_translator:reset, nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:reset, nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, onchip_memory:reset, onchip_memory_s1_translator:reset, onchip_memory_s1_translator_avalon_universal_slave_0_agent:reset, onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, push_switches:reset_n, push_switches_s1_translator:reset, push_switches_s1_translator_avalon_universal_slave_0_agent:reset, push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, rsp_xbar_demux:reset, rsp_xbar_demux_001:reset, rsp_xbar_demux_002:reset, rsp_xbar_demux_003:reset, rsp_xbar_demux_004:reset, rsp_xbar_demux_005:reset, rsp_xbar_demux_006:reset, rsp_xbar_demux_007:reset, rsp_xbar_demux_008:reset, rsp_xbar_demux_009:reset, rsp_xbar_demux_010:reset, rsp_xbar_demux_011:reset, rsp_xbar_demux_012:reset, rsp_xbar_demux_013:reset, rsp_xbar_demux_014:reset, rsp_xbar_demux_015:reset, rsp_xbar_demux_016:reset, rsp_xbar_demux_017:reset, rsp_xbar_mux:reset, rsp_xbar_mux_001:reset, switches:reset_n, switches_s1_translator:reset, switches_s1_translator_avalon_universal_slave_0_agent:reset, switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset] wire rst_controller_reset_out_reset_req; // rst_controller:reset_req -> onchip_memory:reset_req wire nios2_processor_jtag_debug_module_reset_reset; // nios2_processor:jtag_debug_module_resetrequest -> rst_controller:reset_in1 wire cmd_xbar_demux_src0_endofpacket; // cmd_xbar_demux:src0_endofpacket -> cmd_xbar_mux:sink0_endofpacket wire cmd_xbar_demux_src0_valid; // cmd_xbar_demux:src0_valid -> cmd_xbar_mux:sink0_valid wire cmd_xbar_demux_src0_startofpacket; // cmd_xbar_demux:src0_startofpacket -> cmd_xbar_mux:sink0_startofpacket wire [95:0] cmd_xbar_demux_src0_data; // cmd_xbar_demux:src0_data -> cmd_xbar_mux:sink0_data wire [17:0] cmd_xbar_demux_src0_channel; // cmd_xbar_demux:src0_channel -> cmd_xbar_mux:sink0_channel wire cmd_xbar_demux_src0_ready; // cmd_xbar_mux:sink0_ready -> cmd_xbar_demux:src0_ready wire cmd_xbar_demux_src1_endofpacket; // cmd_xbar_demux:src1_endofpacket -> cmd_xbar_mux_001:sink0_endofpacket wire cmd_xbar_demux_src1_valid; // cmd_xbar_demux:src1_valid -> cmd_xbar_mux_001:sink0_valid wire cmd_xbar_demux_src1_startofpacket; // cmd_xbar_demux:src1_startofpacket -> cmd_xbar_mux_001:sink0_startofpacket wire [95:0] cmd_xbar_demux_src1_data; // cmd_xbar_demux:src1_data -> cmd_xbar_mux_001:sink0_data wire [17:0] cmd_xbar_demux_src1_channel; // cmd_xbar_demux:src1_channel -> cmd_xbar_mux_001:sink0_channel wire cmd_xbar_demux_src1_ready; // cmd_xbar_mux_001:sink0_ready -> cmd_xbar_demux:src1_ready wire cmd_xbar_demux_001_src0_endofpacket; // cmd_xbar_demux_001:src0_endofpacket -> cmd_xbar_mux:sink1_endofpacket wire cmd_xbar_demux_001_src0_valid; // cmd_xbar_demux_001:src0_valid -> cmd_xbar_mux:sink1_valid wire cmd_xbar_demux_001_src0_startofpacket; // cmd_xbar_demux_001:src0_startofpacket -> cmd_xbar_mux:sink1_startofpacket wire [95:0] cmd_xbar_demux_001_src0_data; // cmd_xbar_demux_001:src0_data -> cmd_xbar_mux:sink1_data wire [17:0] cmd_xbar_demux_001_src0_channel; // cmd_xbar_demux_001:src0_channel -> cmd_xbar_mux:sink1_channel wire cmd_xbar_demux_001_src0_ready; // cmd_xbar_mux:sink1_ready -> cmd_xbar_demux_001:src0_ready wire cmd_xbar_demux_001_src1_endofpacket; // cmd_xbar_demux_001:src1_endofpacket -> cmd_xbar_mux_001:sink1_endofpacket wire cmd_xbar_demux_001_src1_valid; // cmd_xbar_demux_001:src1_valid -> cmd_xbar_mux_001:sink1_valid wire cmd_xbar_demux_001_src1_startofpacket; // cmd_xbar_demux_001:src1_startofpacket -> cmd_xbar_mux_001:sink1_startofpacket wire [95:0] cmd_xbar_demux_001_src1_data; // cmd_xbar_demux_001:src1_data -> cmd_xbar_mux_001:sink1_data wire [17:0] cmd_xbar_demux_001_src1_channel; // cmd_xbar_demux_001:src1_channel -> cmd_xbar_mux_001:sink1_channel wire cmd_xbar_demux_001_src1_ready; // cmd_xbar_mux_001:sink1_ready -> cmd_xbar_demux_001:src1_ready wire cmd_xbar_demux_001_src2_endofpacket; // cmd_xbar_demux_001:src2_endofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src2_valid; // cmd_xbar_demux_001:src2_valid -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src2_startofpacket; // cmd_xbar_demux_001:src2_startofpacket -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src2_data; // cmd_xbar_demux_001:src2_data -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src2_channel; // cmd_xbar_demux_001:src2_channel -> LEDs_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src3_endofpacket; // cmd_xbar_demux_001:src3_endofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src3_valid; // cmd_xbar_demux_001:src3_valid -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src3_startofpacket; // cmd_xbar_demux_001:src3_startofpacket -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src3_data; // cmd_xbar_demux_001:src3_data -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src3_channel; // cmd_xbar_demux_001:src3_channel -> jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src4_endofpacket; // cmd_xbar_demux_001:src4_endofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src4_valid; // cmd_xbar_demux_001:src4_valid -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src4_startofpacket; // cmd_xbar_demux_001:src4_startofpacket -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src4_data; // cmd_xbar_demux_001:src4_data -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src4_channel; // cmd_xbar_demux_001:src4_channel -> LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src5_endofpacket; // cmd_xbar_demux_001:src5_endofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src5_valid; // cmd_xbar_demux_001:src5_valid -> switches_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src5_startofpacket; // cmd_xbar_demux_001:src5_startofpacket -> switches_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src5_data; // cmd_xbar_demux_001:src5_data -> switches_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src5_channel; // cmd_xbar_demux_001:src5_channel -> switches_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src6_endofpacket; // cmd_xbar_demux_001:src6_endofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src6_valid; // cmd_xbar_demux_001:src6_valid -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src6_startofpacket; // cmd_xbar_demux_001:src6_startofpacket -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src6_data; // cmd_xbar_demux_001:src6_data -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src6_channel; // cmd_xbar_demux_001:src6_channel -> push_switches_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src7_endofpacket; // cmd_xbar_demux_001:src7_endofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src7_valid; // cmd_xbar_demux_001:src7_valid -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src7_startofpacket; // cmd_xbar_demux_001:src7_startofpacket -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src7_data; // cmd_xbar_demux_001:src7_data -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src7_channel; // cmd_xbar_demux_001:src7_channel -> hex0_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src8_endofpacket; // cmd_xbar_demux_001:src8_endofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src8_valid; // cmd_xbar_demux_001:src8_valid -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src8_startofpacket; // cmd_xbar_demux_001:src8_startofpacket -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src8_data; // cmd_xbar_demux_001:src8_data -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src8_channel; // cmd_xbar_demux_001:src8_channel -> hex1_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src9_endofpacket; // cmd_xbar_demux_001:src9_endofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src9_valid; // cmd_xbar_demux_001:src9_valid -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src9_startofpacket; // cmd_xbar_demux_001:src9_startofpacket -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src9_data; // cmd_xbar_demux_001:src9_data -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src9_channel; // cmd_xbar_demux_001:src9_channel -> hex2_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src10_endofpacket; // cmd_xbar_demux_001:src10_endofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src10_valid; // cmd_xbar_demux_001:src10_valid -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src10_startofpacket; // cmd_xbar_demux_001:src10_startofpacket -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src10_data; // cmd_xbar_demux_001:src10_data -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src10_channel; // cmd_xbar_demux_001:src10_channel -> hex3_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src11_endofpacket; // cmd_xbar_demux_001:src11_endofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src11_valid; // cmd_xbar_demux_001:src11_valid -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src11_startofpacket; // cmd_xbar_demux_001:src11_startofpacket -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src11_data; // cmd_xbar_demux_001:src11_data -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src11_channel; // cmd_xbar_demux_001:src11_channel -> hex4_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src12_endofpacket; // cmd_xbar_demux_001:src12_endofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src12_valid; // cmd_xbar_demux_001:src12_valid -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src12_startofpacket; // cmd_xbar_demux_001:src12_startofpacket -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src12_data; // cmd_xbar_demux_001:src12_data -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src12_channel; // cmd_xbar_demux_001:src12_channel -> hex5_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src13_endofpacket; // cmd_xbar_demux_001:src13_endofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src13_valid; // cmd_xbar_demux_001:src13_valid -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src13_startofpacket; // cmd_xbar_demux_001:src13_startofpacket -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src13_data; // cmd_xbar_demux_001:src13_data -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src13_channel; // cmd_xbar_demux_001:src13_channel -> hex6_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src14_endofpacket; // cmd_xbar_demux_001:src14_endofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src14_valid; // cmd_xbar_demux_001:src14_valid -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src14_startofpacket; // cmd_xbar_demux_001:src14_startofpacket -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src14_data; // cmd_xbar_demux_001:src14_data -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src14_channel; // cmd_xbar_demux_001:src14_channel -> hex7_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src15_endofpacket; // cmd_xbar_demux_001:src15_endofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src15_valid; // cmd_xbar_demux_001:src15_valid -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src15_startofpacket; // cmd_xbar_demux_001:src15_startofpacket -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src15_data; // cmd_xbar_demux_001:src15_data -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src15_channel; // cmd_xbar_demux_001:src15_channel -> lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src16_endofpacket; // cmd_xbar_demux_001:src16_endofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src16_valid; // cmd_xbar_demux_001:src16_valid -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src16_startofpacket; // cmd_xbar_demux_001:src16_startofpacket -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src16_data; // cmd_xbar_demux_001:src16_data -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src16_channel; // cmd_xbar_demux_001:src16_channel -> lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_demux_001_src17_endofpacket; // cmd_xbar_demux_001:src17_endofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_demux_001_src17_valid; // cmd_xbar_demux_001:src17_valid -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_demux_001_src17_startofpacket; // cmd_xbar_demux_001:src17_startofpacket -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_demux_001_src17_data; // cmd_xbar_demux_001:src17_data -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_demux_001_src17_channel; // cmd_xbar_demux_001:src17_channel -> lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_channel wire rsp_xbar_demux_src0_endofpacket; // rsp_xbar_demux:src0_endofpacket -> rsp_xbar_mux:sink0_endofpacket wire rsp_xbar_demux_src0_valid; // rsp_xbar_demux:src0_valid -> rsp_xbar_mux:sink0_valid wire rsp_xbar_demux_src0_startofpacket; // rsp_xbar_demux:src0_startofpacket -> rsp_xbar_mux:sink0_startofpacket wire [95:0] rsp_xbar_demux_src0_data; // rsp_xbar_demux:src0_data -> rsp_xbar_mux:sink0_data wire [17:0] rsp_xbar_demux_src0_channel; // rsp_xbar_demux:src0_channel -> rsp_xbar_mux:sink0_channel wire rsp_xbar_demux_src0_ready; // rsp_xbar_mux:sink0_ready -> rsp_xbar_demux:src0_ready wire rsp_xbar_demux_src1_endofpacket; // rsp_xbar_demux:src1_endofpacket -> rsp_xbar_mux_001:sink0_endofpacket wire rsp_xbar_demux_src1_valid; // rsp_xbar_demux:src1_valid -> rsp_xbar_mux_001:sink0_valid wire rsp_xbar_demux_src1_startofpacket; // rsp_xbar_demux:src1_startofpacket -> rsp_xbar_mux_001:sink0_startofpacket wire [95:0] rsp_xbar_demux_src1_data; // rsp_xbar_demux:src1_data -> rsp_xbar_mux_001:sink0_data wire [17:0] rsp_xbar_demux_src1_channel; // rsp_xbar_demux:src1_channel -> rsp_xbar_mux_001:sink0_channel wire rsp_xbar_demux_src1_ready; // rsp_xbar_mux_001:sink0_ready -> rsp_xbar_demux:src1_ready wire rsp_xbar_demux_001_src0_endofpacket; // rsp_xbar_demux_001:src0_endofpacket -> rsp_xbar_mux:sink1_endofpacket wire rsp_xbar_demux_001_src0_valid; // rsp_xbar_demux_001:src0_valid -> rsp_xbar_mux:sink1_valid wire rsp_xbar_demux_001_src0_startofpacket; // rsp_xbar_demux_001:src0_startofpacket -> rsp_xbar_mux:sink1_startofpacket wire [95:0] rsp_xbar_demux_001_src0_data; // rsp_xbar_demux_001:src0_data -> rsp_xbar_mux:sink1_data wire [17:0] rsp_xbar_demux_001_src0_channel; // rsp_xbar_demux_001:src0_channel -> rsp_xbar_mux:sink1_channel wire rsp_xbar_demux_001_src0_ready; // rsp_xbar_mux:sink1_ready -> rsp_xbar_demux_001:src0_ready wire rsp_xbar_demux_001_src1_endofpacket; // rsp_xbar_demux_001:src1_endofpacket -> rsp_xbar_mux_001:sink1_endofpacket wire rsp_xbar_demux_001_src1_valid; // rsp_xbar_demux_001:src1_valid -> rsp_xbar_mux_001:sink1_valid wire rsp_xbar_demux_001_src1_startofpacket; // rsp_xbar_demux_001:src1_startofpacket -> rsp_xbar_mux_001:sink1_startofpacket wire [95:0] rsp_xbar_demux_001_src1_data; // rsp_xbar_demux_001:src1_data -> rsp_xbar_mux_001:sink1_data wire [17:0] rsp_xbar_demux_001_src1_channel; // rsp_xbar_demux_001:src1_channel -> rsp_xbar_mux_001:sink1_channel wire rsp_xbar_demux_001_src1_ready; // rsp_xbar_mux_001:sink1_ready -> rsp_xbar_demux_001:src1_ready wire rsp_xbar_demux_002_src0_endofpacket; // rsp_xbar_demux_002:src0_endofpacket -> rsp_xbar_mux_001:sink2_endofpacket wire rsp_xbar_demux_002_src0_valid; // rsp_xbar_demux_002:src0_valid -> rsp_xbar_mux_001:sink2_valid wire rsp_xbar_demux_002_src0_startofpacket; // rsp_xbar_demux_002:src0_startofpacket -> rsp_xbar_mux_001:sink2_startofpacket wire [95:0] rsp_xbar_demux_002_src0_data; // rsp_xbar_demux_002:src0_data -> rsp_xbar_mux_001:sink2_data wire [17:0] rsp_xbar_demux_002_src0_channel; // rsp_xbar_demux_002:src0_channel -> rsp_xbar_mux_001:sink2_channel wire rsp_xbar_demux_002_src0_ready; // rsp_xbar_mux_001:sink2_ready -> rsp_xbar_demux_002:src0_ready wire rsp_xbar_demux_003_src0_endofpacket; // rsp_xbar_demux_003:src0_endofpacket -> rsp_xbar_mux_001:sink3_endofpacket wire rsp_xbar_demux_003_src0_valid; // rsp_xbar_demux_003:src0_valid -> rsp_xbar_mux_001:sink3_valid wire rsp_xbar_demux_003_src0_startofpacket; // rsp_xbar_demux_003:src0_startofpacket -> rsp_xbar_mux_001:sink3_startofpacket wire [95:0] rsp_xbar_demux_003_src0_data; // rsp_xbar_demux_003:src0_data -> rsp_xbar_mux_001:sink3_data wire [17:0] rsp_xbar_demux_003_src0_channel; // rsp_xbar_demux_003:src0_channel -> rsp_xbar_mux_001:sink3_channel wire rsp_xbar_demux_003_src0_ready; // rsp_xbar_mux_001:sink3_ready -> rsp_xbar_demux_003:src0_ready wire rsp_xbar_demux_004_src0_endofpacket; // rsp_xbar_demux_004:src0_endofpacket -> rsp_xbar_mux_001:sink4_endofpacket wire rsp_xbar_demux_004_src0_valid; // rsp_xbar_demux_004:src0_valid -> rsp_xbar_mux_001:sink4_valid wire rsp_xbar_demux_004_src0_startofpacket; // rsp_xbar_demux_004:src0_startofpacket -> rsp_xbar_mux_001:sink4_startofpacket wire [95:0] rsp_xbar_demux_004_src0_data; // rsp_xbar_demux_004:src0_data -> rsp_xbar_mux_001:sink4_data wire [17:0] rsp_xbar_demux_004_src0_channel; // rsp_xbar_demux_004:src0_channel -> rsp_xbar_mux_001:sink4_channel wire rsp_xbar_demux_004_src0_ready; // rsp_xbar_mux_001:sink4_ready -> rsp_xbar_demux_004:src0_ready wire rsp_xbar_demux_005_src0_endofpacket; // rsp_xbar_demux_005:src0_endofpacket -> rsp_xbar_mux_001:sink5_endofpacket wire rsp_xbar_demux_005_src0_valid; // rsp_xbar_demux_005:src0_valid -> rsp_xbar_mux_001:sink5_valid wire rsp_xbar_demux_005_src0_startofpacket; // rsp_xbar_demux_005:src0_startofpacket -> rsp_xbar_mux_001:sink5_startofpacket wire [95:0] rsp_xbar_demux_005_src0_data; // rsp_xbar_demux_005:src0_data -> rsp_xbar_mux_001:sink5_data wire [17:0] rsp_xbar_demux_005_src0_channel; // rsp_xbar_demux_005:src0_channel -> rsp_xbar_mux_001:sink5_channel wire rsp_xbar_demux_005_src0_ready; // rsp_xbar_mux_001:sink5_ready -> rsp_xbar_demux_005:src0_ready wire rsp_xbar_demux_006_src0_endofpacket; // rsp_xbar_demux_006:src0_endofpacket -> rsp_xbar_mux_001:sink6_endofpacket wire rsp_xbar_demux_006_src0_valid; // rsp_xbar_demux_006:src0_valid -> rsp_xbar_mux_001:sink6_valid wire rsp_xbar_demux_006_src0_startofpacket; // rsp_xbar_demux_006:src0_startofpacket -> rsp_xbar_mux_001:sink6_startofpacket wire [95:0] rsp_xbar_demux_006_src0_data; // rsp_xbar_demux_006:src0_data -> rsp_xbar_mux_001:sink6_data wire [17:0] rsp_xbar_demux_006_src0_channel; // rsp_xbar_demux_006:src0_channel -> rsp_xbar_mux_001:sink6_channel wire rsp_xbar_demux_006_src0_ready; // rsp_xbar_mux_001:sink6_ready -> rsp_xbar_demux_006:src0_ready wire rsp_xbar_demux_007_src0_endofpacket; // rsp_xbar_demux_007:src0_endofpacket -> rsp_xbar_mux_001:sink7_endofpacket wire rsp_xbar_demux_007_src0_valid; // rsp_xbar_demux_007:src0_valid -> rsp_xbar_mux_001:sink7_valid wire rsp_xbar_demux_007_src0_startofpacket; // rsp_xbar_demux_007:src0_startofpacket -> rsp_xbar_mux_001:sink7_startofpacket wire [95:0] rsp_xbar_demux_007_src0_data; // rsp_xbar_demux_007:src0_data -> rsp_xbar_mux_001:sink7_data wire [17:0] rsp_xbar_demux_007_src0_channel; // rsp_xbar_demux_007:src0_channel -> rsp_xbar_mux_001:sink7_channel wire rsp_xbar_demux_007_src0_ready; // rsp_xbar_mux_001:sink7_ready -> rsp_xbar_demux_007:src0_ready wire rsp_xbar_demux_008_src0_endofpacket; // rsp_xbar_demux_008:src0_endofpacket -> rsp_xbar_mux_001:sink8_endofpacket wire rsp_xbar_demux_008_src0_valid; // rsp_xbar_demux_008:src0_valid -> rsp_xbar_mux_001:sink8_valid wire rsp_xbar_demux_008_src0_startofpacket; // rsp_xbar_demux_008:src0_startofpacket -> rsp_xbar_mux_001:sink8_startofpacket wire [95:0] rsp_xbar_demux_008_src0_data; // rsp_xbar_demux_008:src0_data -> rsp_xbar_mux_001:sink8_data wire [17:0] rsp_xbar_demux_008_src0_channel; // rsp_xbar_demux_008:src0_channel -> rsp_xbar_mux_001:sink8_channel wire rsp_xbar_demux_008_src0_ready; // rsp_xbar_mux_001:sink8_ready -> rsp_xbar_demux_008:src0_ready wire rsp_xbar_demux_009_src0_endofpacket; // rsp_xbar_demux_009:src0_endofpacket -> rsp_xbar_mux_001:sink9_endofpacket wire rsp_xbar_demux_009_src0_valid; // rsp_xbar_demux_009:src0_valid -> rsp_xbar_mux_001:sink9_valid wire rsp_xbar_demux_009_src0_startofpacket; // rsp_xbar_demux_009:src0_startofpacket -> rsp_xbar_mux_001:sink9_startofpacket wire [95:0] rsp_xbar_demux_009_src0_data; // rsp_xbar_demux_009:src0_data -> rsp_xbar_mux_001:sink9_data wire [17:0] rsp_xbar_demux_009_src0_channel; // rsp_xbar_demux_009:src0_channel -> rsp_xbar_mux_001:sink9_channel wire rsp_xbar_demux_009_src0_ready; // rsp_xbar_mux_001:sink9_ready -> rsp_xbar_demux_009:src0_ready wire rsp_xbar_demux_010_src0_endofpacket; // rsp_xbar_demux_010:src0_endofpacket -> rsp_xbar_mux_001:sink10_endofpacket wire rsp_xbar_demux_010_src0_valid; // rsp_xbar_demux_010:src0_valid -> rsp_xbar_mux_001:sink10_valid wire rsp_xbar_demux_010_src0_startofpacket; // rsp_xbar_demux_010:src0_startofpacket -> rsp_xbar_mux_001:sink10_startofpacket wire [95:0] rsp_xbar_demux_010_src0_data; // rsp_xbar_demux_010:src0_data -> rsp_xbar_mux_001:sink10_data wire [17:0] rsp_xbar_demux_010_src0_channel; // rsp_xbar_demux_010:src0_channel -> rsp_xbar_mux_001:sink10_channel wire rsp_xbar_demux_010_src0_ready; // rsp_xbar_mux_001:sink10_ready -> rsp_xbar_demux_010:src0_ready wire rsp_xbar_demux_011_src0_endofpacket; // rsp_xbar_demux_011:src0_endofpacket -> rsp_xbar_mux_001:sink11_endofpacket wire rsp_xbar_demux_011_src0_valid; // rsp_xbar_demux_011:src0_valid -> rsp_xbar_mux_001:sink11_valid wire rsp_xbar_demux_011_src0_startofpacket; // rsp_xbar_demux_011:src0_startofpacket -> rsp_xbar_mux_001:sink11_startofpacket wire [95:0] rsp_xbar_demux_011_src0_data; // rsp_xbar_demux_011:src0_data -> rsp_xbar_mux_001:sink11_data wire [17:0] rsp_xbar_demux_011_src0_channel; // rsp_xbar_demux_011:src0_channel -> rsp_xbar_mux_001:sink11_channel wire rsp_xbar_demux_011_src0_ready; // rsp_xbar_mux_001:sink11_ready -> rsp_xbar_demux_011:src0_ready wire rsp_xbar_demux_012_src0_endofpacket; // rsp_xbar_demux_012:src0_endofpacket -> rsp_xbar_mux_001:sink12_endofpacket wire rsp_xbar_demux_012_src0_valid; // rsp_xbar_demux_012:src0_valid -> rsp_xbar_mux_001:sink12_valid wire rsp_xbar_demux_012_src0_startofpacket; // rsp_xbar_demux_012:src0_startofpacket -> rsp_xbar_mux_001:sink12_startofpacket wire [95:0] rsp_xbar_demux_012_src0_data; // rsp_xbar_demux_012:src0_data -> rsp_xbar_mux_001:sink12_data wire [17:0] rsp_xbar_demux_012_src0_channel; // rsp_xbar_demux_012:src0_channel -> rsp_xbar_mux_001:sink12_channel wire rsp_xbar_demux_012_src0_ready; // rsp_xbar_mux_001:sink12_ready -> rsp_xbar_demux_012:src0_ready wire rsp_xbar_demux_013_src0_endofpacket; // rsp_xbar_demux_013:src0_endofpacket -> rsp_xbar_mux_001:sink13_endofpacket wire rsp_xbar_demux_013_src0_valid; // rsp_xbar_demux_013:src0_valid -> rsp_xbar_mux_001:sink13_valid wire rsp_xbar_demux_013_src0_startofpacket; // rsp_xbar_demux_013:src0_startofpacket -> rsp_xbar_mux_001:sink13_startofpacket wire [95:0] rsp_xbar_demux_013_src0_data; // rsp_xbar_demux_013:src0_data -> rsp_xbar_mux_001:sink13_data wire [17:0] rsp_xbar_demux_013_src0_channel; // rsp_xbar_demux_013:src0_channel -> rsp_xbar_mux_001:sink13_channel wire rsp_xbar_demux_013_src0_ready; // rsp_xbar_mux_001:sink13_ready -> rsp_xbar_demux_013:src0_ready wire rsp_xbar_demux_014_src0_endofpacket; // rsp_xbar_demux_014:src0_endofpacket -> rsp_xbar_mux_001:sink14_endofpacket wire rsp_xbar_demux_014_src0_valid; // rsp_xbar_demux_014:src0_valid -> rsp_xbar_mux_001:sink14_valid wire rsp_xbar_demux_014_src0_startofpacket; // rsp_xbar_demux_014:src0_startofpacket -> rsp_xbar_mux_001:sink14_startofpacket wire [95:0] rsp_xbar_demux_014_src0_data; // rsp_xbar_demux_014:src0_data -> rsp_xbar_mux_001:sink14_data wire [17:0] rsp_xbar_demux_014_src0_channel; // rsp_xbar_demux_014:src0_channel -> rsp_xbar_mux_001:sink14_channel wire rsp_xbar_demux_014_src0_ready; // rsp_xbar_mux_001:sink14_ready -> rsp_xbar_demux_014:src0_ready wire rsp_xbar_demux_015_src0_endofpacket; // rsp_xbar_demux_015:src0_endofpacket -> rsp_xbar_mux_001:sink15_endofpacket wire rsp_xbar_demux_015_src0_valid; // rsp_xbar_demux_015:src0_valid -> rsp_xbar_mux_001:sink15_valid wire rsp_xbar_demux_015_src0_startofpacket; // rsp_xbar_demux_015:src0_startofpacket -> rsp_xbar_mux_001:sink15_startofpacket wire [95:0] rsp_xbar_demux_015_src0_data; // rsp_xbar_demux_015:src0_data -> rsp_xbar_mux_001:sink15_data wire [17:0] rsp_xbar_demux_015_src0_channel; // rsp_xbar_demux_015:src0_channel -> rsp_xbar_mux_001:sink15_channel wire rsp_xbar_demux_015_src0_ready; // rsp_xbar_mux_001:sink15_ready -> rsp_xbar_demux_015:src0_ready wire rsp_xbar_demux_016_src0_endofpacket; // rsp_xbar_demux_016:src0_endofpacket -> rsp_xbar_mux_001:sink16_endofpacket wire rsp_xbar_demux_016_src0_valid; // rsp_xbar_demux_016:src0_valid -> rsp_xbar_mux_001:sink16_valid wire rsp_xbar_demux_016_src0_startofpacket; // rsp_xbar_demux_016:src0_startofpacket -> rsp_xbar_mux_001:sink16_startofpacket wire [95:0] rsp_xbar_demux_016_src0_data; // rsp_xbar_demux_016:src0_data -> rsp_xbar_mux_001:sink16_data wire [17:0] rsp_xbar_demux_016_src0_channel; // rsp_xbar_demux_016:src0_channel -> rsp_xbar_mux_001:sink16_channel wire rsp_xbar_demux_016_src0_ready; // rsp_xbar_mux_001:sink16_ready -> rsp_xbar_demux_016:src0_ready wire rsp_xbar_demux_017_src0_endofpacket; // rsp_xbar_demux_017:src0_endofpacket -> rsp_xbar_mux_001:sink17_endofpacket wire rsp_xbar_demux_017_src0_valid; // rsp_xbar_demux_017:src0_valid -> rsp_xbar_mux_001:sink17_valid wire rsp_xbar_demux_017_src0_startofpacket; // rsp_xbar_demux_017:src0_startofpacket -> rsp_xbar_mux_001:sink17_startofpacket wire [95:0] rsp_xbar_demux_017_src0_data; // rsp_xbar_demux_017:src0_data -> rsp_xbar_mux_001:sink17_data wire [17:0] rsp_xbar_demux_017_src0_channel; // rsp_xbar_demux_017:src0_channel -> rsp_xbar_mux_001:sink17_channel wire rsp_xbar_demux_017_src0_ready; // rsp_xbar_mux_001:sink17_ready -> rsp_xbar_demux_017:src0_ready wire addr_router_src_endofpacket; // addr_router:src_endofpacket -> cmd_xbar_demux:sink_endofpacket wire addr_router_src_valid; // addr_router:src_valid -> cmd_xbar_demux:sink_valid wire addr_router_src_startofpacket; // addr_router:src_startofpacket -> cmd_xbar_demux:sink_startofpacket wire [95:0] addr_router_src_data; // addr_router:src_data -> cmd_xbar_demux:sink_data wire [17:0] addr_router_src_channel; // addr_router:src_channel -> cmd_xbar_demux:sink_channel wire addr_router_src_ready; // cmd_xbar_demux:sink_ready -> addr_router:src_ready wire rsp_xbar_mux_src_endofpacket; // rsp_xbar_mux:src_endofpacket -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_endofpacket wire rsp_xbar_mux_src_valid; // rsp_xbar_mux:src_valid -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_valid wire rsp_xbar_mux_src_startofpacket; // rsp_xbar_mux:src_startofpacket -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_startofpacket wire [95:0] rsp_xbar_mux_src_data; // rsp_xbar_mux:src_data -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_data wire [17:0] rsp_xbar_mux_src_channel; // rsp_xbar_mux:src_channel -> nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_channel wire rsp_xbar_mux_src_ready; // nios2_processor_instruction_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux:src_ready wire addr_router_001_src_endofpacket; // addr_router_001:src_endofpacket -> cmd_xbar_demux_001:sink_endofpacket wire addr_router_001_src_valid; // addr_router_001:src_valid -> cmd_xbar_demux_001:sink_valid wire addr_router_001_src_startofpacket; // addr_router_001:src_startofpacket -> cmd_xbar_demux_001:sink_startofpacket wire [95:0] addr_router_001_src_data; // addr_router_001:src_data -> cmd_xbar_demux_001:sink_data wire [17:0] addr_router_001_src_channel; // addr_router_001:src_channel -> cmd_xbar_demux_001:sink_channel wire addr_router_001_src_ready; // cmd_xbar_demux_001:sink_ready -> addr_router_001:src_ready wire rsp_xbar_mux_001_src_endofpacket; // rsp_xbar_mux_001:src_endofpacket -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_endofpacket wire rsp_xbar_mux_001_src_valid; // rsp_xbar_mux_001:src_valid -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_valid wire rsp_xbar_mux_001_src_startofpacket; // rsp_xbar_mux_001:src_startofpacket -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_startofpacket wire [95:0] rsp_xbar_mux_001_src_data; // rsp_xbar_mux_001:src_data -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_data wire [17:0] rsp_xbar_mux_001_src_channel; // rsp_xbar_mux_001:src_channel -> nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_channel wire rsp_xbar_mux_001_src_ready; // nios2_processor_data_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux_001:src_ready wire cmd_xbar_mux_src_endofpacket; // cmd_xbar_mux:src_endofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_mux_src_valid; // cmd_xbar_mux:src_valid -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_mux_src_startofpacket; // cmd_xbar_mux:src_startofpacket -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_mux_src_data; // cmd_xbar_mux:src_data -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_mux_src_channel; // cmd_xbar_mux:src_channel -> nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_mux_src_ready; // nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux:src_ready wire id_router_src_endofpacket; // id_router:src_endofpacket -> rsp_xbar_demux:sink_endofpacket wire id_router_src_valid; // id_router:src_valid -> rsp_xbar_demux:sink_valid wire id_router_src_startofpacket; // id_router:src_startofpacket -> rsp_xbar_demux:sink_startofpacket wire [95:0] id_router_src_data; // id_router:src_data -> rsp_xbar_demux:sink_data wire [17:0] id_router_src_channel; // id_router:src_channel -> rsp_xbar_demux:sink_channel wire id_router_src_ready; // rsp_xbar_demux:sink_ready -> id_router:src_ready wire cmd_xbar_mux_001_src_endofpacket; // cmd_xbar_mux_001:src_endofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket wire cmd_xbar_mux_001_src_valid; // cmd_xbar_mux_001:src_valid -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_valid wire cmd_xbar_mux_001_src_startofpacket; // cmd_xbar_mux_001:src_startofpacket -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket wire [95:0] cmd_xbar_mux_001_src_data; // cmd_xbar_mux_001:src_data -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_data wire [17:0] cmd_xbar_mux_001_src_channel; // cmd_xbar_mux_001:src_channel -> onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_channel wire cmd_xbar_mux_001_src_ready; // onchip_memory_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux_001:src_ready wire id_router_001_src_endofpacket; // id_router_001:src_endofpacket -> rsp_xbar_demux_001:sink_endofpacket wire id_router_001_src_valid; // id_router_001:src_valid -> rsp_xbar_demux_001:sink_valid wire id_router_001_src_startofpacket; // id_router_001:src_startofpacket -> rsp_xbar_demux_001:sink_startofpacket wire [95:0] id_router_001_src_data; // id_router_001:src_data -> rsp_xbar_demux_001:sink_data wire [17:0] id_router_001_src_channel; // id_router_001:src_channel -> rsp_xbar_demux_001:sink_channel wire id_router_001_src_ready; // rsp_xbar_demux_001:sink_ready -> id_router_001:src_ready wire cmd_xbar_demux_001_src2_ready; // LEDs_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src2_ready wire id_router_002_src_endofpacket; // id_router_002:src_endofpacket -> rsp_xbar_demux_002:sink_endofpacket wire id_router_002_src_valid; // id_router_002:src_valid -> rsp_xbar_demux_002:sink_valid wire id_router_002_src_startofpacket; // id_router_002:src_startofpacket -> rsp_xbar_demux_002:sink_startofpacket wire [95:0] id_router_002_src_data; // id_router_002:src_data -> rsp_xbar_demux_002:sink_data wire [17:0] id_router_002_src_channel; // id_router_002:src_channel -> rsp_xbar_demux_002:sink_channel wire id_router_002_src_ready; // rsp_xbar_demux_002:sink_ready -> id_router_002:src_ready wire cmd_xbar_demux_001_src3_ready; // jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src3_ready wire id_router_003_src_endofpacket; // id_router_003:src_endofpacket -> rsp_xbar_demux_003:sink_endofpacket wire id_router_003_src_valid; // id_router_003:src_valid -> rsp_xbar_demux_003:sink_valid wire id_router_003_src_startofpacket; // id_router_003:src_startofpacket -> rsp_xbar_demux_003:sink_startofpacket wire [95:0] id_router_003_src_data; // id_router_003:src_data -> rsp_xbar_demux_003:sink_data wire [17:0] id_router_003_src_channel; // id_router_003:src_channel -> rsp_xbar_demux_003:sink_channel wire id_router_003_src_ready; // rsp_xbar_demux_003:sink_ready -> id_router_003:src_ready wire cmd_xbar_demux_001_src4_ready; // LEDRs_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src4_ready wire id_router_004_src_endofpacket; // id_router_004:src_endofpacket -> rsp_xbar_demux_004:sink_endofpacket wire id_router_004_src_valid; // id_router_004:src_valid -> rsp_xbar_demux_004:sink_valid wire id_router_004_src_startofpacket; // id_router_004:src_startofpacket -> rsp_xbar_demux_004:sink_startofpacket wire [95:0] id_router_004_src_data; // id_router_004:src_data -> rsp_xbar_demux_004:sink_data wire [17:0] id_router_004_src_channel; // id_router_004:src_channel -> rsp_xbar_demux_004:sink_channel wire id_router_004_src_ready; // rsp_xbar_demux_004:sink_ready -> id_router_004:src_ready wire cmd_xbar_demux_001_src5_ready; // switches_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src5_ready wire id_router_005_src_endofpacket; // id_router_005:src_endofpacket -> rsp_xbar_demux_005:sink_endofpacket wire id_router_005_src_valid; // id_router_005:src_valid -> rsp_xbar_demux_005:sink_valid wire id_router_005_src_startofpacket; // id_router_005:src_startofpacket -> rsp_xbar_demux_005:sink_startofpacket wire [95:0] id_router_005_src_data; // id_router_005:src_data -> rsp_xbar_demux_005:sink_data wire [17:0] id_router_005_src_channel; // id_router_005:src_channel -> rsp_xbar_demux_005:sink_channel wire id_router_005_src_ready; // rsp_xbar_demux_005:sink_ready -> id_router_005:src_ready wire cmd_xbar_demux_001_src6_ready; // push_switches_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src6_ready wire id_router_006_src_endofpacket; // id_router_006:src_endofpacket -> rsp_xbar_demux_006:sink_endofpacket wire id_router_006_src_valid; // id_router_006:src_valid -> rsp_xbar_demux_006:sink_valid wire id_router_006_src_startofpacket; // id_router_006:src_startofpacket -> rsp_xbar_demux_006:sink_startofpacket wire [95:0] id_router_006_src_data; // id_router_006:src_data -> rsp_xbar_demux_006:sink_data wire [17:0] id_router_006_src_channel; // id_router_006:src_channel -> rsp_xbar_demux_006:sink_channel wire id_router_006_src_ready; // rsp_xbar_demux_006:sink_ready -> id_router_006:src_ready wire cmd_xbar_demux_001_src7_ready; // hex0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src7_ready wire id_router_007_src_endofpacket; // id_router_007:src_endofpacket -> rsp_xbar_demux_007:sink_endofpacket wire id_router_007_src_valid; // id_router_007:src_valid -> rsp_xbar_demux_007:sink_valid wire id_router_007_src_startofpacket; // id_router_007:src_startofpacket -> rsp_xbar_demux_007:sink_startofpacket wire [95:0] id_router_007_src_data; // id_router_007:src_data -> rsp_xbar_demux_007:sink_data wire [17:0] id_router_007_src_channel; // id_router_007:src_channel -> rsp_xbar_demux_007:sink_channel wire id_router_007_src_ready; // rsp_xbar_demux_007:sink_ready -> id_router_007:src_ready wire cmd_xbar_demux_001_src8_ready; // hex1_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src8_ready wire id_router_008_src_endofpacket; // id_router_008:src_endofpacket -> rsp_xbar_demux_008:sink_endofpacket wire id_router_008_src_valid; // id_router_008:src_valid -> rsp_xbar_demux_008:sink_valid wire id_router_008_src_startofpacket; // id_router_008:src_startofpacket -> rsp_xbar_demux_008:sink_startofpacket wire [95:0] id_router_008_src_data; // id_router_008:src_data -> rsp_xbar_demux_008:sink_data wire [17:0] id_router_008_src_channel; // id_router_008:src_channel -> rsp_xbar_demux_008:sink_channel wire id_router_008_src_ready; // rsp_xbar_demux_008:sink_ready -> id_router_008:src_ready wire cmd_xbar_demux_001_src9_ready; // hex2_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src9_ready wire id_router_009_src_endofpacket; // id_router_009:src_endofpacket -> rsp_xbar_demux_009:sink_endofpacket wire id_router_009_src_valid; // id_router_009:src_valid -> rsp_xbar_demux_009:sink_valid wire id_router_009_src_startofpacket; // id_router_009:src_startofpacket -> rsp_xbar_demux_009:sink_startofpacket wire [95:0] id_router_009_src_data; // id_router_009:src_data -> rsp_xbar_demux_009:sink_data wire [17:0] id_router_009_src_channel; // id_router_009:src_channel -> rsp_xbar_demux_009:sink_channel wire id_router_009_src_ready; // rsp_xbar_demux_009:sink_ready -> id_router_009:src_ready wire cmd_xbar_demux_001_src10_ready; // hex3_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src10_ready wire id_router_010_src_endofpacket; // id_router_010:src_endofpacket -> rsp_xbar_demux_010:sink_endofpacket wire id_router_010_src_valid; // id_router_010:src_valid -> rsp_xbar_demux_010:sink_valid wire id_router_010_src_startofpacket; // id_router_010:src_startofpacket -> rsp_xbar_demux_010:sink_startofpacket wire [95:0] id_router_010_src_data; // id_router_010:src_data -> rsp_xbar_demux_010:sink_data wire [17:0] id_router_010_src_channel; // id_router_010:src_channel -> rsp_xbar_demux_010:sink_channel wire id_router_010_src_ready; // rsp_xbar_demux_010:sink_ready -> id_router_010:src_ready wire cmd_xbar_demux_001_src11_ready; // hex4_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src11_ready wire id_router_011_src_endofpacket; // id_router_011:src_endofpacket -> rsp_xbar_demux_011:sink_endofpacket wire id_router_011_src_valid; // id_router_011:src_valid -> rsp_xbar_demux_011:sink_valid wire id_router_011_src_startofpacket; // id_router_011:src_startofpacket -> rsp_xbar_demux_011:sink_startofpacket wire [95:0] id_router_011_src_data; // id_router_011:src_data -> rsp_xbar_demux_011:sink_data wire [17:0] id_router_011_src_channel; // id_router_011:src_channel -> rsp_xbar_demux_011:sink_channel wire id_router_011_src_ready; // rsp_xbar_demux_011:sink_ready -> id_router_011:src_ready wire cmd_xbar_demux_001_src12_ready; // hex5_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src12_ready wire id_router_012_src_endofpacket; // id_router_012:src_endofpacket -> rsp_xbar_demux_012:sink_endofpacket wire id_router_012_src_valid; // id_router_012:src_valid -> rsp_xbar_demux_012:sink_valid wire id_router_012_src_startofpacket; // id_router_012:src_startofpacket -> rsp_xbar_demux_012:sink_startofpacket wire [95:0] id_router_012_src_data; // id_router_012:src_data -> rsp_xbar_demux_012:sink_data wire [17:0] id_router_012_src_channel; // id_router_012:src_channel -> rsp_xbar_demux_012:sink_channel wire id_router_012_src_ready; // rsp_xbar_demux_012:sink_ready -> id_router_012:src_ready wire cmd_xbar_demux_001_src13_ready; // hex6_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src13_ready wire id_router_013_src_endofpacket; // id_router_013:src_endofpacket -> rsp_xbar_demux_013:sink_endofpacket wire id_router_013_src_valid; // id_router_013:src_valid -> rsp_xbar_demux_013:sink_valid wire id_router_013_src_startofpacket; // id_router_013:src_startofpacket -> rsp_xbar_demux_013:sink_startofpacket wire [95:0] id_router_013_src_data; // id_router_013:src_data -> rsp_xbar_demux_013:sink_data wire [17:0] id_router_013_src_channel; // id_router_013:src_channel -> rsp_xbar_demux_013:sink_channel wire id_router_013_src_ready; // rsp_xbar_demux_013:sink_ready -> id_router_013:src_ready wire cmd_xbar_demux_001_src14_ready; // hex7_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src14_ready wire id_router_014_src_endofpacket; // id_router_014:src_endofpacket -> rsp_xbar_demux_014:sink_endofpacket wire id_router_014_src_valid; // id_router_014:src_valid -> rsp_xbar_demux_014:sink_valid wire id_router_014_src_startofpacket; // id_router_014:src_startofpacket -> rsp_xbar_demux_014:sink_startofpacket wire [95:0] id_router_014_src_data; // id_router_014:src_data -> rsp_xbar_demux_014:sink_data wire [17:0] id_router_014_src_channel; // id_router_014:src_channel -> rsp_xbar_demux_014:sink_channel wire id_router_014_src_ready; // rsp_xbar_demux_014:sink_ready -> id_router_014:src_ready wire cmd_xbar_demux_001_src15_ready; // lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src15_ready wire id_router_015_src_endofpacket; // id_router_015:src_endofpacket -> rsp_xbar_demux_015:sink_endofpacket wire id_router_015_src_valid; // id_router_015:src_valid -> rsp_xbar_demux_015:sink_valid wire id_router_015_src_startofpacket; // id_router_015:src_startofpacket -> rsp_xbar_demux_015:sink_startofpacket wire [95:0] id_router_015_src_data; // id_router_015:src_data -> rsp_xbar_demux_015:sink_data wire [17:0] id_router_015_src_channel; // id_router_015:src_channel -> rsp_xbar_demux_015:sink_channel wire id_router_015_src_ready; // rsp_xbar_demux_015:sink_ready -> id_router_015:src_ready wire cmd_xbar_demux_001_src16_ready; // lcd_on_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src16_ready wire id_router_016_src_endofpacket; // id_router_016:src_endofpacket -> rsp_xbar_demux_016:sink_endofpacket wire id_router_016_src_valid; // id_router_016:src_valid -> rsp_xbar_demux_016:sink_valid wire id_router_016_src_startofpacket; // id_router_016:src_startofpacket -> rsp_xbar_demux_016:sink_startofpacket wire [95:0] id_router_016_src_data; // id_router_016:src_data -> rsp_xbar_demux_016:sink_data wire [17:0] id_router_016_src_channel; // id_router_016:src_channel -> rsp_xbar_demux_016:sink_channel wire id_router_016_src_ready; // rsp_xbar_demux_016:sink_ready -> id_router_016:src_ready wire cmd_xbar_demux_001_src17_ready; // lcd_blon_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src17_ready wire id_router_017_src_endofpacket; // id_router_017:src_endofpacket -> rsp_xbar_demux_017:sink_endofpacket wire id_router_017_src_valid; // id_router_017:src_valid -> rsp_xbar_demux_017:sink_valid wire id_router_017_src_startofpacket; // id_router_017:src_startofpacket -> rsp_xbar_demux_017:sink_startofpacket wire [95:0] id_router_017_src_data; // id_router_017:src_data -> rsp_xbar_demux_017:sink_data wire [17:0] id_router_017_src_channel; // id_router_017:src_channel -> rsp_xbar_demux_017:sink_channel wire id_router_017_src_ready; // rsp_xbar_demux_017:sink_ready -> id_router_017:src_ready wire irq_mapper_receiver0_irq; // jtag_uart:av_irq -> irq_mapper:receiver0_irq wire [31:0] nios2_processor_d_irq_irq; // irq_mapper:sender_irq -> nios2_processor:d_irq nios_system_nios2_processor nios2_processor ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset_n.reset_n .d_address (nios2_processor_data_master_address), // data_master.address .d_byteenable (nios2_processor_data_master_byteenable), // .byteenable .d_read (nios2_processor_data_master_read), // .read .d_readdata (nios2_processor_data_master_readdata), // .readdata .d_waitrequest (nios2_processor_data_master_waitrequest), // .waitrequest .d_write (nios2_processor_data_master_write), // .write .d_writedata (nios2_processor_data_master_writedata), // .writedata .jtag_debug_module_debugaccess_to_roms (nios2_processor_data_master_debugaccess), // .debugaccess .i_address (nios2_processor_instruction_master_address), // instruction_master.address .i_read (nios2_processor_instruction_master_read), // .read .i_readdata (nios2_processor_instruction_master_readdata), // .readdata .i_waitrequest (nios2_processor_instruction_master_waitrequest), // .waitrequest .d_irq (nios2_processor_d_irq_irq), // d_irq.irq .jtag_debug_module_resetrequest (nios2_processor_jtag_debug_module_reset_reset), // jtag_debug_module_reset.reset .jtag_debug_module_address (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address), // jtag_debug_module.address .jtag_debug_module_byteenable (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable .jtag_debug_module_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess .jtag_debug_module_read (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read), // .read .jtag_debug_module_readdata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata .jtag_debug_module_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest), // .waitrequest .jtag_debug_module_write (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write .jtag_debug_module_writedata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata .no_ci_readra () // custom_instruction_master.readra ); nios_system_onchip_memory onchip_memory ( .clk (clk_clk), // clk1.clk .address (onchip_memory_s1_translator_avalon_anti_slave_0_address), // s1.address .clken (onchip_memory_s1_translator_avalon_anti_slave_0_clken), // .clken .chipselect (onchip_memory_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .write (onchip_memory_s1_translator_avalon_anti_slave_0_write), // .write .readdata (onchip_memory_s1_translator_avalon_anti_slave_0_readdata), // .readdata .writedata (onchip_memory_s1_translator_avalon_anti_slave_0_writedata), // .writedata .byteenable (onchip_memory_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable .reset (rst_controller_reset_out_reset), // reset1.reset .reset_req (rst_controller_reset_out_reset_req) // .reset_req ); nios_system_jtag_uart jtag_uart ( .clk (clk_clk), // clk.clk .rst_n (~rst_controller_reset_out_reset), // reset.reset_n .av_chipselect (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // avalon_jtag_slave.chipselect .av_address (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // .address .av_read_n (~jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read_n .av_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata .av_write_n (~jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write_n .av_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata .av_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest .av_irq (irq_mapper_receiver0_irq) // irq.irq ); nios_system_LEDs leds ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (leds_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~leds_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (leds_export) // external_connection.export ); nios_system_LEDRs ledrs ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (ledrs_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~ledrs_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (ledrs_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (ledrs_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (ledrs_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (ledrs_export) // external_connection.export ); nios_system_switches switches ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (switches_s1_translator_avalon_anti_slave_0_address), // s1.address .readdata (switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata .in_port (switches_export) // external_connection.export ); nios_system_push_switches push_switches ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (push_switches_s1_translator_avalon_anti_slave_0_address), // s1.address .readdata (push_switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata .in_port (push_switches_export) // external_connection.export ); nios_system_hex0 hex0 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex0_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex0_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex0_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex0_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex0_export) // external_connection.export ); nios_system_hex0 hex1 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex1_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex1_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex1_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex1_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex1_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex1_export) // external_connection.export ); nios_system_hex0 hex2 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex2_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex2_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex2_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex2_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex2_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex2_export) // external_connection.export ); nios_system_hex0 hex3 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex3_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex3_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex3_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex3_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex3_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex3_export) // external_connection.export ); nios_system_hex0 hex4 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex4_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex4_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex4_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex4_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex4_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex4_export) // external_connection.export ); nios_system_hex0 hex5 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex5_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex5_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex5_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex5_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex5_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex5_export) // external_connection.export ); nios_system_hex0 hex6 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex6_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex6_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex6_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex6_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex6_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex6_export) // external_connection.export ); nios_system_hex0 hex7 ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (hex7_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~hex7_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (hex7_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (hex7_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (hex7_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (hex7_export) // external_connection.export ); nios_system_lcd_16207_0 lcd_16207_0 ( .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .clk (clk_clk), // clk.clk .begintransfer (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer), // control_slave.begintransfer .read (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read), // .read .write (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write), // .write .readdata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata .writedata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata), // .writedata .address (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address), // .address .LCD_RS (lcd_16207_0_RS), // external.export .LCD_RW (lcd_16207_0_RW), // .export .LCD_data (lcd_16207_0_data), // .export .LCD_E (lcd_16207_0_E) // .export ); nios_system_lcd_on lcd_on ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (lcd_on_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~lcd_on_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (lcd_on_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (lcd_on_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (lcd_on_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (lcd_on_export) // external_connection.export ); nios_system_lcd_on lcd_blon ( .clk (clk_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (lcd_blon_s1_translator_avalon_anti_slave_0_address), // s1.address .write_n (~lcd_blon_s1_translator_avalon_anti_slave_0_write), // .write_n .writedata (lcd_blon_s1_translator_avalon_anti_slave_0_writedata), // .writedata .chipselect (lcd_blon_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .readdata (lcd_blon_s1_translator_avalon_anti_slave_0_readdata), // .readdata .out_port (lcd_blon_export) // external_connection.export ); altera_merlin_master_translator #( .AV_ADDRESS_W (19), .AV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .USE_READ (1), .USE_WRITE (0), .USE_BEGINBURSTTRANSFER (0), .USE_BEGINTRANSFER (0), .USE_CHIPSELECT (0), .USE_BURSTCOUNT (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (1), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_LINEWRAPBURSTS (1), .AV_REGISTERINCOMINGSIGNALS (0) ) nios2_processor_instruction_master_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (nios2_processor_instruction_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address .uav_burstcount (nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount .uav_read (nios2_processor_instruction_master_translator_avalon_universal_master_0_read), // .read .uav_write (nios2_processor_instruction_master_translator_avalon_universal_master_0_write), // .write .uav_waitrequest (nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest .uav_readdatavalid (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid .uav_byteenable (nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable .uav_readdata (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata .uav_writedata (nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata .uav_lock (nios2_processor_instruction_master_translator_avalon_universal_master_0_lock), // .lock .uav_debugaccess (nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess .av_address (nios2_processor_instruction_master_address), // avalon_anti_master_0.address .av_waitrequest (nios2_processor_instruction_master_waitrequest), // .waitrequest .av_read (nios2_processor_instruction_master_read), // .read .av_readdata (nios2_processor_instruction_master_readdata), // .readdata .av_burstcount (1'b1), // (terminated) .av_byteenable (4'b1111), // (terminated) .av_beginbursttransfer (1'b0), // (terminated) .av_begintransfer (1'b0), // (terminated) .av_chipselect (1'b0), // (terminated) .av_readdatavalid (), // (terminated) .av_write (1'b0), // (terminated) .av_writedata (32'b00000000000000000000000000000000), // (terminated) .av_lock (1'b0), // (terminated) .av_debugaccess (1'b0), // (terminated) .uav_clken (), // (terminated) .av_clken (1'b1), // (terminated) .uav_response (2'b00), // (terminated) .av_response (), // (terminated) .uav_writeresponserequest (), // (terminated) .uav_writeresponsevalid (1'b0), // (terminated) .av_writeresponserequest (1'b0), // (terminated) .av_writeresponsevalid () // (terminated) ); altera_merlin_master_translator #( .AV_ADDRESS_W (19), .AV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .USE_READ (1), .USE_WRITE (1), .USE_BEGINBURSTTRANSFER (0), .USE_BEGINTRANSFER (0), .USE_CHIPSELECT (0), .USE_BURSTCOUNT (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (1), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_LINEWRAPBURSTS (0), .AV_REGISTERINCOMINGSIGNALS (1) ) nios2_processor_data_master_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (nios2_processor_data_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address .uav_burstcount (nios2_processor_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount .uav_read (nios2_processor_data_master_translator_avalon_universal_master_0_read), // .read .uav_write (nios2_processor_data_master_translator_avalon_universal_master_0_write), // .write .uav_waitrequest (nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest .uav_readdatavalid (nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid .uav_byteenable (nios2_processor_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable .uav_readdata (nios2_processor_data_master_translator_avalon_universal_master_0_readdata), // .readdata .uav_writedata (nios2_processor_data_master_translator_avalon_universal_master_0_writedata), // .writedata .uav_lock (nios2_processor_data_master_translator_avalon_universal_master_0_lock), // .lock .uav_debugaccess (nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess .av_address (nios2_processor_data_master_address), // avalon_anti_master_0.address .av_waitrequest (nios2_processor_data_master_waitrequest), // .waitrequest .av_byteenable (nios2_processor_data_master_byteenable), // .byteenable .av_read (nios2_processor_data_master_read), // .read .av_readdata (nios2_processor_data_master_readdata), // .readdata .av_write (nios2_processor_data_master_write), // .write .av_writedata (nios2_processor_data_master_writedata), // .writedata .av_debugaccess (nios2_processor_data_master_debugaccess), // .debugaccess .av_burstcount (1'b1), // (terminated) .av_beginbursttransfer (1'b0), // (terminated) .av_begintransfer (1'b0), // (terminated) .av_chipselect (1'b0), // (terminated) .av_readdatavalid (), // (terminated) .av_lock (1'b0), // (terminated) .uav_clken (), // (terminated) .av_clken (1'b1), // (terminated) .uav_response (2'b00), // (terminated) .av_response (), // (terminated) .uav_writeresponserequest (), // (terminated) .uav_writeresponsevalid (1'b0), // (terminated) .av_writeresponserequest (1'b0), // (terminated) .av_writeresponsevalid () // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (9), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (1), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) nios2_processor_jtag_debug_module_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write .av_read (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_read), // .read .av_readdata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata .av_byteenable (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable .av_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_waitrequest), // .waitrequest .av_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_chipselect (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (16), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (1), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (0), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) onchip_memory_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (onchip_memory_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (onchip_memory_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (onchip_memory_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (onchip_memory_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_byteenable (onchip_memory_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable .av_chipselect (onchip_memory_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_clken (onchip_memory_s1_translator_avalon_anti_slave_0_clken), // .clken .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) leds_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (leds_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (leds_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (1), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (1), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) jtag_uart_avalon_jtag_slave_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write .av_read (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read .av_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata .av_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest .av_chipselect (jtag_uart_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) ledrs_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (ledrs_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (ledrs_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (ledrs_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (ledrs_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (ledrs_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) switches_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (switches_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_readdata (switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_write (), // (terminated) .av_read (), // (terminated) .av_writedata (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_chipselect (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) push_switches_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (push_switches_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_readdata (push_switches_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_write (), // (terminated) .av_read (), // (terminated) .av_writedata (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_chipselect (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex0_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex0_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex0_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex0_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex1_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex1_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex1_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex1_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex1_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex1_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex1_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex1_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex1_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex2_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex2_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex2_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex2_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex2_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex2_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex2_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex2_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex2_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex3_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex3_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex3_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex3_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex3_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex3_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex3_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex3_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex3_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex4_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex4_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex4_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex4_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex4_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex4_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex4_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex4_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex4_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex5_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex5_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex5_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex5_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex5_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex5_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex5_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex5_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex5_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex6_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex6_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex6_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex6_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex6_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex6_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex6_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex6_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex6_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) hex7_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (hex7_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (hex7_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (hex7_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (hex7_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (hex7_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (hex7_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (hex7_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (hex7_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (8), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (13), .AV_WRITE_WAIT_CYCLES (13), .AV_SETUP_WAIT_CYCLES (13), .AV_DATA_HOLD_CYCLES (13) ) lcd_16207_0_control_slave_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_write), // .write .av_read (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_read), // .read .av_readdata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_writedata), // .writedata .av_begintransfer (lcd_16207_0_control_slave_translator_avalon_anti_slave_0_begintransfer), // .begintransfer .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_chipselect (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) lcd_on_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (lcd_on_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (lcd_on_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (lcd_on_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (lcd_on_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (lcd_on_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( .AV_ADDRESS_W (2), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), .UAV_ADDRESS_W (19), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), .USE_WAITREQUEST (0), .USE_UAV_CLKEN (0), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .AV_SYMBOLS_PER_WORD (4), .AV_ADDRESS_SYMBOLS (0), .AV_BURSTCOUNT_SYMBOLS (0), .AV_CONSTANT_BURST_BEHAVIOR (0), .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) ) lcd_blon_s1_translator ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .uav_address (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address .uav_burstcount (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .uav_read (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .uav_write (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .uav_waitrequest (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .uav_readdatavalid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .uav_byteenable (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .uav_readdata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .uav_writedata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .uav_lock (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .uav_debugaccess (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .av_address (lcd_blon_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address .av_write (lcd_blon_s1_translator_avalon_anti_slave_0_write), // .write .av_readdata (lcd_blon_s1_translator_avalon_anti_slave_0_readdata), // .readdata .av_writedata (lcd_blon_s1_translator_avalon_anti_slave_0_writedata), // .writedata .av_chipselect (lcd_blon_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect .av_read (), // (terminated) .av_begintransfer (), // (terminated) .av_beginbursttransfer (), // (terminated) .av_burstcount (), // (terminated) .av_byteenable (), // (terminated) .av_readdatavalid (1'b0), // (terminated) .av_waitrequest (1'b0), // (terminated) .av_writebyteenable (), // (terminated) .av_lock (), // (terminated) .av_clken (), // (terminated) .uav_clken (1'b0), // (terminated) .av_debugaccess (), // (terminated) .av_outputenable (), // (terminated) .uav_response (), // (terminated) .av_response (2'b00), // (terminated) .uav_writeresponserequest (1'b0), // (terminated) .uav_writeresponsevalid (), // (terminated) .av_writeresponserequest (), // (terminated) .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_master_agent #( .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_BEGIN_BURST (74), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .PKT_BURST_TYPE_H (71), .PKT_BURST_TYPE_L (70), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_TRANS_EXCLUSIVE (60), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_THREAD_ID_H (86), .PKT_THREAD_ID_L (86), .PKT_CACHE_H (93), .PKT_CACHE_L (90), .PKT_DATA_SIDEBAND_H (73), .PKT_DATA_SIDEBAND_L (73), .PKT_QOS_H (75), .PKT_QOS_L (75), .PKT_ADDR_SIDEBAND_H (72), .PKT_ADDR_SIDEBAND_L (72), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .ST_DATA_W (96), .ST_CHANNEL_W (18), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), .ID (1), .BURSTWRAP_VALUE (3), .CACHE_VALUE (0), .SECURE_ACCESS_BIT (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) nios2_processor_instruction_master_translator_avalon_universal_master_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .av_address (nios2_processor_instruction_master_translator_avalon_universal_master_0_address), // av.address .av_write (nios2_processor_instruction_master_translator_avalon_universal_master_0_write), // .write .av_read (nios2_processor_instruction_master_translator_avalon_universal_master_0_read), // .read .av_writedata (nios2_processor_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata .av_readdata (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata .av_waitrequest (nios2_processor_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest .av_readdatavalid (nios2_processor_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid .av_byteenable (nios2_processor_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable .av_burstcount (nios2_processor_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount .av_debugaccess (nios2_processor_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess .av_lock (nios2_processor_instruction_master_translator_avalon_universal_master_0_lock), // .lock .cp_valid (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid .cp_data (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data .cp_startofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket .cp_endofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket .cp_ready (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready .rp_valid (rsp_xbar_mux_src_valid), // rp.valid .rp_data (rsp_xbar_mux_src_data), // .data .rp_channel (rsp_xbar_mux_src_channel), // .channel .rp_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket .rp_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket .rp_ready (rsp_xbar_mux_src_ready), // .ready .av_response (), // (terminated) .av_writeresponserequest (1'b0), // (terminated) .av_writeresponsevalid () // (terminated) ); altera_merlin_master_agent #( .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_BEGIN_BURST (74), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .PKT_BURST_TYPE_H (71), .PKT_BURST_TYPE_L (70), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_TRANS_EXCLUSIVE (60), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_THREAD_ID_H (86), .PKT_THREAD_ID_L (86), .PKT_CACHE_H (93), .PKT_CACHE_L (90), .PKT_DATA_SIDEBAND_H (73), .PKT_DATA_SIDEBAND_L (73), .PKT_QOS_H (75), .PKT_QOS_L (75), .PKT_ADDR_SIDEBAND_H (72), .PKT_ADDR_SIDEBAND_L (72), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .ST_DATA_W (96), .ST_CHANNEL_W (18), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), .ID (0), .BURSTWRAP_VALUE (7), .CACHE_VALUE (0), .SECURE_ACCESS_BIT (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) nios2_processor_data_master_translator_avalon_universal_master_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .av_address (nios2_processor_data_master_translator_avalon_universal_master_0_address), // av.address .av_write (nios2_processor_data_master_translator_avalon_universal_master_0_write), // .write .av_read (nios2_processor_data_master_translator_avalon_universal_master_0_read), // .read .av_writedata (nios2_processor_data_master_translator_avalon_universal_master_0_writedata), // .writedata .av_readdata (nios2_processor_data_master_translator_avalon_universal_master_0_readdata), // .readdata .av_waitrequest (nios2_processor_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest .av_readdatavalid (nios2_processor_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid .av_byteenable (nios2_processor_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable .av_burstcount (nios2_processor_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount .av_debugaccess (nios2_processor_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess .av_lock (nios2_processor_data_master_translator_avalon_universal_master_0_lock), // .lock .cp_valid (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid .cp_data (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data .cp_startofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket .cp_endofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket .cp_ready (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready .rp_valid (rsp_xbar_mux_001_src_valid), // rp.valid .rp_data (rsp_xbar_mux_001_src_data), // .data .rp_channel (rsp_xbar_mux_001_src_channel), // .channel .rp_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket .rp_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket .rp_ready (rsp_xbar_mux_001_src_ready), // .ready .av_response (), // (terminated) .av_writeresponserequest (1'b0), // (terminated) .av_writeresponsevalid () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_mux_src_ready), // cp.ready .cp_valid (cmd_xbar_mux_src_valid), // .valid .cp_data (cmd_xbar_mux_src_data), // .data .cp_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket .cp_channel (cmd_xbar_mux_src_channel), // .channel .rf_sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) onchip_memory_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (onchip_memory_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_mux_001_src_ready), // cp.ready .cp_valid (cmd_xbar_mux_001_src_valid), // .valid .cp_data (cmd_xbar_mux_001_src_data), // .data .cp_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket .cp_channel (cmd_xbar_mux_001_src_channel), // .channel .rf_sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) leds_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src2_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src2_valid), // .valid .cp_data (cmd_xbar_demux_001_src2_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src2_channel), // .channel .rf_sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src3_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src3_valid), // .valid .cp_data (cmd_xbar_demux_001_src3_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src3_channel), // .channel .rf_sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) ledrs_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (ledrs_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src4_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src4_valid), // .valid .cp_data (cmd_xbar_demux_001_src4_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src4_channel), // .channel .rf_sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) switches_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src5_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src5_valid), // .valid .cp_data (cmd_xbar_demux_001_src5_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src5_channel), // .channel .rf_sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) push_switches_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (push_switches_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src6_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src6_valid), // .valid .cp_data (cmd_xbar_demux_001_src6_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src6_channel), // .channel .rf_sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex0_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src7_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src7_valid), // .valid .cp_data (cmd_xbar_demux_001_src7_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src7_channel), // .channel .rf_sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex1_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex1_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex1_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex1_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex1_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex1_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex1_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex1_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex1_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex1_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex1_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex1_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src8_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src8_valid), // .valid .cp_data (cmd_xbar_demux_001_src8_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src8_channel), // .channel .rf_sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex1_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex2_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex2_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex2_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex2_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex2_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex2_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex2_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex2_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex2_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex2_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex2_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex2_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src9_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src9_valid), // .valid .cp_data (cmd_xbar_demux_001_src9_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src9_channel), // .channel .rf_sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex2_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex3_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex3_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex3_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex3_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex3_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex3_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex3_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex3_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex3_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex3_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex3_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex3_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src10_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src10_valid), // .valid .cp_data (cmd_xbar_demux_001_src10_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src10_channel), // .channel .rf_sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex3_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex4_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex4_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex4_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex4_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex4_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex4_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex4_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex4_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex4_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex4_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex4_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex4_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src11_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src11_valid), // .valid .cp_data (cmd_xbar_demux_001_src11_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src11_channel), // .channel .rf_sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex4_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex5_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex5_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex5_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex5_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex5_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex5_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex5_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex5_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex5_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex5_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex5_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex5_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src12_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src12_valid), // .valid .cp_data (cmd_xbar_demux_001_src12_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src12_channel), // .channel .rf_sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex5_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex6_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex6_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex6_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex6_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex6_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex6_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex6_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex6_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex6_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex6_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex6_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex6_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src13_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src13_valid), // .valid .cp_data (cmd_xbar_demux_001_src13_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src13_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src13_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src13_channel), // .channel .rf_sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex6_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) hex7_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (hex7_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (hex7_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (hex7_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (hex7_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (hex7_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (hex7_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (hex7_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (hex7_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (hex7_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (hex7_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (hex7_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src14_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src14_valid), // .valid .cp_data (cmd_xbar_demux_001_src14_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src14_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src14_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src14_channel), // .channel .rf_sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (hex7_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src15_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src15_valid), // .valid .cp_data (cmd_xbar_demux_001_src15_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src15_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src15_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src15_channel), // .channel .rf_sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) lcd_on_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (lcd_on_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src16_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src16_valid), // .valid .cp_data (cmd_xbar_demux_001_src16_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src16_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src16_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src16_channel), // .channel .rf_sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); altera_merlin_slave_agent #( .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BEGIN_BURST (74), .PKT_SYMBOL_W (8), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), .PKT_ADDR_H (54), .PKT_ADDR_L (36), .PKT_TRANS_COMPRESSED_READ (55), .PKT_TRANS_POSTED (56), .PKT_TRANS_WRITE (57), .PKT_TRANS_READ (58), .PKT_TRANS_LOCK (59), .PKT_SRC_ID_H (80), .PKT_SRC_ID_L (76), .PKT_DEST_ID_H (85), .PKT_DEST_ID_L (81), .PKT_BURSTWRAP_H (66), .PKT_BURSTWRAP_L (64), .PKT_BYTE_CNT_H (63), .PKT_BYTE_CNT_L (61), .PKT_PROTECTION_H (89), .PKT_PROTECTION_L (87), .PKT_RESPONSE_STATUS_H (95), .PKT_RESPONSE_STATUS_L (94), .PKT_BURST_SIZE_H (69), .PKT_BURST_SIZE_L (67), .ST_CHANNEL_W (18), .ST_DATA_W (96), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), .USE_READRESPONSE (0), .USE_WRITERESPONSE (0) ) lcd_blon_s1_translator_avalon_universal_slave_0_agent ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .m0_address (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address .m0_burstcount (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount .m0_byteenable (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable .m0_debugaccess (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess .m0_lock (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock .m0_readdata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata .m0_readdatavalid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid .m0_read (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read .m0_waitrequest (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest .m0_writedata (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata .m0_write (lcd_blon_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write .rp_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket .rp_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready .rp_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .rp_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .rp_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .cp_ready (cmd_xbar_demux_001_src17_ready), // cp.ready .cp_valid (cmd_xbar_demux_001_src17_valid), // .valid .cp_data (cmd_xbar_demux_001_src17_data), // .data .cp_startofpacket (cmd_xbar_demux_001_src17_startofpacket), // .startofpacket .cp_endofpacket (cmd_xbar_demux_001_src17_endofpacket), // .endofpacket .cp_channel (cmd_xbar_demux_001_src17_channel), // .channel .rf_sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .rf_sink_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .rf_sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data .rf_source_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready .rf_source_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .rf_source_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data .rdata_fifo_sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready .rdata_fifo_sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .rdata_fifo_src_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data .m0_response (2'b00), // (terminated) .m0_writeresponserequest (), // (terminated) .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), .BITS_PER_SYMBOL (97), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), .USE_PACKETS (1), .USE_FILL_LEVEL (0), .EMPTY_LATENCY (1), .USE_MEMORY_BLOCKS (0), .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) ) lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .in_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data .in_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid .in_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready .in_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket .in_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket .out_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data .out_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid .out_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready .out_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket .out_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket .csr_address (2'b00), // (terminated) .csr_read (1'b0), // (terminated) .csr_write (1'b0), // (terminated) .csr_readdata (), // (terminated) .csr_writedata (32'b00000000000000000000000000000000), // (terminated) .almost_full_data (), // (terminated) .almost_empty_data (), // (terminated) .in_empty (1'b0), // (terminated) .out_empty (), // (terminated) .in_error (1'b0), // (terminated) .out_error (), // (terminated) .in_channel (1'b0), // (terminated) .out_channel () // (terminated) ); nios_system_addr_router addr_router ( .sink_ready (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready .sink_valid (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid .sink_data (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data .sink_startofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket .sink_endofpacket (nios2_processor_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (addr_router_src_ready), // src.ready .src_valid (addr_router_src_valid), // .valid .src_data (addr_router_src_data), // .data .src_channel (addr_router_src_channel), // .channel .src_startofpacket (addr_router_src_startofpacket), // .startofpacket .src_endofpacket (addr_router_src_endofpacket) // .endofpacket ); nios_system_addr_router_001 addr_router_001 ( .sink_ready (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready .sink_valid (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid .sink_data (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data .sink_startofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket .sink_endofpacket (nios2_processor_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (addr_router_001_src_ready), // src.ready .src_valid (addr_router_001_src_valid), // .valid .src_data (addr_router_001_src_data), // .data .src_channel (addr_router_001_src_channel), // .channel .src_startofpacket (addr_router_001_src_startofpacket), // .startofpacket .src_endofpacket (addr_router_001_src_endofpacket) // .endofpacket ); nios_system_id_router id_router ( .sink_ready (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (nios2_processor_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_src_ready), // src.ready .src_valid (id_router_src_valid), // .valid .src_data (id_router_src_data), // .data .src_channel (id_router_src_channel), // .channel .src_startofpacket (id_router_src_startofpacket), // .startofpacket .src_endofpacket (id_router_src_endofpacket) // .endofpacket ); nios_system_id_router id_router_001 ( .sink_ready (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (onchip_memory_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_001_src_ready), // src.ready .src_valid (id_router_001_src_valid), // .valid .src_data (id_router_001_src_data), // .data .src_channel (id_router_001_src_channel), // .channel .src_startofpacket (id_router_001_src_startofpacket), // .startofpacket .src_endofpacket (id_router_001_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_002 ( .sink_ready (leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_002_src_ready), // src.ready .src_valid (id_router_002_src_valid), // .valid .src_data (id_router_002_src_data), // .data .src_channel (id_router_002_src_channel), // .channel .src_startofpacket (id_router_002_src_startofpacket), // .startofpacket .src_endofpacket (id_router_002_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_003 ( .sink_ready (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (jtag_uart_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_003_src_ready), // src.ready .src_valid (id_router_003_src_valid), // .valid .src_data (id_router_003_src_data), // .data .src_channel (id_router_003_src_channel), // .channel .src_startofpacket (id_router_003_src_startofpacket), // .startofpacket .src_endofpacket (id_router_003_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_004 ( .sink_ready (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (ledrs_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_004_src_ready), // src.ready .src_valid (id_router_004_src_valid), // .valid .src_data (id_router_004_src_data), // .data .src_channel (id_router_004_src_channel), // .channel .src_startofpacket (id_router_004_src_startofpacket), // .startofpacket .src_endofpacket (id_router_004_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_005 ( .sink_ready (switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_005_src_ready), // src.ready .src_valid (id_router_005_src_valid), // .valid .src_data (id_router_005_src_data), // .data .src_channel (id_router_005_src_channel), // .channel .src_startofpacket (id_router_005_src_startofpacket), // .startofpacket .src_endofpacket (id_router_005_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_006 ( .sink_ready (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (push_switches_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_006_src_ready), // src.ready .src_valid (id_router_006_src_valid), // .valid .src_data (id_router_006_src_data), // .data .src_channel (id_router_006_src_channel), // .channel .src_startofpacket (id_router_006_src_startofpacket), // .startofpacket .src_endofpacket (id_router_006_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_007 ( .sink_ready (hex0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_007_src_ready), // src.ready .src_valid (id_router_007_src_valid), // .valid .src_data (id_router_007_src_data), // .data .src_channel (id_router_007_src_channel), // .channel .src_startofpacket (id_router_007_src_startofpacket), // .startofpacket .src_endofpacket (id_router_007_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_008 ( .sink_ready (hex1_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex1_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex1_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex1_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_008_src_ready), // src.ready .src_valid (id_router_008_src_valid), // .valid .src_data (id_router_008_src_data), // .data .src_channel (id_router_008_src_channel), // .channel .src_startofpacket (id_router_008_src_startofpacket), // .startofpacket .src_endofpacket (id_router_008_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_009 ( .sink_ready (hex2_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex2_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex2_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex2_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_009_src_ready), // src.ready .src_valid (id_router_009_src_valid), // .valid .src_data (id_router_009_src_data), // .data .src_channel (id_router_009_src_channel), // .channel .src_startofpacket (id_router_009_src_startofpacket), // .startofpacket .src_endofpacket (id_router_009_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_010 ( .sink_ready (hex3_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex3_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex3_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex3_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_010_src_ready), // src.ready .src_valid (id_router_010_src_valid), // .valid .src_data (id_router_010_src_data), // .data .src_channel (id_router_010_src_channel), // .channel .src_startofpacket (id_router_010_src_startofpacket), // .startofpacket .src_endofpacket (id_router_010_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_011 ( .sink_ready (hex4_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex4_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex4_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex4_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_011_src_ready), // src.ready .src_valid (id_router_011_src_valid), // .valid .src_data (id_router_011_src_data), // .data .src_channel (id_router_011_src_channel), // .channel .src_startofpacket (id_router_011_src_startofpacket), // .startofpacket .src_endofpacket (id_router_011_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_012 ( .sink_ready (hex5_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex5_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex5_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex5_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_012_src_ready), // src.ready .src_valid (id_router_012_src_valid), // .valid .src_data (id_router_012_src_data), // .data .src_channel (id_router_012_src_channel), // .channel .src_startofpacket (id_router_012_src_startofpacket), // .startofpacket .src_endofpacket (id_router_012_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_013 ( .sink_ready (hex6_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex6_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex6_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex6_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_013_src_ready), // src.ready .src_valid (id_router_013_src_valid), // .valid .src_data (id_router_013_src_data), // .data .src_channel (id_router_013_src_channel), // .channel .src_startofpacket (id_router_013_src_startofpacket), // .startofpacket .src_endofpacket (id_router_013_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_014 ( .sink_ready (hex7_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (hex7_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (hex7_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (hex7_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_014_src_ready), // src.ready .src_valid (id_router_014_src_valid), // .valid .src_data (id_router_014_src_data), // .data .src_channel (id_router_014_src_channel), // .channel .src_startofpacket (id_router_014_src_startofpacket), // .startofpacket .src_endofpacket (id_router_014_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_015 ( .sink_ready (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (lcd_16207_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_015_src_ready), // src.ready .src_valid (id_router_015_src_valid), // .valid .src_data (id_router_015_src_data), // .data .src_channel (id_router_015_src_channel), // .channel .src_startofpacket (id_router_015_src_startofpacket), // .startofpacket .src_endofpacket (id_router_015_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_016 ( .sink_ready (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (lcd_on_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_016_src_ready), // src.ready .src_valid (id_router_016_src_valid), // .valid .src_data (id_router_016_src_data), // .data .src_channel (id_router_016_src_channel), // .channel .src_startofpacket (id_router_016_src_startofpacket), // .startofpacket .src_endofpacket (id_router_016_src_endofpacket) // .endofpacket ); nios_system_id_router_002 id_router_017 ( .sink_ready (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready .sink_valid (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid .sink_data (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data .sink_startofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket .sink_endofpacket (lcd_blon_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (id_router_017_src_ready), // src.ready .src_valid (id_router_017_src_valid), // .valid .src_data (id_router_017_src_data), // .data .src_channel (id_router_017_src_channel), // .channel .src_startofpacket (id_router_017_src_startofpacket), // .startofpacket .src_endofpacket (id_router_017_src_endofpacket) // .endofpacket ); altera_reset_controller #( .NUM_RESET_INPUTS (2), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (1) ) rst_controller ( .reset_in0 (~reset_reset_n), // reset_in0.reset .reset_in1 (nios2_processor_jtag_debug_module_reset_reset), // reset_in1.reset .clk (clk_clk), // clk.clk .reset_out (rst_controller_reset_out_reset), // reset_out.reset .reset_req (rst_controller_reset_out_reset_req), // .reset_req .reset_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_in15 (1'b0) // (terminated) ); nios_system_cmd_xbar_demux cmd_xbar_demux ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (addr_router_src_ready), // sink.ready .sink_channel (addr_router_src_channel), // .channel .sink_data (addr_router_src_data), // .data .sink_startofpacket (addr_router_src_startofpacket), // .startofpacket .sink_endofpacket (addr_router_src_endofpacket), // .endofpacket .sink_valid (addr_router_src_valid), // .valid .src0_ready (cmd_xbar_demux_src0_ready), // src0.ready .src0_valid (cmd_xbar_demux_src0_valid), // .valid .src0_data (cmd_xbar_demux_src0_data), // .data .src0_channel (cmd_xbar_demux_src0_channel), // .channel .src0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket .src0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket .src1_ready (cmd_xbar_demux_src1_ready), // src1.ready .src1_valid (cmd_xbar_demux_src1_valid), // .valid .src1_data (cmd_xbar_demux_src1_data), // .data .src1_channel (cmd_xbar_demux_src1_channel), // .channel .src1_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket .src1_endofpacket (cmd_xbar_demux_src1_endofpacket) // .endofpacket ); nios_system_cmd_xbar_demux_001 cmd_xbar_demux_001 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (addr_router_001_src_ready), // sink.ready .sink_channel (addr_router_001_src_channel), // .channel .sink_data (addr_router_001_src_data), // .data .sink_startofpacket (addr_router_001_src_startofpacket), // .startofpacket .sink_endofpacket (addr_router_001_src_endofpacket), // .endofpacket .sink_valid (addr_router_001_src_valid), // .valid .src0_ready (cmd_xbar_demux_001_src0_ready), // src0.ready .src0_valid (cmd_xbar_demux_001_src0_valid), // .valid .src0_data (cmd_xbar_demux_001_src0_data), // .data .src0_channel (cmd_xbar_demux_001_src0_channel), // .channel .src0_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket .src0_endofpacket (cmd_xbar_demux_001_src0_endofpacket), // .endofpacket .src1_ready (cmd_xbar_demux_001_src1_ready), // src1.ready .src1_valid (cmd_xbar_demux_001_src1_valid), // .valid .src1_data (cmd_xbar_demux_001_src1_data), // .data .src1_channel (cmd_xbar_demux_001_src1_channel), // .channel .src1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket .src1_endofpacket (cmd_xbar_demux_001_src1_endofpacket), // .endofpacket .src2_ready (cmd_xbar_demux_001_src2_ready), // src2.ready .src2_valid (cmd_xbar_demux_001_src2_valid), // .valid .src2_data (cmd_xbar_demux_001_src2_data), // .data .src2_channel (cmd_xbar_demux_001_src2_channel), // .channel .src2_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket .src2_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket .src3_ready (cmd_xbar_demux_001_src3_ready), // src3.ready .src3_valid (cmd_xbar_demux_001_src3_valid), // .valid .src3_data (cmd_xbar_demux_001_src3_data), // .data .src3_channel (cmd_xbar_demux_001_src3_channel), // .channel .src3_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket .src3_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket .src4_ready (cmd_xbar_demux_001_src4_ready), // src4.ready .src4_valid (cmd_xbar_demux_001_src4_valid), // .valid .src4_data (cmd_xbar_demux_001_src4_data), // .data .src4_channel (cmd_xbar_demux_001_src4_channel), // .channel .src4_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket .src4_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket .src5_ready (cmd_xbar_demux_001_src5_ready), // src5.ready .src5_valid (cmd_xbar_demux_001_src5_valid), // .valid .src5_data (cmd_xbar_demux_001_src5_data), // .data .src5_channel (cmd_xbar_demux_001_src5_channel), // .channel .src5_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket .src5_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket .src6_ready (cmd_xbar_demux_001_src6_ready), // src6.ready .src6_valid (cmd_xbar_demux_001_src6_valid), // .valid .src6_data (cmd_xbar_demux_001_src6_data), // .data .src6_channel (cmd_xbar_demux_001_src6_channel), // .channel .src6_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket .src6_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket .src7_ready (cmd_xbar_demux_001_src7_ready), // src7.ready .src7_valid (cmd_xbar_demux_001_src7_valid), // .valid .src7_data (cmd_xbar_demux_001_src7_data), // .data .src7_channel (cmd_xbar_demux_001_src7_channel), // .channel .src7_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket .src7_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket .src8_ready (cmd_xbar_demux_001_src8_ready), // src8.ready .src8_valid (cmd_xbar_demux_001_src8_valid), // .valid .src8_data (cmd_xbar_demux_001_src8_data), // .data .src8_channel (cmd_xbar_demux_001_src8_channel), // .channel .src8_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket .src8_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket .src9_ready (cmd_xbar_demux_001_src9_ready), // src9.ready .src9_valid (cmd_xbar_demux_001_src9_valid), // .valid .src9_data (cmd_xbar_demux_001_src9_data), // .data .src9_channel (cmd_xbar_demux_001_src9_channel), // .channel .src9_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket .src9_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket .src10_ready (cmd_xbar_demux_001_src10_ready), // src10.ready .src10_valid (cmd_xbar_demux_001_src10_valid), // .valid .src10_data (cmd_xbar_demux_001_src10_data), // .data .src10_channel (cmd_xbar_demux_001_src10_channel), // .channel .src10_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket .src10_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket .src11_ready (cmd_xbar_demux_001_src11_ready), // src11.ready .src11_valid (cmd_xbar_demux_001_src11_valid), // .valid .src11_data (cmd_xbar_demux_001_src11_data), // .data .src11_channel (cmd_xbar_demux_001_src11_channel), // .channel .src11_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket .src11_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket .src12_ready (cmd_xbar_demux_001_src12_ready), // src12.ready .src12_valid (cmd_xbar_demux_001_src12_valid), // .valid .src12_data (cmd_xbar_demux_001_src12_data), // .data .src12_channel (cmd_xbar_demux_001_src12_channel), // .channel .src12_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket .src12_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket .src13_ready (cmd_xbar_demux_001_src13_ready), // src13.ready .src13_valid (cmd_xbar_demux_001_src13_valid), // .valid .src13_data (cmd_xbar_demux_001_src13_data), // .data .src13_channel (cmd_xbar_demux_001_src13_channel), // .channel .src13_startofpacket (cmd_xbar_demux_001_src13_startofpacket), // .startofpacket .src13_endofpacket (cmd_xbar_demux_001_src13_endofpacket), // .endofpacket .src14_ready (cmd_xbar_demux_001_src14_ready), // src14.ready .src14_valid (cmd_xbar_demux_001_src14_valid), // .valid .src14_data (cmd_xbar_demux_001_src14_data), // .data .src14_channel (cmd_xbar_demux_001_src14_channel), // .channel .src14_startofpacket (cmd_xbar_demux_001_src14_startofpacket), // .startofpacket .src14_endofpacket (cmd_xbar_demux_001_src14_endofpacket), // .endofpacket .src15_ready (cmd_xbar_demux_001_src15_ready), // src15.ready .src15_valid (cmd_xbar_demux_001_src15_valid), // .valid .src15_data (cmd_xbar_demux_001_src15_data), // .data .src15_channel (cmd_xbar_demux_001_src15_channel), // .channel .src15_startofpacket (cmd_xbar_demux_001_src15_startofpacket), // .startofpacket .src15_endofpacket (cmd_xbar_demux_001_src15_endofpacket), // .endofpacket .src16_ready (cmd_xbar_demux_001_src16_ready), // src16.ready .src16_valid (cmd_xbar_demux_001_src16_valid), // .valid .src16_data (cmd_xbar_demux_001_src16_data), // .data .src16_channel (cmd_xbar_demux_001_src16_channel), // .channel .src16_startofpacket (cmd_xbar_demux_001_src16_startofpacket), // .startofpacket .src16_endofpacket (cmd_xbar_demux_001_src16_endofpacket), // .endofpacket .src17_ready (cmd_xbar_demux_001_src17_ready), // src17.ready .src17_valid (cmd_xbar_demux_001_src17_valid), // .valid .src17_data (cmd_xbar_demux_001_src17_data), // .data .src17_channel (cmd_xbar_demux_001_src17_channel), // .channel .src17_startofpacket (cmd_xbar_demux_001_src17_startofpacket), // .startofpacket .src17_endofpacket (cmd_xbar_demux_001_src17_endofpacket) // .endofpacket ); nios_system_cmd_xbar_mux cmd_xbar_mux ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (cmd_xbar_mux_src_ready), // src.ready .src_valid (cmd_xbar_mux_src_valid), // .valid .src_data (cmd_xbar_mux_src_data), // .data .src_channel (cmd_xbar_mux_src_channel), // .channel .src_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket .src_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket .sink0_ready (cmd_xbar_demux_src0_ready), // sink0.ready .sink0_valid (cmd_xbar_demux_src0_valid), // .valid .sink0_channel (cmd_xbar_demux_src0_channel), // .channel .sink0_data (cmd_xbar_demux_src0_data), // .data .sink0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket .sink0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket .sink1_ready (cmd_xbar_demux_001_src0_ready), // sink1.ready .sink1_valid (cmd_xbar_demux_001_src0_valid), // .valid .sink1_channel (cmd_xbar_demux_001_src0_channel), // .channel .sink1_data (cmd_xbar_demux_001_src0_data), // .data .sink1_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket .sink1_endofpacket (cmd_xbar_demux_001_src0_endofpacket) // .endofpacket ); nios_system_cmd_xbar_mux cmd_xbar_mux_001 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (cmd_xbar_mux_001_src_ready), // src.ready .src_valid (cmd_xbar_mux_001_src_valid), // .valid .src_data (cmd_xbar_mux_001_src_data), // .data .src_channel (cmd_xbar_mux_001_src_channel), // .channel .src_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket .src_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket .sink0_ready (cmd_xbar_demux_src1_ready), // sink0.ready .sink0_valid (cmd_xbar_demux_src1_valid), // .valid .sink0_channel (cmd_xbar_demux_src1_channel), // .channel .sink0_data (cmd_xbar_demux_src1_data), // .data .sink0_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket .sink0_endofpacket (cmd_xbar_demux_src1_endofpacket), // .endofpacket .sink1_ready (cmd_xbar_demux_001_src1_ready), // sink1.ready .sink1_valid (cmd_xbar_demux_001_src1_valid), // .valid .sink1_channel (cmd_xbar_demux_001_src1_channel), // .channel .sink1_data (cmd_xbar_demux_001_src1_data), // .data .sink1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket .sink1_endofpacket (cmd_xbar_demux_001_src1_endofpacket) // .endofpacket ); nios_system_cmd_xbar_demux rsp_xbar_demux ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_src_ready), // sink.ready .sink_channel (id_router_src_channel), // .channel .sink_data (id_router_src_data), // .data .sink_startofpacket (id_router_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_src_endofpacket), // .endofpacket .sink_valid (id_router_src_valid), // .valid .src0_ready (rsp_xbar_demux_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_src0_valid), // .valid .src0_data (rsp_xbar_demux_src0_data), // .data .src0_channel (rsp_xbar_demux_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket .src1_ready (rsp_xbar_demux_src1_ready), // src1.ready .src1_valid (rsp_xbar_demux_src1_valid), // .valid .src1_data (rsp_xbar_demux_src1_data), // .data .src1_channel (rsp_xbar_demux_src1_channel), // .channel .src1_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket .src1_endofpacket (rsp_xbar_demux_src1_endofpacket) // .endofpacket ); nios_system_cmd_xbar_demux rsp_xbar_demux_001 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_001_src_ready), // sink.ready .sink_channel (id_router_001_src_channel), // .channel .sink_data (id_router_001_src_data), // .data .sink_startofpacket (id_router_001_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_001_src_endofpacket), // .endofpacket .sink_valid (id_router_001_src_valid), // .valid .src0_ready (rsp_xbar_demux_001_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_001_src0_valid), // .valid .src0_data (rsp_xbar_demux_001_src0_data), // .data .src0_channel (rsp_xbar_demux_001_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_001_src0_endofpacket), // .endofpacket .src1_ready (rsp_xbar_demux_001_src1_ready), // src1.ready .src1_valid (rsp_xbar_demux_001_src1_valid), // .valid .src1_data (rsp_xbar_demux_001_src1_data), // .data .src1_channel (rsp_xbar_demux_001_src1_channel), // .channel .src1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket .src1_endofpacket (rsp_xbar_demux_001_src1_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_002 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_002_src_ready), // sink.ready .sink_channel (id_router_002_src_channel), // .channel .sink_data (id_router_002_src_data), // .data .sink_startofpacket (id_router_002_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_002_src_endofpacket), // .endofpacket .sink_valid (id_router_002_src_valid), // .valid .src0_ready (rsp_xbar_demux_002_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_002_src0_valid), // .valid .src0_data (rsp_xbar_demux_002_src0_data), // .data .src0_channel (rsp_xbar_demux_002_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_002_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_003 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_003_src_ready), // sink.ready .sink_channel (id_router_003_src_channel), // .channel .sink_data (id_router_003_src_data), // .data .sink_startofpacket (id_router_003_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_003_src_endofpacket), // .endofpacket .sink_valid (id_router_003_src_valid), // .valid .src0_ready (rsp_xbar_demux_003_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_003_src0_valid), // .valid .src0_data (rsp_xbar_demux_003_src0_data), // .data .src0_channel (rsp_xbar_demux_003_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_003_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_004 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_004_src_ready), // sink.ready .sink_channel (id_router_004_src_channel), // .channel .sink_data (id_router_004_src_data), // .data .sink_startofpacket (id_router_004_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_004_src_endofpacket), // .endofpacket .sink_valid (id_router_004_src_valid), // .valid .src0_ready (rsp_xbar_demux_004_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_004_src0_valid), // .valid .src0_data (rsp_xbar_demux_004_src0_data), // .data .src0_channel (rsp_xbar_demux_004_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_004_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_005 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_005_src_ready), // sink.ready .sink_channel (id_router_005_src_channel), // .channel .sink_data (id_router_005_src_data), // .data .sink_startofpacket (id_router_005_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_005_src_endofpacket), // .endofpacket .sink_valid (id_router_005_src_valid), // .valid .src0_ready (rsp_xbar_demux_005_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_005_src0_valid), // .valid .src0_data (rsp_xbar_demux_005_src0_data), // .data .src0_channel (rsp_xbar_demux_005_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_005_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_006 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_006_src_ready), // sink.ready .sink_channel (id_router_006_src_channel), // .channel .sink_data (id_router_006_src_data), // .data .sink_startofpacket (id_router_006_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_006_src_endofpacket), // .endofpacket .sink_valid (id_router_006_src_valid), // .valid .src0_ready (rsp_xbar_demux_006_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_006_src0_valid), // .valid .src0_data (rsp_xbar_demux_006_src0_data), // .data .src0_channel (rsp_xbar_demux_006_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_006_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_007 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_007_src_ready), // sink.ready .sink_channel (id_router_007_src_channel), // .channel .sink_data (id_router_007_src_data), // .data .sink_startofpacket (id_router_007_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_007_src_endofpacket), // .endofpacket .sink_valid (id_router_007_src_valid), // .valid .src0_ready (rsp_xbar_demux_007_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_007_src0_valid), // .valid .src0_data (rsp_xbar_demux_007_src0_data), // .data .src0_channel (rsp_xbar_demux_007_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_007_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_008 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_008_src_ready), // sink.ready .sink_channel (id_router_008_src_channel), // .channel .sink_data (id_router_008_src_data), // .data .sink_startofpacket (id_router_008_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_008_src_endofpacket), // .endofpacket .sink_valid (id_router_008_src_valid), // .valid .src0_ready (rsp_xbar_demux_008_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_008_src0_valid), // .valid .src0_data (rsp_xbar_demux_008_src0_data), // .data .src0_channel (rsp_xbar_demux_008_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_008_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_009 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_009_src_ready), // sink.ready .sink_channel (id_router_009_src_channel), // .channel .sink_data (id_router_009_src_data), // .data .sink_startofpacket (id_router_009_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_009_src_endofpacket), // .endofpacket .sink_valid (id_router_009_src_valid), // .valid .src0_ready (rsp_xbar_demux_009_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_009_src0_valid), // .valid .src0_data (rsp_xbar_demux_009_src0_data), // .data .src0_channel (rsp_xbar_demux_009_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_009_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_010 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_010_src_ready), // sink.ready .sink_channel (id_router_010_src_channel), // .channel .sink_data (id_router_010_src_data), // .data .sink_startofpacket (id_router_010_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_010_src_endofpacket), // .endofpacket .sink_valid (id_router_010_src_valid), // .valid .src0_ready (rsp_xbar_demux_010_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_010_src0_valid), // .valid .src0_data (rsp_xbar_demux_010_src0_data), // .data .src0_channel (rsp_xbar_demux_010_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_010_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_011 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_011_src_ready), // sink.ready .sink_channel (id_router_011_src_channel), // .channel .sink_data (id_router_011_src_data), // .data .sink_startofpacket (id_router_011_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_011_src_endofpacket), // .endofpacket .sink_valid (id_router_011_src_valid), // .valid .src0_ready (rsp_xbar_demux_011_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_011_src0_valid), // .valid .src0_data (rsp_xbar_demux_011_src0_data), // .data .src0_channel (rsp_xbar_demux_011_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_011_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_012 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_012_src_ready), // sink.ready .sink_channel (id_router_012_src_channel), // .channel .sink_data (id_router_012_src_data), // .data .sink_startofpacket (id_router_012_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_012_src_endofpacket), // .endofpacket .sink_valid (id_router_012_src_valid), // .valid .src0_ready (rsp_xbar_demux_012_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_012_src0_valid), // .valid .src0_data (rsp_xbar_demux_012_src0_data), // .data .src0_channel (rsp_xbar_demux_012_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_012_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_013 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_013_src_ready), // sink.ready .sink_channel (id_router_013_src_channel), // .channel .sink_data (id_router_013_src_data), // .data .sink_startofpacket (id_router_013_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_013_src_endofpacket), // .endofpacket .sink_valid (id_router_013_src_valid), // .valid .src0_ready (rsp_xbar_demux_013_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_013_src0_valid), // .valid .src0_data (rsp_xbar_demux_013_src0_data), // .data .src0_channel (rsp_xbar_demux_013_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_013_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_013_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_014 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_014_src_ready), // sink.ready .sink_channel (id_router_014_src_channel), // .channel .sink_data (id_router_014_src_data), // .data .sink_startofpacket (id_router_014_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_014_src_endofpacket), // .endofpacket .sink_valid (id_router_014_src_valid), // .valid .src0_ready (rsp_xbar_demux_014_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_014_src0_valid), // .valid .src0_data (rsp_xbar_demux_014_src0_data), // .data .src0_channel (rsp_xbar_demux_014_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_014_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_014_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_015 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_015_src_ready), // sink.ready .sink_channel (id_router_015_src_channel), // .channel .sink_data (id_router_015_src_data), // .data .sink_startofpacket (id_router_015_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_015_src_endofpacket), // .endofpacket .sink_valid (id_router_015_src_valid), // .valid .src0_ready (rsp_xbar_demux_015_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_015_src0_valid), // .valid .src0_data (rsp_xbar_demux_015_src0_data), // .data .src0_channel (rsp_xbar_demux_015_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_015_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_015_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_016 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_016_src_ready), // sink.ready .sink_channel (id_router_016_src_channel), // .channel .sink_data (id_router_016_src_data), // .data .sink_startofpacket (id_router_016_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_016_src_endofpacket), // .endofpacket .sink_valid (id_router_016_src_valid), // .valid .src0_ready (rsp_xbar_demux_016_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_016_src0_valid), // .valid .src0_data (rsp_xbar_demux_016_src0_data), // .data .src0_channel (rsp_xbar_demux_016_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_016_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_016_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_demux_002 rsp_xbar_demux_017 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .sink_ready (id_router_017_src_ready), // sink.ready .sink_channel (id_router_017_src_channel), // .channel .sink_data (id_router_017_src_data), // .data .sink_startofpacket (id_router_017_src_startofpacket), // .startofpacket .sink_endofpacket (id_router_017_src_endofpacket), // .endofpacket .sink_valid (id_router_017_src_valid), // .valid .src0_ready (rsp_xbar_demux_017_src0_ready), // src0.ready .src0_valid (rsp_xbar_demux_017_src0_valid), // .valid .src0_data (rsp_xbar_demux_017_src0_data), // .data .src0_channel (rsp_xbar_demux_017_src0_channel), // .channel .src0_startofpacket (rsp_xbar_demux_017_src0_startofpacket), // .startofpacket .src0_endofpacket (rsp_xbar_demux_017_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_mux rsp_xbar_mux ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (rsp_xbar_mux_src_ready), // src.ready .src_valid (rsp_xbar_mux_src_valid), // .valid .src_data (rsp_xbar_mux_src_data), // .data .src_channel (rsp_xbar_mux_src_channel), // .channel .src_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket .src_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket .sink0_ready (rsp_xbar_demux_src0_ready), // sink0.ready .sink0_valid (rsp_xbar_demux_src0_valid), // .valid .sink0_channel (rsp_xbar_demux_src0_channel), // .channel .sink0_data (rsp_xbar_demux_src0_data), // .data .sink0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket .sink0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket .sink1_ready (rsp_xbar_demux_001_src0_ready), // sink1.ready .sink1_valid (rsp_xbar_demux_001_src0_valid), // .valid .sink1_channel (rsp_xbar_demux_001_src0_channel), // .channel .sink1_data (rsp_xbar_demux_001_src0_data), // .data .sink1_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket .sink1_endofpacket (rsp_xbar_demux_001_src0_endofpacket) // .endofpacket ); nios_system_rsp_xbar_mux_001 rsp_xbar_mux_001 ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .src_ready (rsp_xbar_mux_001_src_ready), // src.ready .src_valid (rsp_xbar_mux_001_src_valid), // .valid .src_data (rsp_xbar_mux_001_src_data), // .data .src_channel (rsp_xbar_mux_001_src_channel), // .channel .src_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket .src_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket .sink0_ready (rsp_xbar_demux_src1_ready), // sink0.ready .sink0_valid (rsp_xbar_demux_src1_valid), // .valid .sink0_channel (rsp_xbar_demux_src1_channel), // .channel .sink0_data (rsp_xbar_demux_src1_data), // .data .sink0_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket .sink0_endofpacket (rsp_xbar_demux_src1_endofpacket), // .endofpacket .sink1_ready (rsp_xbar_demux_001_src1_ready), // sink1.ready .sink1_valid (rsp_xbar_demux_001_src1_valid), // .valid .sink1_channel (rsp_xbar_demux_001_src1_channel), // .channel .sink1_data (rsp_xbar_demux_001_src1_data), // .data .sink1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket .sink1_endofpacket (rsp_xbar_demux_001_src1_endofpacket), // .endofpacket .sink2_ready (rsp_xbar_demux_002_src0_ready), // sink2.ready .sink2_valid (rsp_xbar_demux_002_src0_valid), // .valid .sink2_channel (rsp_xbar_demux_002_src0_channel), // .channel .sink2_data (rsp_xbar_demux_002_src0_data), // .data .sink2_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket .sink2_endofpacket (rsp_xbar_demux_002_src0_endofpacket), // .endofpacket .sink3_ready (rsp_xbar_demux_003_src0_ready), // sink3.ready .sink3_valid (rsp_xbar_demux_003_src0_valid), // .valid .sink3_channel (rsp_xbar_demux_003_src0_channel), // .channel .sink3_data (rsp_xbar_demux_003_src0_data), // .data .sink3_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket .sink3_endofpacket (rsp_xbar_demux_003_src0_endofpacket), // .endofpacket .sink4_ready (rsp_xbar_demux_004_src0_ready), // sink4.ready .sink4_valid (rsp_xbar_demux_004_src0_valid), // .valid .sink4_channel (rsp_xbar_demux_004_src0_channel), // .channel .sink4_data (rsp_xbar_demux_004_src0_data), // .data .sink4_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket .sink4_endofpacket (rsp_xbar_demux_004_src0_endofpacket), // .endofpacket .sink5_ready (rsp_xbar_demux_005_src0_ready), // sink5.ready .sink5_valid (rsp_xbar_demux_005_src0_valid), // .valid .sink5_channel (rsp_xbar_demux_005_src0_channel), // .channel .sink5_data (rsp_xbar_demux_005_src0_data), // .data .sink5_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket .sink5_endofpacket (rsp_xbar_demux_005_src0_endofpacket), // .endofpacket .sink6_ready (rsp_xbar_demux_006_src0_ready), // sink6.ready .sink6_valid (rsp_xbar_demux_006_src0_valid), // .valid .sink6_channel (rsp_xbar_demux_006_src0_channel), // .channel .sink6_data (rsp_xbar_demux_006_src0_data), // .data .sink6_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket .sink6_endofpacket (rsp_xbar_demux_006_src0_endofpacket), // .endofpacket .sink7_ready (rsp_xbar_demux_007_src0_ready), // sink7.ready .sink7_valid (rsp_xbar_demux_007_src0_valid), // .valid .sink7_channel (rsp_xbar_demux_007_src0_channel), // .channel .sink7_data (rsp_xbar_demux_007_src0_data), // .data .sink7_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket .sink7_endofpacket (rsp_xbar_demux_007_src0_endofpacket), // .endofpacket .sink8_ready (rsp_xbar_demux_008_src0_ready), // sink8.ready .sink8_valid (rsp_xbar_demux_008_src0_valid), // .valid .sink8_channel (rsp_xbar_demux_008_src0_channel), // .channel .sink8_data (rsp_xbar_demux_008_src0_data), // .data .sink8_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket .sink8_endofpacket (rsp_xbar_demux_008_src0_endofpacket), // .endofpacket .sink9_ready (rsp_xbar_demux_009_src0_ready), // sink9.ready .sink9_valid (rsp_xbar_demux_009_src0_valid), // .valid .sink9_channel (rsp_xbar_demux_009_src0_channel), // .channel .sink9_data (rsp_xbar_demux_009_src0_data), // .data .sink9_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket .sink9_endofpacket (rsp_xbar_demux_009_src0_endofpacket), // .endofpacket .sink10_ready (rsp_xbar_demux_010_src0_ready), // sink10.ready .sink10_valid (rsp_xbar_demux_010_src0_valid), // .valid .sink10_channel (rsp_xbar_demux_010_src0_channel), // .channel .sink10_data (rsp_xbar_demux_010_src0_data), // .data .sink10_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket .sink10_endofpacket (rsp_xbar_demux_010_src0_endofpacket), // .endofpacket .sink11_ready (rsp_xbar_demux_011_src0_ready), // sink11.ready .sink11_valid (rsp_xbar_demux_011_src0_valid), // .valid .sink11_channel (rsp_xbar_demux_011_src0_channel), // .channel .sink11_data (rsp_xbar_demux_011_src0_data), // .data .sink11_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket .sink11_endofpacket (rsp_xbar_demux_011_src0_endofpacket), // .endofpacket .sink12_ready (rsp_xbar_demux_012_src0_ready), // sink12.ready .sink12_valid (rsp_xbar_demux_012_src0_valid), // .valid .sink12_channel (rsp_xbar_demux_012_src0_channel), // .channel .sink12_data (rsp_xbar_demux_012_src0_data), // .data .sink12_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket .sink12_endofpacket (rsp_xbar_demux_012_src0_endofpacket), // .endofpacket .sink13_ready (rsp_xbar_demux_013_src0_ready), // sink13.ready .sink13_valid (rsp_xbar_demux_013_src0_valid), // .valid .sink13_channel (rsp_xbar_demux_013_src0_channel), // .channel .sink13_data (rsp_xbar_demux_013_src0_data), // .data .sink13_startofpacket (rsp_xbar_demux_013_src0_startofpacket), // .startofpacket .sink13_endofpacket (rsp_xbar_demux_013_src0_endofpacket), // .endofpacket .sink14_ready (rsp_xbar_demux_014_src0_ready), // sink14.ready .sink14_valid (rsp_xbar_demux_014_src0_valid), // .valid .sink14_channel (rsp_xbar_demux_014_src0_channel), // .channel .sink14_data (rsp_xbar_demux_014_src0_data), // .data .sink14_startofpacket (rsp_xbar_demux_014_src0_startofpacket), // .startofpacket .sink14_endofpacket (rsp_xbar_demux_014_src0_endofpacket), // .endofpacket .sink15_ready (rsp_xbar_demux_015_src0_ready), // sink15.ready .sink15_valid (rsp_xbar_demux_015_src0_valid), // .valid .sink15_channel (rsp_xbar_demux_015_src0_channel), // .channel .sink15_data (rsp_xbar_demux_015_src0_data), // .data .sink15_startofpacket (rsp_xbar_demux_015_src0_startofpacket), // .startofpacket .sink15_endofpacket (rsp_xbar_demux_015_src0_endofpacket), // .endofpacket .sink16_ready (rsp_xbar_demux_016_src0_ready), // sink16.ready .sink16_valid (rsp_xbar_demux_016_src0_valid), // .valid .sink16_channel (rsp_xbar_demux_016_src0_channel), // .channel .sink16_data (rsp_xbar_demux_016_src0_data), // .data .sink16_startofpacket (rsp_xbar_demux_016_src0_startofpacket), // .startofpacket .sink16_endofpacket (rsp_xbar_demux_016_src0_endofpacket), // .endofpacket .sink17_ready (rsp_xbar_demux_017_src0_ready), // sink17.ready .sink17_valid (rsp_xbar_demux_017_src0_valid), // .valid .sink17_channel (rsp_xbar_demux_017_src0_channel), // .channel .sink17_data (rsp_xbar_demux_017_src0_data), // .data .sink17_startofpacket (rsp_xbar_demux_017_src0_startofpacket), // .startofpacket .sink17_endofpacket (rsp_xbar_demux_017_src0_endofpacket) // .endofpacket ); nios_system_irq_mapper irq_mapper ( .clk (clk_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .receiver0_irq (irq_mapper_receiver0_irq), // receiver0.irq .sender_irq (nios2_processor_d_irq_irq) // sender.irq ); endmodule